CN109712867B - 衬底载体劣化检测及修复 - Google Patents

衬底载体劣化检测及修复 Download PDF

Info

Publication number
CN109712867B
CN109712867B CN201810084030.3A CN201810084030A CN109712867B CN 109712867 B CN109712867 B CN 109712867B CN 201810084030 A CN201810084030 A CN 201810084030A CN 109712867 B CN109712867 B CN 109712867B
Authority
CN
China
Prior art keywords
carrier
filter
substrate
new
station
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810084030.3A
Other languages
English (en)
Other versions
CN109712867A (zh
Inventor
王仁地
林志威
黎辅宪
陈奕明
洪正和
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109712867A publication Critical patent/CN109712867A/zh
Application granted granted Critical
Publication of CN109712867B publication Critical patent/CN109712867B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/008Manipulators for service tasks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • G01B11/306Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces for measuring evenness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23PMETAL-WORKING NOT OTHERWISE PROVIDED FOR; COMBINED OPERATIONS; UNIVERSAL MACHINE TOOLS
    • B23P6/00Restoring or reconditioning objects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J1/00Manipulators positioned in space by hand
    • B25J1/04Manipulators positioned in space by hand rigid, e.g. shelf-reachers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/005Manipulators for mechanical processing tasks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J18/00Arms
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0011Sample conditioning
    • G01N33/0013Sample conditioning by a chemical reaction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0022General constructional details of gas analysers, e.g. portable test equipment using a number of analysing channels
    • G01N33/0024General constructional details of gas analysers, e.g. portable test equipment using a number of analysing channels a chemical reaction taking place or a gas being eliminated in one or more channels
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0027General constructional details of gas analysers, e.g. portable test equipment concerning the detector
    • G01N33/0029Cleaning of the detector
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0027General constructional details of gas analysers, e.g. portable test equipment concerning the detector
    • G01N33/0031General constructional details of gas analysers, e.g. portable test equipment concerning the detector comprising two or more sensors, e.g. a sensor array
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0062General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0062General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display
    • G01N33/0063General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display using a threshold to release an alarm or displaying means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0062General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display
    • G01N33/0063General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display using a threshold to release an alarm or displaying means
    • G01N33/0065General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display using a threshold to release an alarm or displaying means using more than one threshold
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0095Semiconductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67784Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations using air tracks
    • H01L21/6779Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations using air tracks the workpieces being stored in a carrier, involving loading and unloading
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • Y10T29/49769Using optical instrument [excludes mere human eyeballing]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • Y10T29/49771Quantitative measuring or gauging

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Combustion & Propulsion (AREA)
  • Food Science & Technology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

一种方法,包括接收在内部具有多个晶圆的载体;向载体的入口供应净化气体;从载体的出口抽出排出气体;以及在执行净化气体的供应和排出气体的抽出的同时生成载体的健康指示器。本发明的实施例还涉及衬底载体劣化检测及修复。

Description

衬底载体劣化检测及修复
技术领域
本发明的实施例涉及衬底载体劣化检测及修复。
背景技术
在半导体制造中,晶圆经历许多处理步骤以在其上产生集成电路(“IC”)。这些处理步骤由多种多样不同的处理工具执行。当在处理工具中传递时,一个或多个晶圆在晶圆载体中承载以保护晶圆免受外部污染。此外,晶圆载体(内部具有晶圆)用惰性气体净化以移除晶圆上的任何化学残留物。另外,化学残留物可能不利地影响后续处理。
晶圆载体通常具有一个或多个空气过滤器。在反复净化晶圆载体之后,这些空气过滤器可能会劣化(例如,撕裂或堵塞)。如果劣化的空气过滤器没有及时更换,晶圆载体将不能有效净化,导致晶圆污染和制造缺陷。
发明内容
本发明的实施例提供了一种用于半导体制造的方法,包括:接收在内部具有多个晶圆的载体;向所述载体的入口供应净化气体;从所述载体的出口将排出气体抽出;以及在执行所述净化气体的供应和所述排出气体的抽出的同时,生成所述载体的健康指示器。
本发明的另一实施例提供了一种用于半导体制造的装置,包括:输入端口,所述输入端口接收载体,其中,所述载体包括载体主体、在所述载体主体上安装的外壳、以及在所述载体主体和所述外壳之间安装的过滤器;第一机械臂,所述第一机械臂将所述外壳从所述载体拆下以及将所述外壳安装在所述载体内;一个或多个第二机械臂,所述一个或多个第二机械臂将所述过滤器从所述载体移除以及将新的过滤器安装在所述载体内;以及输出端口,所述输出端口将所述载体释放至生产。
本发明的又一实施例提供了一种半导体制造系统,包括:多个半导体处理工具;载体净化站;载体修复站;以及高架传输(OHT)环路,所述高架传输环路用于将一个或多个衬底载体在所述多个半导体处理工具、所述载体净化站以及所述载体修复站之间传输,其中,所述载体净化站配置为从所述多个半导体处理工具中的一个接收所述衬底载体、使用惰性气体净化所述衬底载体以及确定所述衬底载体是否需要修复,其中,所述载体修复站配置为接收要修复的衬底载体以及置换所述衬底载体中的一个或多个部件。
附图说明
当阅读附图时,连同如下详细说明一起可最优理解本公开的各个方面。应当强调的是,根据行业中标准的做法,不同的部件并不按比例绘制。事实上,为了清晰讨论,不同部件的尺寸可随意增加或减少。
图1示出了根据本公开的不同方面的用于半导体制造的系统的一部分的示意图。
图2示出了根据本公开的不同方面的衬底载体净化站的示意图。
图3示出了根据本公开的不同方面的衬底载体修复站和其中的工作流程。
图4示出了根据一个实施例的衬底载体修复站的更详细的视图。
图5示出了根据本公开的不同方面的用于自动检测衬底载体中的空气过滤器劣化的方法的流程图。
图6示出了根据本公开的不同方面的用于自动更换衬底载体的方法的流程图。
图7示出了根据本公开的不同方面的用于自动更换衬底载体中的部件的方法的流程图。
具体实施方式
如下公开提供许多不同的用于实施提供主旨的不同部件的实施例或示例。组件和设置的具体示例在如下描述以使本公开简化。当然,这些仅为示例并且不旨在为限制性的。例如,在如下描述中第一部件在第二部件上方或第一部件在第二部件上的构造可包括第一和第二部件直接接触形成的实施例,并且还可包括第一和第二部件之间可形成额外的部件的实施例,从而第一和第二部件不直接接触。另外,本公开可在不同的示例中重复参考数字和/或字母。该重复出于简单性和清晰性的目的并且就其本身而言并不决定在讨论的不同的实施例和/或配置之间的关系。
进一步地,空间相关的术语,例如“下方”、“下面”、“较低”、“上方”、“上部”和类似的词语,在此用于简化说明书以描述附图所示的元素或部件与另外的元素或部件的关系。空间相关的术语旨在包含除了附图中描绘的方向之外还有使用或实施中设备的方向。装置可为其他方向(枢转90度或在其他方向)并且在此使用的空间相关的描述符可同样相应解释。
本公开大体涉及半导体制造,更具体地,涉及在半导体制造期间用于处理衬底载体(诸如晶圆载体)的系统和方法。本公开的目标为自动检测衬底载体中的劣化、将劣化的衬底载体自动更换为新的衬底载体以及自动修复劣化的衬底载体。本公开对半导体制造提供许多益处。第一,提高生产效率和降低生产成本(例如,需要更少的劳动和更少的手工作业)。第二,更为可靠并且减少操作误差。第三,及时检测衬底载体劣化并且减少晶圆缺陷。在此使用的衬底可为晶圆(诸如具有125mm、150mm、200mm、300mm或450mm的直径的硅晶圆)、半导体衬底或晶圆、掩模、中间掩模、等离子体滤板、吸附垫、在其上实施处理以生产新的材料膜或材料层的任何基底材料和/或它们的组合。
图1示出了根据本公开的各个方面构建的半导体制造系统10的一部分的示意图。参考图1,系统10包括包含了“处理工具1”、“处理工具2”、…“处理工具N”的多个半导体处理工具12。系统10进一步包括一个或多个衬底载体净化站14、一个或多个衬底载体更换站16以及一个或多个衬底载体修复站18。系统10进一步包括用于传输一个或多个衬底载体22的如同沿着不同工具和站传输的高速通道的高架传输(OHT)20。系统10进一步包括用于在工具和站之间调度衬底载体22的自动化控制器24,诸如自动化物料搬运系统(AMHS)。在不同的实施例中,尽管在此未示出,或可省略在此讨论的一些组件,系统10可包括其他组件。
处理工具12中的每一个都可执行与在诸如半导体晶圆的衬底上制造集成电路相关联的一个或多个任务。任务可包括前段制程(FEOL)处理、中段制程(MEOL)处理和后段制程(BEOL)处理。例如,任务可包括衬底清洁;诸如外延、化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积和金属镀的材料沉积;诸如干蚀刻、湿蚀刻、反应离子蚀刻以及原子层蚀刻(ALE)的蚀刻;化学机械平坦化(CMP);烘烤;光刻胶涂覆、曝光、显影和灰化;以及不同的其他任务。处理工具12可每次处理一个衬底或一批次多个衬底。在一个实施例中,处理工具12可包括用作在处理前后收集多个衬底的缓冲的输入和输出负载平台。
根据制造流程,一个衬底或多个衬底从一个处理工具移动至另一个处理工具。通常,在处理步骤后,衬底从执行处理步骤的处理工具移除并且传递至暂时存储载体直至后续处理的衬底载体22。衬底载体22提供用于在其中容纳诸如1个、12个、13个或25个衬底的一个或多个衬底的防护外壳。在一些实施例中,衬底载体22为前开式统集盒(FOUP)。图1示出了作为系统10一部分的一个衬底载体22。在不同的实施例中,系统10可包括许多衬底载体22,并且衬底载体可为不同类型。例如,用于FEOL处理的衬底载体可与用于BEOL处理的衬底载体不同。例如,与后者相比,前者可为容纳在其中的衬底提供更洁净的环境。
仍参考图1,载体净化站14配置为使用惰性气体净化衬底载体22以确保衬底载体22为容纳在其中的衬底继续提供洁净和安全的环境。典型的半导体制造流程可包括许多(例如,大约70至100)个净化步骤。例如,可在如下包括干蚀刻、湿蚀刻、灰化、干清洗、湿清洗、沉积、CMP、镀和其他工艺中的每一个之后净化衬底载体22。例如,在收集一定数量的由处理工具12处理的衬底后,衬底载体22可(通过OHT20)传输至载体净化站14。随后,载体净化站14使用诸如氮气或另外的洁净干空气的惰性气体净化衬底载体22。该净化从衬底载体22移除化学残留物(例如,NH3、SO4、F、Cl、NO3、PO4等)。在此之后,衬底载体22(通过OHT20)传输至下一个处理工具12以用于进一步处理。在制造流程的一些步骤中,衬底载体22可以在不由载体净化站14净化的情况下从一个处理工具12(通过OHT20)传输至另一个处理工具12。
在本实施例中,衬底载体22包括有助于确保洁净外壳的一个或多个空气过滤器。在重复净化之后,这些空气过滤器可变得劣化(例如,撕裂或堵塞)。载体净化站14配置为检测这些空气过滤器中的劣化,其中更多的细节将连同图2讨论。当载体净化站14检测到空气过滤器(和耦合至空气过滤器的其他部件)对于衬底载体22来说已经不再安全,其可对自动化控制器24指示出,自动化控制器24随后可通过OHT20调度衬底载体22至载体更换站16。载体净化站14可通过无线或有线通信通道与自动化控制器24通信。
载体更换站16配置为将劣化的衬底载体置换为新的衬底载体。例如,它可用于将容纳在劣化的衬底载体中的所有衬底移动至新的衬底载体。在释放至生产(也就是说,调度至处理工具12)之前还可使用惰性气体净化新的衬底载体。可选地,可调度新的衬底载体返回至净化新的衬底载体的载体净化站14。在系统10的一些实施例中,载体净化站14和载体更换站16可实施为整体设备或分离的设备。
劣化的衬底载体(例如,从载体净化站14或载体更换站16)通过OHT20调度至载体修复站18。在一个实施例中,载体修复站18配置为接收劣化的衬底载体;打开一个或多个螺丝、覆盖衬底载体中的空气过滤器的盖子和/或外壳;移除空气过滤器;安装新的空气过滤器;检查新安装的空气过滤器;重新安装螺丝、盖子和/或外壳;以及将衬底载体释放返回至生产。实施载体修复站18的系统和方法的更多细节将连同图3和图4进一步描述。
系统10提供优于现存的半导体制造系统的许多益处。例如,载体净化站14使得能够自动检测衬底载体中的劣化。相比于由操作者目测检测衬底载体,该自动检测增加生产效率并且减少操作误差。再比如,载体修复站18使得能够进行衬底载体中的自动部件置换,相比于由操作者手动置换部件也增加生产效率和减少操作误差。
参考图2,根据一些实施例,在此示出了净化衬底载体22的载体净化站14。在本实施例中,衬底载体22包括主体(或外盖)26和凹槽或在主体26内部的用于在其中固定多个衬底(例如,硅晶圆)31的其他结构。衬底载体22进一步包括用于将衬底载体22附接至OHT20的把手28。衬底载体22进一步包括门(未示出),衬底31通过门置入衬底载体22或从衬底载体22取出。衬底载体22包括具有在其中安装的入口空气过滤器32的入口30,和具有在其中安装的出口空气过滤器36的出口34。在入口30和出口34内,衬底载体22可进一步包括用于固定空气过滤器的过滤器外壳(未示出)、用于固定过滤器外壳的盖子(未示出)、和可选择地位于过滤器外壳和相应的空气过滤器之间的O型环(未示出)。在一些实施例中,衬底载体22可包括一个以上的入口和一个以上的出口。在一个实施例中,衬底载体22可进一步包括外壳26内的传感器60。传感器60可为湿度传感器或气压传感器。
仍参考图2,在本实施例中,载体净化站14包括平台或基座40、用于辅助载体净化站14与OHT20的对准的对准传感器42、用于使载体净化站14与衬底载体22对准的导销44(例如,通过固定在衬底载体22中的导孔中)、用于将净化气体50供应至衬底载体22的入口30的入口46、以及用于从衬底载体22的出口34抽取排出气体52的出口48。净化气体50可包括氮气、另外的洁净的干空气或其他合适的惰性气体。如图2中所示,净化气体50将一些化学残留物或颗粒33由衬底载体22驱除至排出气体52内。一些化学残留物或颗粒33可由出口空气过滤器36吸收。
如上所讨论的,同样的衬底载体22可经历很多净化操作。在重复使用之后,空气过滤器32和/或空气过滤器36可劣化。例如,在其上的颗粒的沉积可堵塞空气过滤器32和36,由此增加其流阻并且降低其过滤质量。再比如,空气过滤器32和36可失去其机械强度并且随时间推移而撕裂。一旦空气过滤器32和36撕裂,衬底载体22将失去其抵抗外界保护衬底31的有效性。因此,及时检测此类的劣化(例如,堵塞或撕裂)对于确保优质生产是很重要的。
在本实施例中,载体净化站14进一步包括一个或多个湿度传感器62、一个或多个气体流速传感器64以及一个或多个颗粒传感器66。在本实施例中,湿度传感器62、气体流速传感器64和颗粒传感器66邻近出口48安装。进一步地,传感器62、64和66在净化期间与排出气体52具有直接接触。湿度传感器62可操作以检测排出气体52的湿度。气体流速传感器64可操作以检测排出气体52的流速。载体净化站14可进一步包括另一个可操作以检测净化气体50的流速的气体流速传感器(未示出)。在一个实施例中,颗粒传感器66可操作以检测排出气体52中的颗粒的尺寸。可选地或额外地,颗粒传感器66可操作以检测排出气体52中的颗粒的数量(或颗粒数量)或可操作以检测排出气体52中的颗粒的密度。在不同的实施例中,载体净化站14可包括用于检测排出气体52中的颗粒尺寸、颗粒数量和颗粒密度的一个颗粒传感器66,或可包括用于各自执行上述功能的单独的颗粒传感器。使用不同的传感器60、62、64以及66,载体净化站14能够生成衬底载体22的健康指示器。在一个实施例中,健康指示器指示出空气过滤器32和36是否需要置换。
载体净化站14进一步包括检测控制器68。在本实施例中,检测控制器68为诸如具有在其上运行的软件的电脑的计算机化工具。例如,检测控制器68可包括处理器或微处理器、输入设备、存储设备以及由一个或多个总线互连的通信设备,并且可执行用于执行载体净化站14的不同任务的软件指令。这些任务中的一些在下面讨论。
在一个实施例中,载体净化站14生成基于衬底载体22内部的气压的测量的健康指示器。例如,检测控制器68可诸如在检测控制器68和传感器60两者之间使用有线或无线连接读出由传感器60测量的气压值。再比如,检测控制器68可在不使用传感器60的情况下检测衬底载体22内部的气压。作为替代地,其可基于排出气体52的流速(由气体流速传感器64检测)和可选地净化气体50的流速计算出衬底载体22内部的气压。检测控制器68可利用其他方法或机制来检测或测量衬底载体22内部的气压。一旦获知衬底载体22内部的气压值,检测控制器68可随后将其与期望或预设的气压范围(也就是说,具有上限和下限)比较。如果测量的或检测的气压值在预设的气压范围之外,随后健康指示器触发以指示衬底载体22需要修复。
在一个实施例中,载体净化站14基于排出气体52中的湿度的测量生成健康指示器。例如,检测控制器68可读出由湿度传感器62测量的排出气体52的湿度值,并且将测量的湿度值与预设的湿度范围(也就是说,具有上限和下限)比较。如果测量的湿度值在预设的湿度范围之外,随后健康指示器触发以指示衬底载体22需要修复。
在另一个实施例中,载体净化站14基于排出气体52的流速的测量生成健康指示器。例如,检测控制器68可读出由气体流速传感器64测量的排出气体52的流速值,并且将测量的流速值与预设的流速范围(也就是说,具有上限和下限)比较。如果测量的流速值在预设的流速范围之外,随后健康指示器触发以指示衬底载体22需要修复。例如,非常低的流速指示出空气过滤器32和/或空气过滤器36可能已经堵塞,并且非常高的流速指示出空气过滤器32和/或空气过滤器36可能已经撕裂。
在又一个实施例中,载体净化站14基于排出气体52的颗粒尺寸的测量生成健康指示器。例如,检测控制器68可读出由颗粒传感器66检测的最大颗粒尺寸,并且将该值与颗粒尺寸阈值比较。如果检测的颗粒尺寸超过颗粒尺寸阈值,随后健康指示器触发以指示出衬底载体22需要修复。例如,排出气体52中具有大颗粒指示出空气过滤器36已经失去其预期的过滤性能。
在又一个实施例中,载体净化站14基于排出气体52的颗粒数量的测量生成健康指示器。例如,检测控制器68可读出由颗粒传感器66测量的颗粒数量,并且将该值与颗粒数量阈值比较。如果检测的颗粒数量超过颗粒数量阈值,随后健康指示器触发以指示出衬底载体22需要修复。例如,排出气体52中具有过多的颗粒指示出空气过滤器32和/或空气过滤器36已经失去预期的过滤性能。
根据本公开的不同方面,图3以透视图示出了载体修复站18和OHT20以及在其中的工作流程的一个实施例。参考图3,在本实施例中,载体修复站18包括用于接收来自OHT20的衬底载体22的输入端口80和用于在修复之后释放衬底载体22至OHT20的输出端口82。在输入端口80和输出端口82之间,载体修复站18进一步包括用于从衬底载体22移除螺丝、盖子和/或过滤器外壳以暴露空气过滤器32和空气过滤器36(图2)的第一机构(例如,机械臂);以及用于从衬底载体22移除原有的空气过滤器以及将新的空气过滤器安装在衬底载体22内的第二机构(例如,一个或多个机械臂)。在一个实施例中,载体修复站18进一步包括用于将螺丝、盖子和/或过滤器外壳重新安装在衬底载体22内的第三机构(例如,机械臂)。在一个可选的实施例中,第一和第三机构共享同样的设备或机械臂。载体修复站18可进一步包括用于检查衬底载体22中新安装的空气过滤器的自动检查机构(例如,光学传感器)。载体修复站18包括用于在站内协调工作流程的控制器104。
图4示出了根据一个实施例的载体修复站18的更详细的视图。参考图4,输入端口80和输出端口82可设计为每次保持一个衬底载体22。可选地,输入端口80和输出端口82可设计为每次保持多个衬底载体22。进一步地,输入端口80和输出端口82可设计为适应诸如具有不同尺寸和配置的不同类型的衬底载体22。一旦衬底载体22由输入端口80接收,载体移动器84可操作以将衬底载体22由输入端口82移动至载体修复站18的诸如打开器/关闭器86、旋转器88、安装器/拆卸器90以及组件检查器94的其他组件。图4示出了以流线型方式由载体修复站18修复的多个衬底载体22。
在本实施例中,旋转器88可操作以将衬底载体22旋转至这样的位置:使得空气过滤器和衬底载体22的其他部件可由载体修复站18中的诸如打开器/关闭器86、安装器/拆卸器90以及组件检查器94的不同的组件容易地接入。例如,不同类型的衬底载体(例如,用于FEOL的衬底载体、用于BEOL的衬底载体、来自不同供应商的衬底载体等)可具有其安装在相应的衬底载体的不同位置的空气过滤器。旋转器88可配置为使衬底载体22旋转,使得不管衬底载体22的类型如何,在其中的空气过滤器相对于打开器/关闭器86、安装器/拆卸器90以及组件检查器94总是在同样的位置出现。
例如,通过拧开盖子并且从过滤器外壳拉出,打开器/关闭器86可操作以打开衬底载体22的过滤器外壳,并且,例如,通过将过滤器外壳插入进衬底载体并且将盖子拧回以关闭过滤器外壳。安装器/拆卸器90可操作以移除诸如O型环和空气过滤器的原有的空气过滤部件并且安装相应的新部件。组件检查器94可操作以检查新安装的部件,特别是新安装的空气过滤器。在一个实施例中,组件检查器94包括用于在空气过滤器安装在衬底载体22中之后检测空气过滤器的平坦度的激光传感器和/或光学传感器。在另一个实施例中,组件检查器94包括用于给空气过滤器拍照的相机,其中该相机可由控制器104使用以确定空气过滤器的平坦度。在又一个实施例中,组件检查器94可操作以核查新安装的空气过滤器相对于入口30和/或出口34(图2)的对准。在衬底载体22已经通过组件检查器94的检查之后,载体移动器84可将衬底载体22传递至输出端口82。
载体修复站18进一步包括用于向安装器/拆卸器90供应诸如新空气过滤器和新O型环的新部件的不同的组件。在本实施例中,载体修复站18包括用于保持新部件的一个或多个部件容器98、用于检查新部件的部件检查器96、用于向安装器/拆卸器90供应已经通过检查的新部件的滑块92、用于保持从衬底载体22移除的原有部件的部件处置器100、以及用于保持未通过检查的新部件的部件诊断容器102。在一个实施例中,部件检查器96包括用于给新部件(例如,新的空气过滤器)拍照的相机(例如,CCD相机或CMOS相机),并且控制器104可由照片确定新的部件是否具有高质量,诸如是否具有预期的尺寸和形状以及无褶皱和孔洞。
应当注意的是,载体修复站18在一些实施例中可包括在此未示出的额外的组件并且在一些实施例中可省略上述讨论的一些组件。进一步地,在此讨论的一些组件可结合到载体修复站18的实施例中的一个组件。
图5示出了根据本公开的各个方面的用于净化衬底载体和自动检测衬底载体中的劣化的方法200的流程图。例如,方法200的实施例可由载体净化站14或用载体净化站14实施。方法200仅为示例,并不旨在限制本公开权利要求中明确陈述之外的内容。额外的操作可在方法200之前、期间以及之后提供,并且对于方法的额外实施例,描述的一些操作可置换、消除、或移动。方法200连同图1和图2进一步在下面描述。
在操作202处,方法200(图5)接收诸如衬底载体22的载体。载体在其中容纳多个衬底。参考图1,载体可通过OHT20由载体净化站14从处理工具12中的一个或从载体更换站16接收。在一个示例中,多个衬底可为硅晶圆。载体包括用于接收净化气体的入口和用于排出排出气体的出口。载体进一步包括在入口处的入口空气过滤器和在出口处的出口空气过滤器。
在操作204处,方法200(图5)将净化气体供应至载体,例如,通过载体的入口。在一些实施例中,净化气体可以以1-15升每分钟(L/min)的流速供应。净化气体可包括氮气、另外的洁净的干空气或其他合适的惰性气体。在操作206处,方法200从载体抽出排出气体,例如,通过载体的出口。操作204和操作206可同时执行。进一步地,在一些实施例中,操作204和操作206可执行大约10至650秒。
在执行操作204和操作206的同时,方法200(图5)进一步包括监测载体的操作环境的操作208。例如,操作208可包括操作208a、208b、208c、208d、208e和208f的一个或多个。操作208a测量载体内部的气压。操作208b测量排出气体的湿度。操作208c测量排出气体的流速。操作208d测量排出气体中的颗粒数量。操作208e测量排出气体中的颗粒尺寸。操作208f测量净化气体的流速。
在操作210处,方法200(图5)基于载体的操作环境生成载体的健康指示器。例如,健康指示器可基于一个或多个以下条件生成:(a)由操作208a测量的气压是否在预设的气压范围之外;(b)由操作208b测量的湿度等级是否在预设的湿度范围之外;(c)由操作208c测量的排出气体流速是否在预设的流速范围之外;(d)由操作208d测量的颗粒数量是否超出颗粒数量阈值;以及(e)由操作208e测量的颗粒尺寸是否超出颗粒尺寸阈值。如果满足一个或多个如上的条件,健康指示器采用指示载体不健康的值。方法200可额外地或可选地使用其他标准以生成健康指示器。
在操作212处,方法200(图5)确定载体是否需要修复。在一个实施例中,如果健康指示器指示出载体为不健康的,随后载体应该得到修复。在另一个实施例中,方法200可保持追踪对相同的载体应用的净化操作的数量。如果该数量超过阈值,随后载体应该得到修复。例如,空气过滤器可具有使用的最大极限(也就是说,空气过滤器的使用寿命),该使用的最大极限可由空气过滤器供应商或载体供应商规定。一旦达到该极限,空气过滤器到达其可用寿命的尽头并且对于进一步使用来说视为不安全的。在这种情况下,不管健康指示器是否指示出载体不健康,方法200可确定载体需要修复。
如果操作212确定载体不需修复,方法200调度载体(操作214)至下一个处理工具12用于进一步制造工艺。如果操作212确定载体需要修复,方法200调度载体(操作216)至载体更换站,在载体更换站中,容纳在载体中的衬底可从载体移除并且置入另一个载体。
图6示出了根据本公开的各个方面的用于更换衬底载体的方法300的流程图。例如,方法300的实施例可由载体更换站16或使用载体更换站16实施。方法300仅为示例,并且不旨在限制本公开权利要求中明确陈述之外的内容。额外的操作可在方法300之前、期间和之后提供,并且对于方法的额外实施例,一些描述的操作可置换、消除或移动。
在操作302处,方法300接收具有容纳在其中的衬底的载体。参考图1,载体可由载体更换站16通过OHT20从载体净化站14接收。在操作304处,方法300打开载体并且将衬底从一个载体移动至另一个载体(“新载体”)。在操作306处,方法300净化具有容纳在其中的衬底的新载体,例如,通过载体净化站14净化(图1)。在净化之后,方法300将新载体释放至生产中(操作308),例如,通过调度具有容纳在其中的衬底的新载体至处理工具12(图1)。在操作310处,方法300将原有的载体送至诸如载体修复站18的载体修复站用于修复。
图7示出了根据本公开的各个方面的用于修复衬底载体的方法400的流程图。例如,方法400的实施例可由载体修复站18或使用载体修复站18实施。方法400仅为示例,并且不旨在限制本公开权利要求中明确陈述之外的内容。额外的操作可在方法400之前、期间和之后提供,并且对于方法的额外实施例,一些描述的操作可置换、消除或移动。
在操作402处,方法400(图7)接收载体。在本实施例中,载体中没有衬底。参考图1,载体可通过OHT20由载体修复站18从载体更换站16接收。更具体地,载体可由载体修复站18的输入端口80接收(图3和图4)。
在操作404处,方法400(图7)移除载体的入口和出口外壳上的盖子,例如,通过将盖子拧开。在操作406处,方法400可选地使载体旋转,使得外壳和其中的空气过滤器可容易地接入。在操作408处,方法400将入口和出口外壳从载体拆卸下来以暴露载体中的空气过滤器。在操作410处,如果有(O型环)的话,方法400将O型环从载体移除。在操作412处,方法400将入口和出口空气过滤器从载体移除。
在操作414处,方法400(图7)检索诸如新的空气过滤器和可选的新O型环的新部件以安装到载体。在操作416处,方法400检查新部件以确保这些部件没有缺陷。如果在新部件中找到缺陷,方法400可重复操作414和416,直至找到没有缺陷的新部件。
在操作418处,方法400(图7)将诸如新的入口和出口空气过滤器的新部件安装在载体中。如果需要的话,方法400可选地将新的O型环安装在载体中。在操作420处,方法400将入口和出口外壳以及盖子重新安装在载体上。在操作422处,方法400检查新安装的部件。例如,可使用光学传感器以检测新安装的空气过滤器的表面平坦度。如果新安装的部件未通过检查,方法400返回至操作408并且重复操作408至操作422。如果新安装的部件通过检查,方法400将载体释放回生产(操作424)。参考图3和图4,载体可通过OHT20置入输出端口82并且等待调度。
在一个实施例中,方法400的上述操作中的一个或多个使用计算机化机械设备实施,诸如机械臂。这有利地减少制造停工期并且增加载体的可靠性和质量。
尽管不旨在为限制性的,本公开的一个或多个实施例对半导体制造提供许多益处。例如,本公开的实施例自动检测衬底载体中的劣化,这减少人工作业并且增加生产效率。它还更可靠且及时,由此减少晶圆缺陷。进一步地,本公开的实施例自动置换衬底载体中劣化的空气过滤器,由此增加生产效率并且减少操作误差。
在一个示范性的方面,本公开针对一种方法。方法包括接收内部具有多个晶圆的载体;向载体的入口供应净化气体;从载体的出口抽取排出气体;以及在供应净化气体和抽取排出气体的同时生成载体的健康指示器。
在方法的一个实施例中,健康指示器的生成基于如下条件中的一个或多个:(a)载体内部的气压的测量、(b)排出气体的湿度的测量、(c)排出气体的流速的测量以及(d)排出气体中的颗粒的测量。
在方法的另一个实施例中,健康指示器的生成包括读取由载体内部安装的压力传感器测量的气压值;将气压值与预设的气压范围比较;以及当气压值在预设气压范围之外时指示出载体需要修复。
在方法的另一个实施例中,健康指示器的生成包括读取由邻近载体的出口安装的湿度传感器测量的排出气体的湿度值;将湿度值与预设的湿度范围比较;以及当湿度值在预设湿度范围之外时指示出载体需要修复。
在方法的另一个实施例中,健康指示器的生成包括测量排出气体的流速;将该流速与预设的流速范围比较;以及当该流速在预设的流速范围之外时指示出载体需要修复。
在方法的另一个实施例中,健康指示器的生成包括测量排出气体中携带的颗粒的尺寸;将该尺寸与尺寸阈值比较;以及当该尺寸中的一种超出尺寸阈值时指示出载体需要修复。
在方法的又一个实施例中,健康指示器的生成包括测量排出气体中携带的颗粒数量;将该数量与数量阈值比较;以及当该数量超出数量阈值时指示出载体需要修复。
在一个实施例中,当健康指示器指示出载体需要修复时,方法进一步包括将多个晶圆从载体移除;以及通过高架传输(OHT)将载体调度至载体修复站。在一个进一步的实施例中,方法包括由载体修复站接收载体;通过第一机动化工具将载体的入口处的入口过滤器置换为新的入口过滤器;通过第二机动化工具将载体的出口处的出口过滤器置换为新的出口过滤器;以及通过第三机动化工具检查新的入口过滤器和新的出口过滤器。在一个进一步的实施例中,方法包括:在新的入口过滤器和新的出口过滤器都通过检查的条件下,将具有新的入口过滤器和新的出口过滤器的载体通过OHT调度至处理工具。在另一个实施例中,方法包括:在新的入口过滤器和新的出口过滤器中的一个未通过检查的条件下,将新的入口过滤器和新的出口过滤器中的该一个置换为置换过滤器;以及检查置换过滤器。
在另一个示范性的方面,本公开针对用于半导体制造的装置。装置包括输入端口以接收载体,其中载体包括载体主体、安装在载体主体上的外壳以及安装在载体主体和外壳之间的过滤器;第一机械臂以将外壳从载体拆卸下来并且将外壳安装到载体内;一个或多个第二机械臂以将过滤器从载体移除并且将新的过滤器安装到载体内;以及输出端口以将载体释放至生产。
在一个实施例中,一个或多个第二机械臂进一步配置为安装和拆卸过滤器和外壳之间的O型环。在一个实施例中,装置进一步包括第一检查机构以在新的过滤器由一个或多个第二机械臂安装之前检查新的过滤器。在一个实施例中,第一检查机构包括给新的过滤器拍照的相机;以及基于照片确定新的过滤器的质量的控制器。
在一个实施例中,装置进一步包括第二检查机构以在新的过滤器已经由一个或多个第二机械臂安装之后检查新的过滤器。在一个实施例中,第二检查机构包括激光机构以检测新的过滤器的表面的平坦度。
在另一个示例性的方面,本公开针对一种系统。系统包括多个半导体处理工具;载体净化站;载体修复站;以及用于在多个半导体处理工具、载体净化站以及载体修复站之间传输一个或多个衬底载体的高架传输(OHT)环路。载体净化站配置为从多个半导体处理工具中的一个接收衬底载体、用惰性气体净化衬底载体以及确定衬底载体是否需要修复。载体修复站配置为接收要修复的衬底载体和置换衬底载体中的一个或多个部件。
在一个实施例中,系统进一步包括载体更换站,载体更换站配置为接收具有衬底的衬底载体、将衬底从衬底载体移除以及将衬底置入另一个衬底载体。
在系统的另一个实施例中,载体净化站配置为基于如下条件中至少一个确定衬底载体是否需要修复:衬底载体中的一个或多个部件是否已经达到它们的相应的使用寿命;当净化衬底载体时衬底载体内部的气压的测量;当净化衬底载体时排出湿度的测量;当净化衬底载体时排出流速的测量;以及当净化衬底载体时排出颗粒的测量。
在系统的一个实施例中,一个或多个部件包括衬底载体中的空气过滤器。在系统的另一个实施例中,衬底载体配置为承载多个晶圆。
在另一个示范性方面,本公开针对一种方法。方法包括净化晶圆载体以生成排出气体;以及基于如下条件中的一个或多个确定晶圆载体是否需要修复:晶圆载体内部的气压是否在预设的气压范围之内;排出气体的湿度是否在预设湿度范围之内;排出气体的流速是否在预设的流速范围之内;排出气体中的颗粒的尺寸是否小于尺寸阈值;排出气体中的颗粒数量是否小于数量阈值;以及晶圆载体中的过滤器是否达到其寿命的终点。
在一个实施例中,当确定晶圆载体需要修复时,方法进一步包括使用高架传输(OHT)环路将晶圆载体送至载体修复站;以及通过载体修复站置换晶圆载体的一个或多个部件。在一个进一步的实施例中,一个或多个部件的置换包括:使用OHT环路接收晶圆载体;用至少一个机械臂将一个或多个部件从晶圆载体移除;用至少另一个机械臂将一个或多个置换部件安装在晶圆载体中;以及用至少一个光学设备检查一个或多个置换部件。在一个进一步的实施例中,如果一个或多个置换部件通过检查,方法进一步包括使用OHT环路将晶圆载体释放至半导体处理工具。
在另一个示范性方面中,本公开针对一种装置。装置包括输入端口以接收晶圆载体;供应容器以保持多个新的空气过滤器;第一机构以将空气过滤器从晶圆载体移除;第二机构以将新的空气过滤器从供应容器拾起;第三机构以将新的空气过滤器安装在晶圆载体中;以及输出端口以在新的空气过滤器已经安装之后传送晶圆载体。
在一个实施例中,装置进一步包括旋转器以使晶圆载体旋转。在另一个实施例中,装置进一步包括第四机构以将外壳从晶圆载体移除以暴露空气过滤器,其中第四机构配置为在新的空气过滤器已经安装之后重新安装外壳。
在一个实施例中,装置进一步包括第一检查机构以在新的空气过滤器安装在晶圆载体内之前检查新的空气过滤器。在一个实施例中,装置进一步包括处置容器以在空气过滤器从晶圆载体移除后接收空气过滤器。在又一个实施例中,装置进一步包括第二检查机构以在新的空气过滤器安装在晶圆载体中之后检查新的空气过滤器。
在又一个示范性的方面,本公开针对一种系统。系统包括多个半导体处理工具;晶圆载体;晶圆载体净化站;晶圆载体更换站;晶圆载体修复站;以及用于在半导体处理工具、晶圆载体净化站、晶圆载体更换站以及晶圆载体修复站之间传输晶圆载体的高架传输(OHT)系统。
在系统的一个实施例中,多个半导体处理工具中的一个配置为:使用OHT系统接收具有多个晶圆的晶圆载体;处理多个晶圆,从而产生多个处理后的晶圆;以及使用OHT系统将多个处理后的晶圆传输至晶圆载体以送至晶圆载体净化站。
在另一个实施例中,晶圆载体净化站配置为:使用OHT系统接收具有多个晶圆的晶圆载体;将净化气体供应至晶圆载体;以及监测来自晶圆载体的排出气体。在一个进一步的实施例中,晶圆载体净化站配置为监测排出气体以确定以下条件中的至少一个:排出气体的湿度;排出气体的流速;排出气体中的颗粒数量;以及排出气体中的颗粒尺寸。在另一个进一步的实施例中,晶圆载体净化站进一步配置为监测晶圆载体内部的气压。在又一个进一步的实施例中,晶圆载体净化站进一步配置为确定晶圆载体是否需要修复。
在系统的一个实施例中,晶圆载体更换站配置为:使用OHT系统接收具有多个晶圆的晶圆载体;将多个晶圆从晶圆载体移动至另一个晶圆载体;以及使用OHT系统将不具有任何晶圆的晶圆载体送至晶圆载体修复站。在一个进一步的实施例中,晶圆载体修复站配置为:使用OHT系统接收不具有任何晶圆的晶圆载体;将外壳从晶圆载体移除以暴露空气过滤器;将空气过滤器置换成新的空气过滤器;将外壳重新安装在晶圆载体内;以及使用OHT系统将具有新的空气过滤器的晶圆载体释放。
前述略述了若干实施例的特征,从而本领域的一般技术人员可更优理解本公开的各个方面。本领域的一般技术人员应当领会到,可容易地作为用于设计或修饰用于实施在此介绍的实施例的同样的目的和/或达到同样的益处的其他处理和结构使用本公开。本领域的一般技术人员还应理解,等效的结构不背离本公开的主旨和范围,并且在不背离本公开的主题和范围的前提下,可在此作出不同的改变、替代、以及修改。

Claims (18)

1.一种用于半导体制造的方法,包括:
接收在内部具有多个晶圆的载体;
向所述载体的入口供应净化气体;
从所述载体的出口将排出气体抽出;以及
在执行所述净化气体的供应和所述排出气体的抽出的同时,生成所述载体的健康指示器,当所述健康指示器指示出所述载体需要修复时,进一步包括:
将多个晶圆从所述载体移除;以及
通过高架传输(OHT)将所述载体调度至载体修复站,
其中,所述健康指示器的生成基于以下条件中的一个或多个:(a)所述载体内部的气压的测量与预设范围的比较,(b)所述排出气体的湿度的测量与预设范围的比较,(c)所述排出气体的流速的测量与预设范围的比较,以及(d)所述排出气体中的颗粒的测量与预设范围的比较。
2.根据权利要求1所述的方法,其中,所述健康指示器的生成包括:
读取由在所述载体内部安装的压力传感器测量的气压值;
将所述气压值与预设气压范围比较;以及
当所述气压值在所述预设气压范围之外时指示出所述载体需要修复。
3.根据权利要求1所述的方法,其中,所述健康指示器的生成包括:
读取由邻近所述载体的所述出口安装的湿度传感器测量的所述排出气体的湿度值;
将所述湿度值与预设湿度范围比较;以及
当所述湿度值在所述预设湿度范围之外时指示出所述载体需要修复。
4.根据权利要求1所述的方法,其中,所述健康指示器的生成包括:
测量所述排出气体的流速;
将所述流速与预设流速范围比较;以及
当所述流速在所述预设流速范围之外时指示出所述载体需要修复。
5.根据权利要求1所述的方法,其中,所述健康指示器的生成包括:
测量所述排出气体中携带的颗粒的尺寸;
将所述尺寸与尺寸阈值比较;以及
当所述尺寸中的一种超出所述尺寸阈值时指示出所述载体需要修复。
6.根据权利要求1所述的方法,其中,所述健康指示器的生成包括:
测量所述排出气体中携带的颗粒的数量;
将所述数量与数量阈值比较;以及
当所述数量超出所述数量阈值时指示出所述载体需要修复。
7.根据权利要求1所述的方法,进一步包括:
通过所述载体修复站接收所述载体;
通过第一机动化工具将所述载体的所述入口处的入口过滤器置换为新的入口过滤器;
通过第二机动化工具将所述载体的所述出口处的出口过滤器置换为新的出口过滤器;
通过第三机动化工具检查新的入口过滤器和新的出口过滤器。
8.根据权利要求7所述的方法,进一步包括:
在所述新的入口过滤器和所述新的出口过滤器都通过所述检查的条件下,通过所述高架传输将具有所述新的入口过滤器和所述新的出口过滤器的所述载体调度至处理工具。
9.根据权利要求7所述的方法,进一步包括:
在所述新的入口过滤器和所述新的出口过滤器中的一个未通过所述检查的条件下,将所述新的入口过滤器和所述新的出口过滤器中的所述一个置换为置换过滤器;以及
检查所述置换过滤器。
10.一种用于半导体制造的装置,包括:
载体净化站,配置为接收载体、使用惰性气体净化所述载体以及确定所述载体是否需要修复,
输入端口,所述输入端口接收要修复的载体,其中,所述载体包括载体主体、在所述载体主体上安装的外壳、以及在所述载体主体和所述外壳之间安装的过滤器;
第一机械臂,所述第一机械臂将所述外壳从所述载体拆下以及将所述外壳安装在所述载体内;
一个或多个第二机械臂,所述一个或多个第二机械臂将所述过滤器从所述载体移除以及将新的过滤器安装在所述载体内;以及
输出端口,所述输出端口将所述载体释放至生产,
其中,所述载体净化站配置为基于以下条件中的至少一个确定所述载体是否需要修复:
当净化所述载体时所述载体内部的气压的测量与预设范围的比较;
当净化所述载体时排出湿度的测量与预设范围的比较;
当净化所述载体时排出流速的测量与预设范围的比较;以及
当净化所述载体时排出颗粒的测量与预设范围的比较。
11.根据权利要求10所述的装置,其中,所述一个或多个第二机械臂进一步配置为在所述过滤器和所述外壳之间安装和拆卸O型环。
12.根据权利要求10所述的装置,进一步包括:
第一检查机构,所述第一检查机构在所述新的过滤器由所述一个或多个第二机械臂安装之前检查所述新的过滤器。
13.根据权利要求12所述的装置,其中,所述第一检查机构包括:
相机,所述相机为所述新的过滤器拍照;以及
控制器,所述控制器基于照片确定所述新的过滤器的质量。
14.根据权利要求10所述的装置,进一步包括:
第二检查机构,所述第二检查机构在所述新的过滤器已经由所述一个或多个第二机械臂安装之后检查所述新的过滤器。
15.根据权利要求14所述的装置,其中,所述第二检查机构包括激光机构以检测所述新的过滤器的表面的平坦度。
16.一种半导体制造系统,包括:
多个半导体处理工具;
载体净化站;
载体修复站;以及
高架传输环路,所述高架传输环路用于将一个或多个衬底载体在所述多个半导体处理工具、所述载体净化站以及所述载体修复站之间传输,
其中,所述载体净化站配置为从所述多个半导体处理工具中的一个接收所述衬底载体、使用惰性气体净化所述衬底载体以及确定所述衬底载体是否需要修复,
其中,所述载体修复站配置为接收要修复的衬底载体以及置换所述衬底载体中的一个或多个部件,
其中,所述载体净化站配置为基于以下条件中的至少一个确定所述载体是否需要修复:
当净化所述载体时所述衬底载体内部的气压的测量与预设范围的比较;
当净化所述载体时排出湿度的测量与预设范围的比较;
当净化所述载体时排出流速的测量与预设范围的比较;以及
当净化所述载体时排出颗粒的测量与预设范围的比较。
17.根据权利要求16所述的半导体制造系统,进一步包括:
载体更换站,所述载体更换站配置为接收具有衬底的衬底载体、将所述衬底从所述衬底载体移除以及将所述衬底置入另一个衬底载体。
18.根据权利要求16所述的半导体制造系统,其中,所述载体净化站配置为还基于以下条件确定所述衬底载体是否需要修复:
所述衬底载体中的所述一个或多个部件是否已经达到它们的相应的使用寿命。
CN201810084030.3A 2017-10-26 2018-01-29 衬底载体劣化检测及修复 Active CN109712867B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/794,352 2017-10-26
US15/794,352 US10861692B2 (en) 2017-10-26 2017-10-26 Substrate carrier deterioration detection and repair

Publications (2)

Publication Number Publication Date
CN109712867A CN109712867A (zh) 2019-05-03
CN109712867B true CN109712867B (zh) 2021-06-22

Family

ID=66137750

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810084030.3A Active CN109712867B (zh) 2017-10-26 2018-01-29 衬底载体劣化检测及修复

Country Status (5)

Country Link
US (3) US10861692B2 (zh)
KR (2) KR102074472B1 (zh)
CN (1) CN109712867B (zh)
DE (1) DE102017125778A1 (zh)
TW (1) TWI690701B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11819965B2 (en) 2019-05-17 2023-11-21 Taiwan Semiconductor Manufacturing Company Limited Device maintenance in semiconductor manufacturing environment
KR102140063B1 (ko) 2020-01-30 2020-08-03 주식회사 위드텍 웨이퍼캐리어의 파티클 측정 장치
US11666951B2 (en) * 2020-07-10 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer handler cleaning tool
CN111604810B (zh) * 2020-07-24 2020-11-03 杭州众硅电子科技有限公司 一种晶圆传输设备、化学机械平坦化装置及晶圆传输方法
TWI806013B (zh) * 2021-03-12 2023-06-21 旭東機械工業股份有限公司 取像設備
TWI769737B (zh) * 2021-03-12 2022-07-01 旭東機械工業股份有限公司 盒蓋裝卸機構及盒蓋檢測方法
US20220392811A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for processing wafer
KR102409487B1 (ko) 2022-04-19 2022-06-15 주식회사 위드텍 반도체 웨이퍼 풉용 로드포트의 분석가스 공급을 위한 제어장치 및 그 제어방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120083918A1 (en) * 2010-09-30 2012-04-05 Katsuhiro Yamazaki Sealed container and semiconductor manufacturing apparatus
CN203466172U (zh) * 2012-11-05 2014-03-05 圣凰科技有限公司 具流量控制的晶圆载具气体填充装置

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR940011791B1 (ko) * 1992-04-15 1994-12-26 금성일렉트론주식회사 온도안정화 매스 플로우 컨트롤러 센서
JP3939101B2 (ja) 2000-12-04 2007-07-04 株式会社荏原製作所 基板搬送方法および基板搬送容器
WO2002093622A2 (en) 2001-05-17 2002-11-21 Ebara Corporation Substrate transport container
CH712588B1 (de) * 2002-11-20 2017-12-29 Imt Imformation Management Tech Ag Gasflussmessgerät.
US6779760B2 (en) 2002-12-19 2004-08-24 Taiwan Semiconductor Manufacturing Co., Ltd Safety system for overhead transport vehicle
US20050079041A1 (en) * 2003-10-13 2005-04-14 International Business Machines Corporation Hoisting device for use with overhead traveling carriage system
US7400383B2 (en) * 2005-04-04 2008-07-15 Entegris, Inc. Environmental control in a reticle SMIF pod
US7520286B2 (en) 2005-12-05 2009-04-21 Semitool, Inc. Apparatus and method for cleaning and drying a container for semiconductor workpieces
JP4913707B2 (ja) 2007-11-07 2012-04-11 日本碍子株式会社 フィルタの検査方法及びフィルタ検査装置
US8827695B2 (en) 2008-06-23 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer's ambiance control
US20100051502A1 (en) * 2008-09-04 2010-03-04 3M Innovative Properties Company Carrier having integral detection and measurement of environmental parameters
US10020182B2 (en) 2009-08-07 2018-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Digital wireless data collection
KR101780789B1 (ko) 2010-03-15 2017-09-22 삼성전자주식회사 기판 이송 용기, 가스 퍼지 모니터링 툴, 그리고 이들을 구비한 반도체 제조 설비
US8591809B2 (en) * 2010-03-15 2013-11-26 Samsung Electronics Co., Ltd. Substrate transfer container, gas purge monitoring tool, and semiconductor manufacturing equipment with the same
KR101796656B1 (ko) 2010-04-30 2017-11-13 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8616821B2 (en) 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
US20140041186A1 (en) 2010-11-11 2014-02-13 Zimmermann & Schilp Handhabungstechnik Gmbh Method for loading and unloading a cassette
KR101476721B1 (ko) 2012-05-08 2015-01-06 주식회사 엘에스테크 다기능 로드 포트 장치
KR102048847B1 (ko) 2012-11-15 2019-11-26 어플라이드 머티어리얼스, 인코포레이티드 엣지 제외부 쉴드를 유지보수하기 위한 방법 및 시스템
US9257439B2 (en) 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US9677985B2 (en) * 2014-05-13 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for inspecting filtering cartridge
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
DE102016205597B4 (de) * 2016-04-05 2022-06-23 Fabmatics Gmbh Purge-Messsystem für FOUPs
US10818530B1 (en) * 2017-08-30 2020-10-27 Murata Machinery, Ltd. Substrate carriers with isolation membrane

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120083918A1 (en) * 2010-09-30 2012-04-05 Katsuhiro Yamazaki Sealed container and semiconductor manufacturing apparatus
CN203466172U (zh) * 2012-11-05 2014-03-05 圣凰科技有限公司 具流量控制的晶圆载具气体填充装置

Also Published As

Publication number Publication date
KR102074472B1 (ko) 2020-02-06
DE102017125778A1 (de) 2019-05-02
CN109712867A (zh) 2019-05-03
US10861692B2 (en) 2020-12-08
US20230191619A1 (en) 2023-06-22
KR20190046581A (ko) 2019-05-07
TWI690701B (zh) 2020-04-11
US11584019B2 (en) 2023-02-21
KR102171125B1 (ko) 2020-10-29
US20190131119A1 (en) 2019-05-02
US20210118665A1 (en) 2021-04-22
TW201917371A (zh) 2019-05-01
KR20200015657A (ko) 2020-02-12

Similar Documents

Publication Publication Date Title
CN109712867B (zh) 衬底载体劣化检测及修复
US20230062287A1 (en) Semiconductor stocker systems and methods
US20080251473A1 (en) Apparatus for storing contamination-sensitive flat articles, in particular for storing semiconductor wafers
KR20060052345A (ko) 기판 처리 시스템
JP2007273792A (ja) 基板処理装置
CN112433446A (zh) 光罩检测装置及光罩清洁方法
CN110802081A (zh) 光罩运输方法及设备
CN214427743U (zh) 一种光罩盒用载台结构
JP5386137B2 (ja) 試料測定装置
CN114758972A (zh) 装载端口及其操作方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant