KR102171125B1 - 기판 캐리어 퇴화 검출 및 수리 - Google Patents

기판 캐리어 퇴화 검출 및 수리 Download PDF

Info

Publication number
KR102171125B1
KR102171125B1 KR1020200011846A KR20200011846A KR102171125B1 KR 102171125 B1 KR102171125 B1 KR 102171125B1 KR 1020200011846 A KR1020200011846 A KR 1020200011846A KR 20200011846 A KR20200011846 A KR 20200011846A KR 102171125 B1 KR102171125 B1 KR 102171125B1
Authority
KR
South Korea
Prior art keywords
carrier
substrate
filter
station
new
Prior art date
Application number
KR1020200011846A
Other languages
English (en)
Other versions
KR20200015657A (ko
Inventor
젠-티 왕
치-웨이 린
푸-시엔 리
이-밍 첸
쳉-호 헝
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200015657A publication Critical patent/KR20200015657A/ko
Application granted granted Critical
Publication of KR102171125B1 publication Critical patent/KR102171125B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/008Manipulators for service tasks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • G01B11/306Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces for measuring evenness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23PMETAL-WORKING NOT OTHERWISE PROVIDED FOR; COMBINED OPERATIONS; UNIVERSAL MACHINE TOOLS
    • B23P6/00Restoring or reconditioning objects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J1/00Manipulators positioned in space by hand
    • B25J1/04Manipulators positioned in space by hand rigid, e.g. shelf-reachers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/005Manipulators for mechanical processing tasks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J18/00Arms
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0011Sample conditioning
    • G01N33/0013Sample conditioning by a chemical reaction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0022General constructional details of gas analysers, e.g. portable test equipment using a number of analysing channels
    • G01N33/0024General constructional details of gas analysers, e.g. portable test equipment using a number of analysing channels a chemical reaction taking place or a gas being eliminated in one or more channels
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0027General constructional details of gas analysers, e.g. portable test equipment concerning the detector
    • G01N33/0029Cleaning of the detector
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0027General constructional details of gas analysers, e.g. portable test equipment concerning the detector
    • G01N33/0031General constructional details of gas analysers, e.g. portable test equipment concerning the detector comprising two or more sensors, e.g. a sensor array
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0062General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0062General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display
    • G01N33/0063General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display using a threshold to release an alarm or displaying means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0062General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display
    • G01N33/0063General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display using a threshold to release an alarm or displaying means
    • G01N33/0065General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display using a threshold to release an alarm or displaying means using more than one threshold
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0095Semiconductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67784Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations using air tracks
    • H01L21/6779Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations using air tracks the workpieces being stored in a carrier, involving loading and unloading
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • Y10T29/49769Using optical instrument [excludes mere human eyeballing]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • Y10T29/49771Quantitative measuring or gauging

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Combustion & Propulsion (AREA)
  • Food Science & Technology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

방법은 내부에 복수의 웨이퍼를 갖는 캐리어를 접수하는 단계; 캐리어의 입구로 정화 가스를 공급하는 단계; 캐리어의 출구로부터 배기가스를 추출하는 단계; 정화 가스의 공급 및 배기가스의 추출을 수행하면서 캐리어의 건전성 지표를 생성하는 단계를 포함한다.

Description

기판 캐리어 퇴화 검출 및 수리{SUBSTRATE CARRIER DETERIORATION DETECTION AND REPAIR}
반도체 제조에서, 웨이퍼는 그 위에 집적 회로(integrated circuit, IC)를 제조하기 위해 많은 처리 단계를 거친다. 이러한 처리 단계는 다양한 서로 다른 처리 툴에 의해 수행된다. 하나 이상의 웨이퍼는, 처리 툴 사이에서 이송될 때, 외부 오염으로부터 웨이퍼를 보호하기 위해 웨이퍼 캐리어 내부에 담겨 반송된다. 또한, (내부에 웨어퍼를 담은) 웨이퍼 캐리어는 웨이퍼 상의 임의의 화학 잔류물을 제거하기 위해 불활성 가스로 정화된다. 그렇지 않으면, 화학 잔류물이 후속 처리에 악영향을 미칠 수 있다.
웨이퍼 캐리어에는 통상적으로 하나 이상의 공기 필터가 있다. 이들 공기 필터는 웨이퍼 캐리어가 반복적으로 정화된 후에 열화될 수 있다(예를 들면, 찢어지거나 막힐 수 있다). 열화된 공기 필터가 적시에 교체되지 않으면, 웨이퍼 캐리어는 효과적으로 정화되지 않을 것이며, 웨이퍼 오염 및 제조 결함으로 이어질 것이다.
본 개시의 양태는 첨부 도면과 함께 읽을 때 이하의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준 관행에 따라, 다양한 피처가 일정한 비율로 작성되지 않는다는 것을 강조한다. 사실, 다양한 피처의 치수는 설명의 명료화를 위해 임의적으로 늘리거나 줄일 수 있다.
도 1은 본 개시의 다양한 양태에 따른, 반도체 제조를 위한 시스템의 일부의 개략도를 도시한다.
도 2는 본 개시의 다양한 양태에 따른, 기판 캐리어 정화 스테이션의 개략도를 도시한다.
도 3은 본 개시의 다양한 양태에 따른, 기판 캐리어 수리 스테이션 및 이곳에서의 작업 흐름을 도시한다.
도 4는 실시형태에 따른, 기판 캐리어 수리 스테이션의 더 상세한 구성을 도시한다.
도 5는 본 개시의 다양한 양태에 따른, 기판 캐리어에서 공기 필터 열화를 자동으로 검출하기 위한 방법의 흐름도를 도시한다.
도 6은 본 개시의 다양한 양태에 따른, 기판 캐리어를 자동으로 교체하기 위한 방법의 흐름도를 도시한다.
도 7은 본 개시의 다양한 양태에 따른, 기판 캐리어 내의 부품을 자동으로 교체하기 위한 방법의 흐름도를 도시한다.
이하의 본 개시는 제공된 주제의 상이한 특징을 구현하기 위한 많은 다른 실시형태 또는 실시예를 제공한다. 구성요소 및 구성의 특정 실시예는 아래에서 본 개시를 평이하게 하기 위해 설명된다. 물론, 이들 실시예는 그저 실시예일 뿐이며 제한하려는 의도는 아니다. 예를 들어, 이하의 설명에서 제1 피처를 제2 피처 위에 또는 제2 피처 상에 형성하는 것은 제1 피처 및 제2 피처가 직접 접촉하여 형성되는 실시양태를 포함할 수 있으며, 또한 부가적인 피처가 제1 피처와 제2 피처 사이에 형성될 수 있기에, 제1 및 제2 피처가 직접 접촉하지 않을 수 있는 실시형태도 포함할 수 있다. 또한, 본 개시는 다양한 실시예에서 참조 부호 및/또는 문자를 반복할 수 있다. 이러한 반복은 평이함과 명료함의 목적을 위한 것이며 논의된 다양한 실시형태 및/또는 구성 간의 관계를 그 자체로 나타내는 것은 아니다.
또한, "밑", "아래", "하부", "위", "상부" 등과 같은 공간적으로 상대적인 용어는 본 명세서에서 설명의 편의상, 도면에 도시된 바와 같은 하나의 요소 또는 피처의 다른 요소(들) 또는 피처(들)와의 관계를 설명하기 위해 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시된 방위 이외에 사용 중이거나 작동 중인 디바이스의 상이한 방향을 망라하는 것으로 의도된다. 장치는 그와 다른 방향으로 (90도 회전 또는 다른 방향으로) 향하고 있을 수 있으며, 본 명세서에서 사용된 공간적으로 상대적인 서술자는 이에 따라 마찬가지로 해석될 수 있다.
본 개시는 일반적으로 반도체 제조에 관한 것으로, 특히 반도체 제조 중에 웨이퍼 캐리어와 같은 기판 캐리어를 취급하기 위한 시스템 및 방법에 관한 것이다. 본 개시의 목적은 기판 캐리어의 열화를 자동으로 검출하고, 결함 있는 기판 캐리어를 새로운 기판 캐리어로 자동으로 교체하며, 결함 있는 기판 캐리어를 자동으로 수리하는 것이다. 본 개시는 반도체 제조에 많은 이득을 제공한다. 첫째, 본 개시는 생산 효율을 높이고 생산 비용을 낮추어 준다(예를 들어, 본 개시는 더 적은 일손과 더 적은 수작업이 필요하다). 둘째, 본 개시는 더 신뢰할 수 있으며 동작 오류를 줄인다. 셋째, 본 개시는 기판 캐리어 열화를 적시에 검출하고 웨이퍼의 결함을 줄여 준다. 본 명세서에서 사용되는 바와 같은 기판은 웨이퍼(예를 들어, 125mm, 150mm, 200mm, 300mm 또는 450mm의 직경을 갖는 실리콘 웨이퍼), 반도체 기판 또는 웨이퍼, 마스크, 레티클, 플라즈마 필터링 플레이트, 흡착성 패드, 새로운 재료막 또는 재료층을 생성하기 위해 처리가 행해진 임의의 기본 재료, 및/또는 이들의 조합일 수 있다.
도 1은 본 개시의 양태에 따라 구성된 반도체 제조 시스템(10)의 일부의 개략도를 도시한다. 도 1을 참조하면, 시스템(10)은 "처리 툴 1", "처리 툴 2" … "처리 툴 N"을 포함하는 복수의 반도체 처리 툴(12)을 포함한다. 시스템(10)은 또한 하나 이상의 기판 캐리어 정화 스테이션(14), 하나 이상의 기판 캐리어 교체 스테이션(16) 및 하나 이상의 기판 캐리어 수리 스테이션(18)을 포함한다. 시스템(10)은 또한 하나 이상의 기판 캐리어(22)를 이송하기 위해, 다양한 툴 및 스테이션을 따라 지나가는 하이웨이와 같은 OHT(overhead hoist transport)(20)를 포함한다. 시스템(10)은 또한 툴과 스테이션 사이에서 기판 캐리어(22)를 급송(dispatching)하기 위한, 자동화 소재 핸들링 시스템(automated material handling system, AMHS)과 같은 자동화 제어기(24)를 포함한다. 다양한 실시형태에서, 시스템(10)은 본 명세서에 도시되지 않았지만 다른 구성요소를 포함할 수 있거나, 본 명세서에서 논의된 구성요소 중 일부를 생략할 수 있다.
각각의 처리 툴(12)은 반도체 웨이퍼와 같은 기판 상에 집적 회로를 제조하는 것과 관련하여 하나 이상의 작업을 수행할 수 있다. 작업은 FEOL(front end of line) 처리, MEOL(middle end of line) 처리 및 BEOL(back end of line) 처리를 포함할 수 있다. 예를 들어, 작업은 기판 세정; 에피택시, 화학 기상 증착(chemical vapor deposition CVD), 물리 기상 증착(physical vapor deposition PVD), 원자층 성막(atomic layer deposition, ALD) 및 금속 도금과 같은 물질 성막; 건식 에칭, 습식 에칭, 반응성 이온 에칭 및 원자층 에칭(atomic layer etching, ALE)과 같은 에칭; 화학 기계적 평탄화(chemical mechanical planarization, CMP); 베이킹; 포토레지스트 코팅, 노광, 현상 및 애싱; 및 다양한 다른 작업을 포함할 수 있다. 처리 툴(12)은 하나의 기판을 한 번에, 또는 다수의 기판을 일괄로 처리할 수 있다. 실시형태에서, 처리 툴(12)은 처리 전후에 복수의 기판을 수집하기 위한 버퍼로서 기능하는 입력 및 출력 로딩 도크(loading dock)를 포함할 수 있다.
하나의 기판 또는 복수의 기판은 제조 흐름에 따라 하나의 처리 툴로부터 다른 처리 툴로부터 이동한다. 통상, 처리 단계 이후, 기판은 처리 단계를 수행한 처리 툴로부터 제거되고 기판 캐리어(22)로 이송되어 이곳에서 기판은 후속 처리 때까지 일시적으로 보관된다. 기판 캐리어(22)는 하나 이상의 기판, 예컨대 1, 12, 13, 25개 기판을 내부에 수용하는 보호용 인클로저(protective enclosure)를 제공한다. 일부 실시형태에서, 기판 캐리어(22)는 FOUP(front opening unit pod)이다. 도 1은 시스템(10)의 일부로서 하나의 기판 캐리어(22)를 도시한다. 다양한 실시형태에서, 시스템(10)은 다수의 기판 캐리어(22)를 포함할 수 있고, 이들 기판 캐리어는 상이한 유형일 수 있다. 예를 들어, FEOL 처리를 위한 기판 캐리어는 BEOL 처리를 위한 기판 캐리어와 상이할 수 있다. 예를 들어, 전자는 후자보다 내부에 수용된 기판에 더 청정한 환경을 제공할 수 있다.
도 1을 계속 참조하면, 캐리어 정화 스테이션(14)은 기판 캐리어(22)를 불활성 가스로 정화하여 기판 캐리어(22)가 내부에 수용된 기판에 대해 지속적으로 청정하고 안전한 환경을 제공하는 것을 보장하도록 구성된다. 전형적인 반도체 제조 흐름은 다수(예를 들어, 약 70 내지 100)의 정화 단계를 포함할 수 있다. 예를 들어, 기판 캐리어(22)는 건식 에칭, 습식 에칭, 애싱, 건식 세정, 습식 세정, 성막, CMP, 도금 및 다른 공정을 비롯한 각각의 공정 이후에 정화될 수 있다. 예를 들어, 처리 툴(12)에 의해 처리된 특정 개수의 기판을 수집한 후, 기판 캐리어(22)는 (OHT(20)를 통해) 캐리어 정화 스테이션(14)으로 이송될 수 있다. 그 다음, 캐리어 정화 스테이션(14)은 질소 또는 여분의 세정 건조 공기와 같은 불활성 가스로 기판 캐리어(22)를 정화한다. 정화에 의해 기판 캐리어(22)로부터 화학 잔류물(예를 들어, NH3, SO4, F, Cl, NO3, PO4 등)이 제거된다. 그 후, 기판 캐리어(22)는 추가 처리를 위해 (OHT(20)를 통해) 다음 처리 툴(12)로 이송된다. 제조 흐름의 일부 단계에서, 기판 캐리어(22)는 캐리어 정화 스테이션(14)에 의해 정화되지 않고 (OHT(20)를 통해) 하나의 처리 툴(12)로부터 다른 처리 툴(12)로 이송될 수도 있다.
본 실시형태에서, 기판 캐리어(22)는 청정한 인클로저를 보장하는데 도움이 되는 하나 이상의 공기 필터를 포함한다. 반복적으로 정화한 후, 이들 공기 필터는 열화될 수 있다(예를 들어, 찢어지거나 막힐 수 있다). 캐리어 정화 스테이션(14)은 이들 공기 필터의 열화를 검출하도록 구성되며, 이에 관한 상세한 내용은 도 2와 관련하여 설명될 것이다. 캐리어 정화 스테이션(14)이 공기 필터(및 공기 필터에 결합된 다른 부품)가 기판 캐리어(22)에 대해 더 이상 안전하지 않다고 검출할 때, 기판 정화 스테이션은 이를 자동화 제어기(24)에 표시할 수 있으며, 따라서 자동화 제어기는 기판 캐리어를 OHT(20)를 통해 캐리어 교체 스테이션(16)으로 급송할 수 있다. 캐리어 정화 스테이션(14)은 무선 또는 유선 통신 채널(들)을 통해 자동화 제어기(24)와 통신할 수 있다.
캐리어 교체 스테이션(16)은 열화된 기판 캐리어를 새로운 기판 캐리어로 교체하도록 구성된다. 예를 들어, 캐리어 교체 스테이션은 열화된 기판 캐리어에 수용된 모든 기판을 새로운 기판 캐리어로 옮기도록 동작 가능하다. 캐리어 교체 스테이션은 또한 새로운 기판 캐리어를 생산현장(production)에 배출(releasing)하기 전에(즉, 처리 툴(12)에 급송하기 전에) 불활성 가스로 정화할 수 있다. 대안적으로, 캐리어 교체 스테이션은 새로운 기판 캐리어를 정화하는 캐리어 정화 스테이션(14)으로 새로운 기판 캐리어를 다시 급송할 수 있다. 시스템(10)의 일부 실시형태에서, 캐리어 정화 스테이션(14) 및 캐리어 교체 스테이션(16)은 하나의 장비 또는 별도의 장비로서 구현될 수 있다.
열화된 기판 캐리어는 (예를 들어, 캐리어 정화 스테이션(14) 또는 캐리어 교체 스테이션(16) 중 하나로부터) OHT(20)를 통해 캐리어 수리 스테이션(18)으로 급송된다. 실시형태에서, 캐리어 수리 스테이션(18)은 열화된 기판 캐리어를 접수하고, 캐리어 기판 내의 공기 필터를 덮고 있는 하나 이상의 나사, 커버 및/또는 하우징을 열고, 공기 필터를 제거하고, 새로운 공기 필터를 설치하고, 새로 설치된 공기 필터를 검사하고, 나사, 커버 및/또는 하우징을 재설치하고, 기판 캐리어를 다시 생산현장에 배출하도록 구성된다. 캐리어 수리 스테이션(18)을 구현하기 위한 시스템 및 방법에 관한 더 상세한 내용은 도 3 및 도 4와 관련하여 추가 설명될 것이다.
시스템(10)은 기존의 반도체 제조 시스템에 비해 많은 장점을 제공한다. 예를 들어, 캐리어 정화 스테이션(14)은 기판 캐리어의 열화의 자동적인 검출을 가능하게 한다. 이러한 자동적인 검출은 생산 효율을 높이고 작업자에 의해 기판 캐리어를 시각적으로 검사하는 것과 비교하여 동작 오류를 줄인다. 다른 예를 들면, 캐리어 수리 스테이션(18)은 기판 캐리어에서 자동적인 부품 교체를 가능하게 하는데, 이것은 또한 작업자가 수작업으로 부품을 교체하는 것과 비교하여 작업 효율을 높이고 동작 오류를 줄인다.
도 2를 참조하면, 일부 실시형태에 따른 기판 캐리어(22)를 정화하는 캐리어 캐리어 정화 스테이션(14)이 도시된다. 본 실시형태에서, 기판 캐리어(22)는 본체(또는 외측 커버)(26) 및 내부의 복수의 기판(예를 들어, 실리콘 웨이퍼)(31)을 고정하기 위한 본체(26) 내부의 홈 또는 다른 구조물을 포함한다. 기판 캐리어(22)는 또한 기판 캐리어를 OHT(20)에 부착하기 위한 핸들(28)을 포함한다. 기판 캐리어(22)는 또한 도어(도시되지 않음)를 포함하는데, 도어를 통해 기판(31)이 기판 캐리어(22) 내에 놓이거나 기판 캐리어(22)로부터 취출된다. 기판 캐리어(22)는 내부에 입구 공기 필터(32)가 설치된 입구(30) 및 내부에 출구 공기 필터(36)가 설치된 출구(34)를 포함한다. 입구(30) 및 출구(34) 내에서, 기판 캐리어(22)는 또한 공기 필터를 안전하게 보호하기 위한 필터 하우징(도시되지 않음), 필터 하우징을 고정하기 위한 커버(도시하지 않음) 및 선택사항으로서 필터 하우징과 각각의 공기 필터 사이의 O-링(도시되지 않음)을 포함할 수 있다. 일부 실시형태에서, 기판 캐리어(22)는 복수의 입구 및 복수의 출구를 포함할 수 있다. 실시형태에서, 기판 캐리어(22)는 또한 하우징(26) 내부의 센서(60)를 포함할 수 있다. 센서(60)는 습도 센서 또는 공기압 센서일 수 있다.
도 2를 계속 참조하면, 본 실시형태에서, 캐리어 정화 스테이션(14)은 스테이지 또는 베이스(40), 캐리어 정화 스테이션(14)과 OHT(20)의 정렬을 지원하기 위한 정렬 센서(42), (예를 들면, 기판 캐리어(22)의 가이드 홀 내에 고정시킴으로써) 캐리어 정화 스테이션(14)과 기판 캐리어(22)를 정렬하기 위한 가이드 핀(44), 정화 가스(50)를 기판 캐리어(22)의 입구(30) 내로 공급하기 위한 입구(46), 및 기판 캐리어(22)의 출구(34)로부터 배기가스(52)를 추출하기 위한 출구(48)를 포함한다. 정화 가스(50)는 질소 가스, 여분의 청정 건조 공기, 또는 다른 적합한 불활성 가스(들)를 포함할 수 있다. 도 2에 도시된 바와 같이, 정화 가스(50)는 기판 캐리어(22)로부터 및 배기가스(52) 쪽으로 일부 화학 잔류물 또는 입자(33)를 몰아낸다. 일부 화학 잔류물 또는 입자(33)는 출구 공기 필터(36)에 의해 흡착될 수 있다.
위에서 논의된 바와 같이, 동일한 기판 캐리어(22)는 많은 정화 동작을 거칠 수 있다. 반복 사용 후, 공기 필터(32) 및/또는 공기 필터(36)는 열화될 수 있다. 예를 들어, 그 위에 입자가 쌓이면 공기 필터(32, 36)가 막히게 되어, 유동 저항이 증가하고 여과 품질이 감소할 수 있다. 다른 예를 들면, 공기 필터(32, 36)는 시간이 지남에 따라 기계적 강도를 잃을 수 있고 찢어질 수 있다. 공기 필터(32, 36)가 찢어지면, 기판 캐리어(22)는 기판(31)을 주변으로부터 보호하는 데 있어서 그 효과를 상실할 것이다. 그러므로 이러한 열화(예를 들어, 막힘 또는 찢어짐)를 적시에 검출하는 것은 양질의 생산을 보장하는데 중요하다.
본 실시형태에서, 캐리어 정화 스테이션(14)은 또한 하나 이상의 습도 센서(62), 하나 이상의 가스 유량 센서(64) 및 하나 이상의 입자 센서(66)를 포함한다. 본 실시형태에서 습도 센서(62), 가스 유량 센서(64) 및 입자 센서(66)는 출구(48) 부근에 설치된다. 또한, 센서(62, 64, 66)는 정화 중에 배기가스(52)와 직접 접촉한다. 습도 센서(62)는 배기가스(52)의 습도를 검출하도록 동작 가능하다. 가스 유량 센서(64)는 배기가스(52)의 유량을 검출하도록 동작 가능하다. 캐리어 정화 스테이션(14)은 정화 가스(50)의 유량을 검출하도록 동작 가능한 다른 하나의 가스 유량 센서(도시되지 않음)를 더 포함할 수 있다. 입자 센서(66)는 실시형태에서 배기가스(52) 내의 입자의 크기를 검출하도록 동작 가능하다. 선택적으로 또는 부가적으로, 입자 센서(66)는 배기가스(52) 내의 입자의 수(또는 입자 계수(count))를 검출하도록 동작 가능하거나, 배기가스(52) 내의 입자의 밀도를 검출하도록 동작 가능하다. 다양한 실시형태에서, 캐리어 정화 스테이션(14)은 배기가스(52) 내의 입자 크기, 입자 계수 및 입자 밀도를 검출하기 위한 하나의 입자 센서(66)를 포함할 수 있거나, 캐리어 정화 스테이션은 전술한 기능을 각기 수행하기 위한 별도의 입자 센서를 포함할 수 있다. 다양한 센서(60, 62, 64 및 66)를 사용하여, 캐리어 정화 스테이션(14)은 기판 캐리어(22)의 건전성 지표(health indictor)를 생성할 수 있다. 실시형태에서, 건전성 지표는 공기 필터(32 및 36)가 교체될 필요가 있는지를 나타낸다.
캐리어 정화 스테이션(14)은 또한 검출 제어기(68)를 포함한다. 본 실시형태에서, 검출 제어기(68)는 소프트웨어가 실행되는 컴퓨터와 같은 컴퓨터화된 툴이다. 예를 들어, 검출 제어기(68)는 하나 이상의 버스에 의해 상호 접속된 프로세서 또는 마이크로프로세서, 입력 디바이스, 메모리 디바이스 및 통신 디바이스를 포함할 수 있으며, 캐리어 정화 스테이션(14)의 다양한 작업을 수행하기 위한 소프트웨어 명령어를 실행할 수 있다. 이러한 작업 중 일부는 아래에서 설명된다.
실시형태에서, 캐리어 정화 스테이션(14)은 기판 캐리어(22) 내부의 공기압의 측정치에 기초하여 건전성 지표를 생성한다. 예를 들어, 검출 제어기(68)는 센서(60)에 의해 측정된 공기압 값을 예를 들면, 둘 간의 유선 또는 무선 접속을 사용하여 판독할 수 있다. 다른 예를 들면, 검출 제어기(68)는 센서(60)를 사용하지 않고 기판 캐리어(22) 내부의 공기압을 검출할 수 있다. 그 대신에, 검출 제어기는 (가스 유량 센서(64)에 의해 검출된) 배기가스(52)의 유량 및 선택사항으로서 정화 가스(50)의 유량에 기초하여 기판 캐리어(22) 내부의 공기압을 계산할 수 있다. 검출 제어기(68)는 기판 캐리어(22) 내부의 공기압을 검출하거나 측정하기 위한 다른 방법 또는 메커니즘을 이용할 수 있다. 일단 기판 캐리어(22) 내부의 공기압의 값을 알게 되면, 검출 제어기(68)는 이 값을 예상된 또는 미리 정해진 공기압 범위(즉, 상한 및 하한이 있음)와 비교할 수 있다. 측정된 또는 검출된 공기압 값이 미리 정해진 공기압 범위를 벗어나면, 기판 캐리어(22)가 수리가 필요함을 표시하는 건정성 지표가 트리거된다.
실시형태에서, 캐리어 정화 스테이션(14)은 배기가스(52)의 습도의 측정치에 기초하여 건전성 지표를 생성한다. 예를 들어, 검출 제어기(68)는 습도 센서(62)에 의해 측정된 배기가스(52)의 습도 값을 판독하고, 측정된 습도 값을 미리 정해진 습도 범위(즉, 상한 및 하한이 있음)와 비교할 수 있다. 측정된 습도 값이 미리 정해진 습도 범위를 벗어나면, 기판 캐리어(22)가 수리가 필요함을 표시하는 건정성 지표가 트리거된다.
다른 실시형태에서, 캐리어 정화 스테이션(14)은 배기가스(52)의 유량의 측정치에 기초하여 건전성 지표를 생성한다. 예를 들어, 검출 제어기(68)는 가스 유량 센서(64)에 의해 측정된 배기가스(52)의 유량 값을 판독하고, 측정된 유량 값을 미리 정해진 유량 범위(즉, 상한 및 하한이 있음)와 비교한다. 측정된 유량 값이 미리 정해진 유량 범위를 벗어나면, 기판 캐리어(22)가 수리가 필요함을 표시하는 건정성 지표가 트리거된다. 예를 들어, 매우 낮은 유량은 공기 필터(32) 및/또는 공기 필터(36)가 막혔을 수 있음을 표시하고, 매우 높은 유속은 공기 필터(32) 및/또는 공기 필터(36)가 찢어졌음을 표시한다.
또 다른 실시형태에서, 캐리어 정화 스테이션(14)은 배기가스(52) 내의 입자 크기의 측정치에 기초하여 건전성 지표를 생성한다. 예를 들어, 검출 제어기(68)는 입자 센서(66)에 의해 검출된 최대 입자 크기를 판독하고, 그 값을 입자 크기 문턱 값과 비교할 수 있다. 검출된 입자 크기가 입자 크기 문턱 값을 초과하면, 기판 캐리어(22)가 수리가 필요함을 표시하는 건정성 지표가 트리거된다. 예를 들어, 배기가스(52) 내에 큰 입자가 있다는 것은 공기 필터(36)가 예상 여과 능력을 상실했음을 표시한다.
또 다른 실시형태에서, 캐리어 정화 스테이션(14)은 배기가스(52) 내의 입자 계수의 측정치에 기초하여 건전성 지표를 생성한다. 예를 들어, 검출 제어기(68)는 입자 센서(66)에 의해 측정된 입자 계수를 판독하고 그 값을 입자 계수 문턱 값과 비교할 수 있다. 검출된 입자 계수가 입자 계수 문턱 값을 초과하면, 기판 캐리어(22)가 수리가 필요함을 표시하는 건정성 지표가 트리거된다. 예를 들어, 배기가스(52) 내에 너무 많은 입자가 있다는 것은 공기 필터(32) 및/또는 공기 필터(36)가 예상 여과 능력을 상실했음을 표시한다.
도 3은 본 개시의 다양한 양태에 따른, 캐리어 수리 스테이션(18) 및 OHT(20)의 실시형태의 사시도 및 내부의 작업 흐름을 도시한다. 도 3을 참조하면, 본 실시형태에서, 캐리어 수리 스테이션(18)은 OHT(20)로부터 캐리어 기판(22)을 접수하는 입력 포트(80) 및 수리 후에 기판 캐리어(22)를 OHT(20)에 배출하는 출력 포트(82)를 포함한다. 입력 포트(80)와 출력 포트(82) 사이에서, 캐리어 수리 스테이션(18)은 또한 기판 캐리어(22)로부터 나사, 커버 및/또는 필터 하우징을 제거하여 공기 필터(32 및 36)(도 2)를 노출하기 위한 제1 메커니즘(예를 들면, 로봇암); 및 기판 캐리어(22)로부터 낡은 공기 필터를 제거하고 새로운 공기 필터를 기판 캐리어(22)에 설치하기 위한 제2 메커니즘(예를 들면, 하나 이상의 로봇암)을 포함한다. 실시형태에서, 캐리어 수리 스테이션(18)은 또한 기판 캐리어(22)에 나사, 커버 및/또는 필터 하우징을 재설치하기 위한 제3 메커니즘(예를 들면, 로봇암)을 포함한다. 대안의 실시형태에서, 제1 및 제3 메커니즘은 동일한 디바이스 또는 로봇암(들)을 공유한다. 캐리어 수리 스테이션(18)은 또한 기판 캐리어(22)에 새로 설치된 공기 필터를 검사하기 위한 자동 검사 메커니즘(예를 들면, 광학 센서)을 포함할 수 있다. 캐리어 수리 스테이션(18)은 스테이션 내의 작업 흐름을 조정하기 위한 제어기(104)를 포함한다.
도 4는 실시형태에 따른, 캐리어 수리 스테이션(18)의 상세도를 도시한다. 도 4를 참조하면, 입력 포트(80) 및 출력 포트(82)는 한 번에 하나의 기판 캐리어(22)를 보유하도록 설계될 수 있다. 대안적으로, 이들 포트는 한 번에 다수의 기판 캐리어(22)를 보유하도록 설계될 수 있다. 또한, 입력 포트(80) 및 출력 포트(82)는 예를 들어, 상이한 크기 및 구성을 갖는 상이한 유형의 기판 캐리어(22)를 수용하도록 설계될 수 있다. 기판 캐리어(22)가 입력 포트(80)에서 접수되면, 캐리어 이동기(84)는 기판 캐리어(22)를 입력 포트(82)로부터 개폐기(86), 회전기(88), 설치기/해체기(90) 및 조립체 검사기(94)와 같은 캐리어 수리 스테이션(18)의 다른 구성요소로 옮기도록 동작 가능하다. 도 4는 능률적인 방식으로 캐리어 수리 스테이션(18)에 의해 수리되는 다수의 기판 캐리어(22)를 도시한다.
본 실시형태에서, 회전기(88)는 기판 캐리어(22)를 기판 캐리어(22)의 공기 필터 및 다른 부품이 캐리어 수리 스테이션(18) 내의 다양한 구성요소, 예컨대, 개폐기(86), 설치기/해체기(90) 및 조립체 검사기(94)에 의해 용이하게 접근될 수 있는 위치로 돌려놓도록 동작 가능하다. 예를 들어, 상이한 유형의 기판 캐리어들(예를 들어, FEOL용 기판 캐리어, BEOL용 기판 캐리어, 다른 벤더로부터의 기판 캐리어 등)은 각각의 기판 캐리어의 상이한 위치에 설치된 공기 필터를 가질 수 있다. 회전기(88)는 기판 캐리어(22)의 유형과 관계없이 내부의 공기 필터가 개폐기(86), 설치기/해체기(90) 및 조립체 검사기(94)와 관련하여 항상 동일한 위치에 출현하도록 기판 캐리어(22)를 돌려놓도록 구성된다.
개폐기(86)는 예를 들면, 커버의 나사를 풀고 필터 하우징을 당김으로써 기판 캐리어(22)의 필터 하우징을 열고, 예를 들면, 필터 하우징을 다시 기판 캐리어에 삽입하고 커버를 다시 나사로 결합함으로써 필터 하우징을 닫도록 동작 가능하다. 설치기/해체기(90)는 O-링 및 공기 필터와 같은 낡은 공기 여과 부품을 제거하고 대응하는 새로운 부품을 설치하도록 동작 가능하다. 조립체 검사기(94)는 새로 설치된 부품, 및 특히 새로 설치된 공기 필터를 검사하도록 동작 가능하다. 실시형태에서, 조립체 검사기(94)는 공기 필터가 기판 캐리어(22)에 설치된 후에, 공기 필터의 평탄도(flatness)를 검출하기 위한 레이저 및/또는 광학 센서를 포함한다. 다른 실시형태에서, 조립체 검사기(94)는 공기 필터의 이미지를 촬영하기 위한 카메라를 포함하며, 이미지는 제어기(104)에 의해 공기 필터의 평탄도를 결정하는데 사용될 수 있다. 또 다른 실시형태에서, 조립체 검사기(94)는 새로 설치된 공기 필터의 입구(30) 및/또는 출구(34)(도 2)와의 정렬을 확인하도록 동작 가능하다. 기판 캐리어(22)가 조립체 검사기(94)에 의한 검사를 통과한 후에, 캐리어 이동기(84)는 기판 캐리어(22)를 출력 포트(82)로 이송할 수 있다.
캐리어 수리 스테이션(18)은 또한 새로운 공기 필터 및 새로운 O-링과 같은 새로운 부품을 설치기/해체기(90)에 공급하기 위한 다양한 구성요소를 포함한다. 본 실시형태에서, 캐리어 수리 스테이션(18)은 새로운 부품을 보유하기 위한 하나 이상의 부품 컨테이너(98), 새로운 부품을 검사하기 위한 부품 검사기(96), 검사를 통과한 새로운 부품을 설치기/해체기(90)에 공급하기 위한 슬라이더(92), 기판 캐리어(22)로부터 제거된 낡은 부품을 보유하는 부품 폐기부(100), 및 검사를 통과하지 못한 새로운 부품을 보유하는 부품 진단 컨테이너(102)를 포함한다. 실시형태에서, 부품 검사기(96)는 새로운 부품(예를 들어, 새로운 공기 필터)의 이미지를 촬영하기 위한 카메라(예를 들어, CCD 카메라 또는 CMOS 카메라)를 포함하며, 제어기(104)는 이미지로부터, 새로운 부품이 예상된 크기와 형상을 갖고 주름과 구멍이 없는 것과 같이 품질이 양호한지를 결정할 수 있다.
일부 실시형태에서, 캐리어 수리 스테이션(18)은 본 명세서에 도시되지 않은 부가적인 구성요소를 포함할 수 있으며, 일부 실시형태에서는 위에서 논의된 구성요소 중 일부가 생략될 수 있음을 유의하여야 한다. 또한, 본 명세서에서 논의된 일부 구성요소는 캐리어 수리 스테이션(18)의 실시형태에서 하나의 구성요소로 조합될 수 있다.
도 5는 본 개시의 양태에 따른 기판 캐리어를 정화하고 기판 캐리어의 열화를 자동으로 검출하는 방법(200)의 흐름도를 도시한다. 예를 들어, 방법(200)의 실시형태는 캐리어 정화 스테이션(14)에 의해 또는 캐리어 정화 스테이션(14)을 이용하여 구현될 수 있다. 방법(200)은 단지 실시예일 뿐이며, 명시적으로 청구범위에서 언급되는 것 이상으로 본 개시를 제한하려는 의도는 아니다. 추가 동작은 방법(200) 이전에, 도중에 및 이후에 제공될 수 있으며, 설명된 일부 동작은 방법의 추가 실시형태로 대체되거나, 제거되거나 또는 옮겨질 수 있다. 방법(200)은 아래에서 도 1 및 도 2와 관련하여 상세히 설명된다.
동작(202)에서, 방법(200)(도 5)은 기판 캐리어(22)와 같은 캐리어를 접수한다. 캐리어는 내부에 복수의 기판을 수용하고 있다. 도 1을 참조하면, 캐리어는 OHT(20)를 통해 처리 툴(12) 중 하나로부터 또는 캐리어 교체 스테이션(16)으로부터 캐리어 정화 스테이션(14)에 의해 접수될 수 있다. 일 실시예에서, 복수의 기판은 실리콘 웨이퍼일 수 있다. 캐리어는 정화 가스를 수용하는 입구 및 배기 가스를 배출하기 위한 출구를 포함한다. 캐리어는 또한 입구에 있는 입구 공기 필터 및 출구에 있는 출구 공기 필터를 포함한다.
동작(204)에서, 방법(200)(도 5)은 정화 가스를 예를 들어, 캐리어의 입구를 통해 캐리어 내로 공급한다. 일부 실시형태에서, 정화 가스는 분당 1 내지 15 리터(L/분)의 유량으로 공급될 수 있다. 정화 가스는 질소 가스, 여분의 청정 건조 공기, 또는 다른 적합한 불활성 가스를 포함할 수 있다. 동작(206)에서, 방법(200)은 캐리어로부터 배기가스를 예를 들어, 캐리어의 출구를 통해 배출할 수 있다. 동작(204 및 206)은 동시에 수행될 수 있다. 또한, 동작(204 및 206)은 일부 실시형태에서 약 10 내지 650초 동안 수행될 수 있다.
동작(204 및 206)을 수행하면서, 방법(200)(도 5)은 또한 캐리어의 동작 환경을 모니터링하는 동작(208)을 포함한다. 예를 들어, 동작(208)은 동작(208a, 208b, 208c, 208d, 208e 및 208f) 중 하나 이상을 포함할 수 있다. 동작(208a)은 캐리어 내부의 공기압을 측정한다. 동작(208b)은 배기가스 내의 습도를 측정한다. 동작(208c)은 배기가스의 유량을 측정한다. 동작(208d)은 배기가스 내의 입자 계수(count)를 측정한다. 동작(208e)은 배기가스 내의 입자 크기를 측정한다. 동작(208f)은 정화 가스의 유량을 측정한다.
동작(210)에서, 방법(200)(도 5)은 캐리어의 동작 환경에 기초하여 캐리어의 건전성 지표를 생성한다. 예를 들어, 건전성 지표는, (a) 동작(208a)에 의해 측정된 공기압이 미리 정해진 공기압 범위를 벗어났는지, (b) 동작(208b)에 의해 측정된 습도 레벨이 미리 정해진 습도 범위를 벗어났는지, (c) 동작(208c)에 의해 측정된 배기 유량이 미리 정해진 유량 범위를 벗어났는지, (d) 동작(208d)에 의해 측정된 입자 계수가 입자 계수 문턱 값을 초과하는지, 및 (e) 동작(208e)에 의해 측정된 입자 크기가 입자 크기 문턱 값을 초과하는지, 중 하나 이상에 기초하여 생성될 수 있다. 위의 조건들 중 하나 이상이 만족하면, 건전성 지표는 캐리어가 불건전하다고 표시하는 값을 취한다. 방법(200)은 부가적으로 또는 대안적으로 건전성 지표를 생성하는 다른 기준을 사용할 수 있다.
동작(212)에서, 방법(200)(도 5)은 캐리어가 수리가 필요한지를 결정한다. 실시형태에서, 건전성 지표가 캐리어가 불건전하다고 표시하면, 캐리어는 수리될 것이다. 다른 실시형태에서, 방법(200)은 동일한 캐리어에 적용된 정화 동작의 횟수를 추적할 수 있다. 이 횟수가 문턱 값을 초과하면, 캐리어는 수리될 것이다. 예를 들면, 공기 필터는 최대 사용 한계(공기 필터의 수명)를 가질 수 있는데, 이것은 공기 필터 공급업자 또는 캐리어 공급업자에 의해 명시될 수 있다. 이 한계에 도달하면, 공기 필터는 사용 가능한 수명의 끝에 도달하여 더 이상 사용하기에 안전하지 않은 것으로 간주된다. 이 경우에, 방법(200)은 건전성 지표가 캐리어의 불건전성을 표시하는지에 관계없이 캐리어 수리가 필요하다고 결정할 수 있다.
동작(212)이 캐리어가 수리가 필요하지 않는다고 결정하면, 방법(200)은 캐리어를 추가 제조 공정을 위한 다음 처리 툴로 급송한다(동작(214)). 동작(212)이 캐리어가 수리가 필요하다고 결정하면, 방법(200)은 캐리어를 캐리어 교체 스테이션에 급송하고(동작(216)), 캐리어 교체 스테이션에서 캐리어에 수용된 기판은 캐리어로부터 제거되어 다른 캐리어에 배치될 수 있다.
도 6은 본 개시의 양태에 따른 기판 캐리어를 교체하는 방법(300)의 흐름도를 도시한다. 예를 들어, 방법(300)의 실시형태는 캐리어 교체 스테이션(16)에 의해 또는 캐리어 교체 스테이션(16)을 이용하여 구현될 수 있다. 방법(300)은 단지 실시예일뿐이며, 청구 범위에서 명시적으로 언급된 것 이상으로 본 개시를 제한하려는 의도는 아니다. 추가 동작은 방법(300) 이전에, 도중에 및 이후에 제공될 수 있으며, 설명된 일부 동작은 방법의 추가 실시형태로 대체되거나, 제거되거나 또는 옮겨질 수 있다.
동작(302)에서, 방법(300)은 내부에 기판이 수용된 캐리어를 접수한다. 도 1을 참조하면, 캐리어는 OHT(20)를 통해 캐리어 정화 스테이션(14)으로부터 캐리어 교체 스테이션(16)에 의해 접수될 수 있다. 동작(304)에서, 방법(300)은 캐리어를 열고 캐리어로부터 기판을 다른 캐리어("새로운 캐리어")로 옮긴다. 동작(306)에서, 방법(300)은 내부에 기판이 수용된 새로운 캐리어를, 예를 들어, 캐리어 정화 스테이션(14)(도 1)에 의해 정화한다. 정화 후에, 방법(300)은 예를 들면, 내부에 기판이 수용된 새로운 캐리어(308)를 처리 툴(12)(도 1)에 급송함으로써, 새로운 캐리어를 생산현장에 배출한다(동작(308)). 동작(310)에서, 방법(300)은 낡은 캐리어를 수리를 위해 캐리어 수리 스테이션(18)과 같은 캐리어 수리 스테이션으로 전달한다.
도 7은 본 개시의 양태에 따른 기판 캐리어를 수리하는 방법(400)의 흐름도를 도시한다. 예를 들어, 방법(400)의 실시형태는 캐리어 수리 스테이션(18)에 의해 또는 캐리어 수리 스테이션(18)을 이용하여 구현될 수 있다. 방법(400)은 단지 실시예일뿐이며, 청구범위에서 명시적으로 언급된 것 이상으로 본 개시를 제한하려는 의도는 아니다. 추가 동작은 방법(400) 이전에, 도중에 및 이후에 제공될 수 있으며, 설명된 일부 동작은 방법의 추가 실시형태로 대체되거나, 제거되거나 또는 옮겨질 수 있다.
동작(402)에서, 방법(400)(도 7)은 캐리어를 접수한다. 본 실시형태에서, 캐리어는 내부에 기판이 없다. 도 1을 참조하면, 캐리어는 OHT(20)를 통해 캐리어 교체 스테이션(16)으로부터 캐리어 수리 스테이션(18)에 의해 접수될 수 있다. 특히, 캐리어는 캐리어 수리 스테이션(18)의 입력 포트(80)(도 3 및 도 4)에서 접수될 수 있다.
동작(404)에서, 방법(400)(도 7)은 예를 들면, 커버의 나사를 풀어서 캐리어의 입구 및 출구 하우징에서 커버를 제거한다. 동작(406)에서, 방법(400)은 하우징 및 하우징 내의 공기 필터가 쉽게 접근될 수 있도록 캐리어를 선택적으로 돌려놓는다. 동작(408)에서, 방법(400)은 캐리어로부터 입구 및 출구 하우징을 해체하여 캐리어 내의 공기 필터를 노출한다. 동작(410)에서, 방법(400)은 있다면 캐리어로부터 O-링을 제거한다. 동작(412)에서, 방법(400)은 캐리어로부터 입구 및 출구 공기 필터를 제거한다.
동작(414)에서, 방법(400)(도 7)은 새로운 공기 필터 및 선택적으로 새로운 O 링과 같이 캐리어에 설치될 새로운 부품을 검색한다. 동작(416)에서, 방법(400)은 새로운 부품이 결함이 없는지를 보장하기 위해 새로운 부품을 검사한다. 새로운 부품에서 결함이 발견되면, 방법(400)은 결함이 없는 새로운 부품을 찾을 때까지 동작(414 및 416)을 반복할 수 있다.
동작(418)에서, 방법(400)(도 7)은 새로운 입구 및 출구 공기 필터와 같은 새로운 부품을 캐리어에 설치한다. 방법(400)은 필요에 따라 새로운 O-링을 선택적으로 캐리어에 설치할 수 있다. 동작(420)에서, 방법(400)은 입구 및 출구 하우징 및 커버를 다시 캐리어에 설치한다. 동작(422)에서, 방법(400)은 새로 설치된 부품을 검사한다. 예를 들어, 새로 설치된 공기 필터의 표면 평탄도를 검출하는 광센서가 사용될 수 있다. 새로 설치된 부품이 검사를 통과하지 못하면, 방법(400)은 동작(408)으로 되돌아가서 동작(408 내지 422)을 반복한다. 새로 설치된 부품이 검사를 통과하면, 방법(400)은 캐리어를 다시 생산현장에 배출한다(동작(424)). 도 3 및 도 4를 참조하면, 캐리어는 출력 포트(82)에 놓일 수 있고 OHT(20)를 통한 급송을 기다린다.
실시형태에서, 방법(400)의 전술한 동작들 중의 하나 이상의 동작은 로봇암과 같은 컴퓨터화된 기계적 디바이스로 구현된다. 이것은 효과적으로 제조 중단 시간을 감소시키고 캐리어의 신뢰성 및 품질을 증가시킨다.
제한되게 하려는 의도는 아니지만, 본 개시의 하나 이상의 실시형태는 반도체 제조에 많은 이득을 제공한다. 예를 들면, 본 개시의 실시형태는 기판 캐리어의 열화를 자동으로 검출하여, 수작업을 줄이고 생산 효율을 높인다. 본 개시의 실시형태는 또한 더 신뢰할 수 있고 적시에 가능하여, 웨이퍼 결함을 줄일 수 있다. 또한, 본 개시의 실시형태는 기판 캐리어 내 열화된 공기 필터를 자동으로 교체하여, 생산 효율을 높이고 동작 오류를 줄인다.
하나의 예시적인 양태에서, 본 개시는 방법에 관한 것이다. 방법은 내부에 복수의 웨이퍼를 갖는 캐리어를 접수하는 단계; 캐리어의 입구에 정화 가스를 공급하는 단계; 캐리어의 출구로부터 배기가스를 추출하는 단계; 및 정화 가스의 공급 및 배기가스의 추출을 수행하면서 캐리어의 건전성 지표를 생성하는 단계를 포함한다.
방법의 실시형태에서, 건전성 지표를 생성하는 단계는, (a) 캐리어 내부의 공기압의 측정치, (b) 배기가스의 습도의 측정치, (c) 배기가스의 유량의 측정치, 및 (d) 배기가스 내의 입자의 측정치 중 하나 이상에 기초한다.
방법의 다른 실시형태에서, 건전성 지표를 생성하는 단계는, 캐리어 내부에 설치된 압력 센서에 의해 측정된 공기압 값을 판독하는 단계; 공기압 값을 미리 정해진 공기압 범위와 비교하는 단계; 및 공기압 값이 미리 정해진 공기압 범위를 벗어날 때, 캐리어가 수리가 필요함을 표시하는 단계를 포함한다.
방법의 다른 실시형태에서, 건전성 지표를 생성하는 단계는, 캐리어의 출구에 인접하여 설치된 습도 센서에 의해 측정된 배기가스의 습도 값을 판독하는 단계; 습도 값을 미리 정해진 습도 범위와 비교하는 단계; 및 습도 값이 미리 정해진 습도 범위를 벗어날 때 캐리어가 수리가 필요함을 표시하는 단계를 포함한다.
방법의 다른 실시형태에서, 건전성 지표를 생성하는 단계는, 배기가스의 유량을 측정하는 단계; 유량을 미리 정해진 유량 범위와 비교하는 단계; 및 유량이 미리 정해진 유량 범위를 벗어날 때 캐리어가 수리가 필요함을 표시하는 단계를 포함한다.
방법의 다른 실시형태에서, 건전성 지표를 생성하는 단계는, 배기가스 내에 포함된 입자의 크기를 측정하는 단계; 크기를 크기 문턱 값과 비교하는 단계; 및 크기 중 하나가 크기 문턱 값을 초과할 때 캐리어가 수리가 필요함을 표시하는 단계를 포함한다.
방법의 또 다른 실시형태에서, 건전성 지표를 생성하는 단계는, 배기가스 내에 포함된 입자의 계수를 측정하는 단계; 계수를 계수 문턱 값과 비교하는 단계; 및 계수가 계수 문턱 값을 초과할 때 캐리어가 수리가 필요함을 표시하는 단계를 포함한다.
실시형태에서, 건전성 지표가 캐리어가 수리가 필요함을 표시할 때, 방법은 캐리어로부터 복수의 웨이퍼를 제거하는 단계; 및 캐리어를 OHT(overhead transport)를 통해 캐리어 수리 스테이션으로 급송하는 단계를 더 포함한다. 추가 실시형태에서, 방법은 캐리어 수리 스테이션에 의해 캐리어를 접수하는 단계; 제1 전동 툴(motorized tool)에 의해, 캐리어의 입구에 있는 입구 필터를 새로운 입구 필터로 교체하는 단계; 제2 전동 툴에 의해, 캐리어의 출구에 있는 출구 필터를 새로운 출구 필터로 교체하는 단계; 및 제3 전동 툴에 의해, 새로운 입구 필터 및 새로운 출구 필터를 검사하는 단계를 포함한다. 추가 실시형태에서, 방법은 새로운 입구 필터 및 새로운 출구 필터 모두가 검사를 통과할 경우, 새로운 입구 필터 및 새로운 출구 필터를 구비한 캐리어를 OHT를 통해 처리 툴에 급송하는 단계를 포함한다. 실시형태의 다른 실시형태에서, 방법은 새로운 입구 필터 및 새로운 출구 필터 중 하나가 검사를 통과하지 못할 경우, 새로운 입구 필터 및 새로운 출구 필터 중 하나를 교체 필터로 교체하는 단계; 및 교체 필터를 검사하는 단계를 포함한다.
다른 예시적인 양태에서, 본 개시는 반도체 제조 장치에 관한 것이다. 장치는 캐리어 - 캐리어는 캐리어 본체, 캐리어 본체 상에 설치된 하우징 및 캐리어 본체와 하우징 사이에 설치된 필터를 포함함 - 를 접수하는 입력 포트; 캐리어로부터 하우징을 해체하고 하우징을 캐리어에 설치하는 제1 로봇암; 캐리어로부터 필터를 제거하고 새로운 필터를 캐리어에 설치하는 하나 이상의 제2 로봇암; 및 캐리어를 생산현장에 배출하는 출력 포트를 포함한다.
실시형태에서, 하나 이상의 제2 로봇암은 또한 필터와 하우징 사이에 O-링을 설치 및 해체하도록 구성된다. 실시형태에서, 장치는 새로운 필터가 하나 이상의 제2 로봇암에 의해 설치되기 전에 새로운 필터를 검사하는 제1 검사 메커니즘을 더 포함한다. 실시형태에서, 제1 검사 메커니즘은 새로운 필터의 이미지를 촬영하는 카메라; 및 이미지에 기초하여 새로운 필터의 품질을 결정하는 제어기를 포함한다.
실시형태에서, 장치는 새로운 필터가 하나 이상의 제2 로봇암에 의해 설치된 후에 새로운 필터를 검사하는 제2 검사 메커니즘을 더 포함한다. 실시형태에서, 제2 검사 메커니즘은 새로운 필터의 표면의 평탄도를 검출하는 레이저 메커니즘을 포함한다.
다른 예시적인 양태에서, 본 개시는 시스템에 관한 것이다. 시스템은 복수의 반도체 처리 툴; 캐리어 정화 스테이션; 캐리어 수리 스테이션; 및 하나 이상의 기판 캐리어를 복수의 반도체 처리 툴, 캐리어 정화 스테이션 및 캐리어 수리 스테이션 사이에서 이송하기 위한 OHT(overhead transport) 루프를 포함한다. 캐리어 정화 스테이션은, 복수의 반도체 처리 툴 중 하나로부터 기판 캐리어를 접수하고, 기판 캐리어를 불활성 가스로 정화하고, 기판 캐리어가 수리가 필요한지를 결정하도록 구성된다. 캐리어 수리 스테이션은, 수리될 기판 캐리어를 접수하고 기판 캐리어 내의 하나 이상의 부품을 교체하도록 구성된다.
실시형태에서, 시스템은, 기판을 가진 기판 캐리어를 접수하고, 기판 캐리어로부터 기판을 제거하고, 기판을 다른 기판 캐리어 내에 배치하도록 구성된 캐리어 교체 스테이션을 더 포함한다.
시스템의 다른 실시형태에서, 캐리어 정화 스테이션은, 기판 캐리어 내의 하나 이상의 부품이 각각의 수명에 도달했는지; 기판 캐리어를 정화하는 동안의 기판 캐리어 내부의 공기압의 측정치; 기판 캐리어를 정화하는 동안의 배기 습도의 측정치; 기판 캐리어를 정화하는 동안의 배기 유량의 측정치; 및 기판 캐리어를 정화하는 동안의 배기가스 내의 입자의 측정치, 중 적어도 하나에 기초하여 기판 캐리어가 수리가 필요한지를 결정하도록 구성된다.
시스템의 실시형태에서, 하나 이상의 부품은 기판 캐리어 내의 공기 필터를 포함한다. 시스템의 다른 실시형태에서, 기판 캐리어는 복수의 웨이퍼를 반송하도록 구성된다.
다른 예시적인 양태에서, 본 개시는 방법에 관한 것이다. 방법은 웨이퍼 캐리어를 정화하여 배기가스를 생성하는 단계; 및 웨이퍼 캐리어 내부의 공기압이 미리 정해진 공기압 범위 내에 있는지, 배기가스의 습도가 미리 정해진 습도 범위 내에 있는지, 배기가스의 유량이 미리 정해진 유량 범위 내에 있는지, 배기가스 내의 입자의 크기가 크기 문턱 값보다 작은지, 배기가스 내의 입자의 계수가 계수 문턱 값보다 작은지, 및 웨이퍼 캐리어 내의 필터가 수명의 끝에 도달하였는지 중 하나 이상에 기초하여 웨이퍼 캐리어가 수리가 필요한지를 결정하는 단계를 포함한다.
실시형태에서, 결정 단계가 웨이퍼 캐리어가 수리가 필요하다고 결정할 때, 방법은 웨이퍼 캐리어를 OHT(overhead transport) 루프를 이용하여 캐리어 수리 스테이션으로 전달하는 단계; 및 캐리어 수리 스테이션에 의해 웨이퍼 캐리어의 하나 이상의 부품을 교체하는 단계를 더 포함한다. 추가 실시형태에서, 하나 이상의 부품을 교체하는 단계는, OHT 루프를 이용하여 웨이퍼 캐리어를 접수하는 단계; 적어도 하나의 로봇암으로 웨이퍼 캐리어로부터 하나 이상의 부품을 제거하는 단계; 적어도 다른 로봇암으로 하나 이상의 교체 부품을 웨이퍼 캐리어 내에 설치하는 단계; 및 적어도 하나의 광학 디바이스로 하나 이상의 교체 부품을 검사하는 단계를 더 포함한다. 추가 실시형태에서, 하나 이상의 교체 부품이 검사를 통과할 경우, 방법은 OHT 루프를 이용하여 웨이퍼 캐리어를 반도체 처리 툴에 배출하는 단계를 더 포함한다.
다른 예시적인 양태에서, 본 개시는 장치에 관한 것이다. 장치는 웨이퍼 캐리어를 접수하는 입력 포트; 복수의 새로운 공기 필터를 보유하는 공급 컨테이너; 웨이퍼 캐리어로부터 공기 필터를 제거하는 제1 메커니즘; 공급 컨테이너로부터 새로운 공기 필터를 픽업하는 제2 메커니즘; 새로운 공기 필터를 웨이퍼 캐리어 내에 설치하는 제3 메커니즘; 및 새로운 공기 필터가 설치된 후에 웨이퍼 캐리어를 전달하는 출력 포트를 포함한다.
실시형태에서, 장치는 웨이퍼 캐리어를 돌려놓는 회전기를 더 포함한다. 다른 실시형태에서, 장치는 웨이퍼 캐리어로부터 하우징을 제거하여 공기 필터를 노출 시키는 제4 메커니즘을 더 포함하며, 제4 메커니즘은 새로운 공기 필터가 설치된 후에 하우징을 재설치하도록 구성된다.
실시형태에서, 장치는 새로운 공기 필터가 웨이퍼 캐리어에 설치되기 전에 새로운 공기 필터를 검사하는 제1 검사 메커니즘을 더 포함한다. 실시형태에서, 장치는 공기 필터가 웨이퍼 캐리어로부터 제거된 후에 공기 필터를 접수하는 폐기 컨테이너를 더 포함한다. 또 다른 실시형태에서, 장치는 새로운 공기 필터가 웨이퍼 캐리어에 설치된 후에 새로운 공기 필터를 검사하는 제2 검사 메커니즘을 더 포함한다.
또 다른 예시적인 양태에서, 본 개시는 시스템에 관한 것이다. 시스템은 복수의 반도체 처리 툴; 웨이퍼 캐리어; 웨이퍼 캐리어 정화 스테이션; 웨이퍼 캐리어 교체 스테이션; 및 웨이퍼 캐리어를 반도체 처리 툴, 웨이퍼 캐리어 정화 스테이션, 웨이퍼 캐리어 교체 스테이션 및 웨이퍼 캐리어 수리 스테이션 사이에서 이송하기 위한 OHT(overhead transport) 시스템을 포함한다.
시스템의 실시형태에서, 복수의 반도체 처리 툴 중 하나는, OHT 시스템을 이용하여 복수의 웨이퍼를 가진 웨이퍼 캐리어를 접수하고; 복수의 웨이퍼를 처리하여 결과적으로 복수의 처리된 웨이퍼를 얻고; 그리고 OHT 시스템을 이용하여 웨이퍼 캐리어 정화 스테이션으로 보내질 웨이퍼 캐리어에 복수의 처리된 웨이퍼를 이송하도록 구성된다.
다른 실시형태에서, 웨이퍼 캐리어 정화 스테이션은, OHT 시스템을 이용하여 복수의 웨이퍼를 가진 웨이퍼 캐리어를 접수하고; 웨이퍼 캐리어 내로 정화 가스를 공급하고; 그리고 웨이퍼 캐리어로부터 배기가스를 모니터링하도록 구성된다. 추가 실시형태에서, 웨이퍼 캐리어 정화 스테이션은 배기가스를 모니터링하여, 배기가스의 습도; 배기가스의 유량; 배기가스 내의 입자 계수; 및 배기가스 내의 입자 크기 중 적어도 하나를 결정하도록 구성된다. 다른 추가 실시형태에서, 웨이퍼 캐리어 정화 스테이션은 또한 웨이퍼 캐리어 내부의 공기압을 모니터링하도록 구성된다. 또 다른 추가 실시형태에서, 웨이퍼 캐리어 정화 스테이션은 또한 웨이퍼 캐리어가 수리가 필요한지를 결정하도록 구성된다.
시스템의 실시형태에서, 웨이퍼 캐리어 교체 스테이션은, OHT 시스템을 이용하여 복수의 웨이퍼를 가진 웨이퍼 캐리어를 접수하고; 복수의 웨이퍼를 웨이퍼 캐리어로부터 다른 웨이퍼 캐리어로 옮기고; 그리고 어떤 웨이퍼도 없는 웨이퍼 캐리어를 OHT 시스템을 이용하여 웨이퍼 캐리어 수리 스테이션으로 보내도록 구성된다. 추가 실시형태에서, 웨이퍼 캐리어 수리 스테이션은, OHT 시스템을 이용하여 어떤 웨이퍼도 없는 웨이퍼 캐리어를 접수하고; 웨이퍼 캐리어로부터 하우징을 제거하여 공기 필터를 노출하고; 공기 필터를 새로운 공기 필터로 교체하고; 하우징을 웨이퍼 캐리어에 재설치하고; 그리고 새로운 공기 필터를 가진 웨이퍼 캐리어를 OHT 시스템을 이용하여 배출하도록 구성된다.
전술한 내용은 본 기술 분야에서 통상의 지식을 가진 자가 본 개시의 양태를 더 잘 이해할 수 있도록 여러 실시형태의 특징을 개략적으로 설명한다. 본 기술 분야에서 통상의 지식을 가진 자라면, 이들이 본 명세서에 소개된 실시형태의 동일한 목적을 수행하고 및/또는 그 실시형태의 동일한 장점을 달성하기 위해 다른 공정 및 구조를 설계하거나 변형하기 위한 기초로서 본 개시를 용이하게 이용할 수 있다는 것을 알아야 한다. 본 기술 분야에서 통상의 지식을 가진 자라면 또한, 그러한 동등한 구성이 본 개시의 기술적 사상 및 범위에서 벗어나지 않는다는 것과, 본 기술 분야에서 통상의 지식을 가진 자가 본 개시의 기술적 사상 및 범위에서 벗어나지 않으면서 본 명세서에서 다양한 변경, 대체 및 대안을 만들어 낼 수 있다는 것을 인식하여야 한다. 
<부기>
1. 방법에 있어서,
내부에 복수의 웨이퍼를 갖는 캐리어를 접수(receiving)하는 단계;
상기 캐리어의 입구에 정화 가스를 공급하는 단계;
상기 캐리어의 출구로부터 배기가스를 추출하는 단계; 및
상기 정화 가스의 공급 및 상기 배기가스의 추출을 수행하면서 상기 캐리어의 건전성 지표(health indictor)를 생성하는 단계를 포함하는 방법.
2. 제1항에 있어서, 상기 건전성 지표를 생성하는 단계는, (a) 상기 캐리어 내부의 공기압의 측정치, (b) 상기 배기가스의 습도의 측정치, (c) 상기 배기가스의 유량의 측정치, 및 (d) 상기 배기가스 내의 입자의 측정치 중 하나 이상에 기초하는 것인 방법.
3. 제1항에 있어서, 상기 건전성 지표를 생성하는 단계는,
상기 캐리어 내부에 설치된 압력 센서에 의해 측정된 공기압 값을 판독하는 단계;
상기 공기압 값을 미리 정해진 공기압 범위와 비교하는 단계; 및
상기 공기압 값이 상기 미리 정해진 공기압 범위를 벗어날 때, 상기 캐리어가 수리가 필요함을 표시하는 단계를 포함하는 것인 방법.
4. 제1항에 있어서, 상기 건전성 지표를 생성하는 단계는,
상기 캐리어의 출구에 인접하여 설치된 습도 센서에 의해 측정된 상기 배기가스의 습도 값을 판독하는 단계;
상기 습도 값을 미리 정해진 습도 범위와 비교하는 단계; 및
상기 습도 값이 상기 미리 정해진 습도 범위를 벗어날 때 상기 캐리어가 수리가 필요함을 표시하는 단계를 포함하는 것인 방법.
5. 제1항에 있어서, 상기 건전성 지표를 생성하는 단계는,
상기 배기가스의 유량을 측정하는 단계;
상기 유량을 미리 정해진 유량 범위와 비교하는 단계;
상기 유량이 상기 미리 정해진 유량 범위를 벗어날 때 상기 캐리어가 수리가 필요함을 표시하는 단계를 포함하는 것인 방법.
6. 제1항에 있어서, 상기 건전성 지표를 생성하는 단계는,
상기 배기가스 내에 운반된 입자의 크기를 측정하는 단계;
상기 크기를 크기 문턱 값과 비교하는 단계;
상기 크기 중 하나가 상기 크기 문턱 값을 초과할 때 상기 캐리어가 수리가 필요함을 표시하는 단계를 포함하는 것인 방법.
*7. 제1항에 있어서, 상기 건전성 지표를 생성하는 단계는,
상기 배기가스 내에 운반된 입자의 계수(count)를 측정하는 단계;
상기 계수를 계수 문턱 값과 비교하는 단계; 및
상기 계수가 상기 계수 문턱 값을 초과할 때 상기 캐리어가 수리가 필요함을 표시하는 단계를 포함하는 것인 방법.
8. 제1항에 있어서, 상기 건전성 지표가 상기 캐리어가 수리가 필요함을 표시할 때,
상기 캐리어로부터 상기 복수의 웨이퍼를 제거하는 단계; 및
상기 캐리어를 OHT(overhead transport)을 통해 캐리어 수리 스테이션으로 급송하는 단계를 더 포함하는 방법.
9. 제8항에 있어서,
상기 캐리어 수리 스테이션에 의해 상기 캐리어를 접수하는 단계;
제1 전동 툴(motorized tool)에 의해, 상기 캐리어의 입구에 있는 입구 필터를 새로운 입구 필터로 교체하는 단계;
제2 전동 툴에 의해, 상기 캐리어의 출구에 있는 출구 필터를 새로운 출구 필터로 교체하는 단계; 및
제3 전동 툴에 의해, 상기 새로운 입구 필터 및 상기 새로운 출구 필터를 검사하는 단계를 더 포함하는 방법.
10. 제9항에 있어서,
상기 새로운 입구 필터 및 상기 새로운 출구 필터 모두가 검사를 통과할 경우, 상기 새로운 입구 필터 및 상기 새로운 출구 필터를 구비한 상기 캐리어를 상기 OHT를 통해 공정 툴에 급송하는 단계를 더 포함하는 방법.
11. 제9항에 있어서,
상기 새로운 입구 필터 및 새로운 출구 필터 중 하나가 검사를 통과하지 못할 경우, 상기 새로운 입구 필터 및 상기 새로운 출구 필터 중 하나를 교체 필터로 교체하는 단계; 및
상기 교체 필터를 검사하는 단계를 더 포함하는 방법.
12. 반도체 제조 장치에 있어서,
캐리어 - 상기 캐리어는 캐리어 본체, 상기 캐리어 본체 상에 설치된 하우징 및 상기 캐리어 본체와 상기 하우징 사이에 설치된 필터를 포함함 - 를 접수하는 입력 포트;
*상기 캐리어로부터 상기 하우징을 해체하고 상기 하우징을 상기 캐리어에 설치하는 제1 로봇암;
상기 캐리어로부터 상기 필터를 제거하고 새로운 필터를 상기 캐리어에 설치하는 하나 이상의 제2 로봇암; 및
상기 캐리어를 생산현장(production)에 배출(releasing)하는 출력 포트를 포함하는 반도체 제조 장치.
13. 제12항에 있어서, 상기 하나 이상의 제2 로봇암은 또한, 상기 필터와 상기 하우징 사이에 O-링을 설치 및 해체하도록 구성되는 것인 반도체 제조 장치.
14. 제12항에 있어서,
상기 새로운 필터가 상기 하나 이상의 제2 로봇암에 의해 설치되기 전에 상기 새로운 필터를 검사하는 제1 검사 메커니즘을 더 포함하는 반도체 제조 장치.
15. 제14항에 있어서, 상기 제1 검사 메커니즘은, 상기 새로운 필터의 이미지를 촬영하는 카메라; 및 상기 이미지에 기초하여 상기 새로운 필터의 품질을 결정하는 제어기를 포함하는 것인 반도체 제조 장치.
16. 제12항에 있어서,
상기 새로운 필터가 상기 하나 이상의 제2 로봇암에 의해 설치된 후에 상기 새로운 필터를 검사하는 제2 검사 메커니즘을 더 포함하는 반도체 제조 장치.
17. 제16항에 있어서, 상기 제2 검사 메커니즘은 상기 새로운 필터의 표면의 평탄도(flatness)를 검출하는 레이저 메커니즘을 포함하는 것인 반도체 제조 장치.
18. 시스템에 있어서,
복수의 반도체 처리 툴;
*캐리어 정화 스테이션;
캐리어 수리 스테이션; 및
하나 이상의 기판 캐리어를 상기 복수의 반도체 처리 툴, 상기 캐리어 정화 스테이션 및 상기 캐리어 수리 스테이션 사이에서 이송하기 위한 OHT(overhead transport) 루프를 포함하고,
상기 캐리어 정화 스테이션은, 상기 복수의 반도체 처리 툴 중 하나로부터 기판 캐리어를 접수하고, 상기 기판 캐리어를 불활성 가스로 정화하고, 상기 기판 캐리어가 수리가 필요한지를 결정하도록 구성되며,
상기 캐리어 수리 스테이션은, 수리될 기판 캐리어를 접수하고 상기 기판 캐리어 내의 하나 이상의 부품을 교체하도록 구성되는 것인 시스템.
19. 제18항에 있어서,
기판을 가진 기판 캐리어를 접수하고, 상기 기판 캐리어로부터 상기 기판을 제거하고, 상기 기판을 다른 기판 캐리어 내에 배치하도록 구성된 캐리어 교체 스테이션을 더 포함하는 시스템.
20. 제18항에 있어서, 상기 캐리어 정화 스테이션은,
상기 기판 캐리어 내의 상기 하나 이상의 부품이 각각의 수명에 도달했는지;
상기 기판 캐리어를 정화하는 동안의 상기 기판 캐리어 내부의 공기압의 측정치;
상기 기판 캐리어를 정화하는 동안의 배기 습도의 측정치;
상기 기판 캐리어를 정화하는 동안의 배출 유량의 측정치; 및
상기 기판 캐리어를 정화하는 동안의 배기가스 내의 입자의 측정치
중 적어도 하나에 기초하여 상기 기판 캐리어가 수리가 필요한지를 결정하도록 구성되는 것인 시스템.

Claims (6)

  1. 반도체 제조 장치에 있어서,
    캐리어 - 상기 캐리어는 캐리어 본체, 상기 캐리어 본체 상에 설치된 하우징 및 상기 캐리어 본체와 상기 하우징 사이에 설치된 필터를 포함함 - 를 접수하기 위한 입력 포트;
    상기 캐리어로부터 상기 하우징을 해체하고 상기 하우징을 상기 캐리어에 설치하기 위한 제1 로봇암;
    상기 캐리어로부터 상기 필터를 제거하고 새로운 필터를 상기 캐리어에 설치하기 위한 하나 이상의 제2 로봇암;
    상기 캐리어를 생산현장(production)에 배출(releasing)하기 위한 출력 포트; 및
    상기 하나 이상의 제2 로봇암에 의해 설치된 후에 상기 새로운 필터를 검사하기 위한 검사 메커니즘 포함하고, 상기 검사 메커니즘은 상기 새로운 필터의 표면의 평탄도를 검출하는 것인, 반도체 제조 장치.
  2. 제1항에 있어서, 상기 하나 이상의 제2 로봇암은 또한, 상기 필터와 상기 하우징 사이에 O 링(O-ring)을 설치 및 해체하도록 구성되는 것인, 반도체 제조 장치.
  3. 제1항에 있어서,
    상기 하나 이상의 제2 로봇암에 의해 설치되기 전에, 상기 새로운 필터를 검사하기 위한 추가적인 검사 메커니즘을 더 포함하는, 반도체 제조 장치.
  4. 제3항에 있어서, 상기 추가적인 검사 메커니즘은,
    상기 새로운 필터의 이미지를 촬영하기 위한 카메라; 및
    상기 이미지에 기초하여 상기 새로운 필터의 품질을 결정하기 위한 제어기를 포함하는 것인, 반도체 제조 장치.
  5. 삭제
  6. 제1항에 있어서, 상기 새로운 필터의 표면의 평탄도는 레이저 메커니즘을 사용하여 검출되는 것인, 반도체 제조 장치.
KR1020200011846A 2017-10-26 2020-01-31 기판 캐리어 퇴화 검출 및 수리 KR102171125B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/794,352 2017-10-26
US15/794,352 US10861692B2 (en) 2017-10-26 2017-10-26 Substrate carrier deterioration detection and repair

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170158824A Division KR102074472B1 (ko) 2017-10-26 2017-11-24 기판 캐리어 퇴화 검출 및 수리

Publications (2)

Publication Number Publication Date
KR20200015657A KR20200015657A (ko) 2020-02-12
KR102171125B1 true KR102171125B1 (ko) 2020-10-29

Family

ID=66137750

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170158824A KR102074472B1 (ko) 2017-10-26 2017-11-24 기판 캐리어 퇴화 검출 및 수리
KR1020200011846A KR102171125B1 (ko) 2017-10-26 2020-01-31 기판 캐리어 퇴화 검출 및 수리

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170158824A KR102074472B1 (ko) 2017-10-26 2017-11-24 기판 캐리어 퇴화 검출 및 수리

Country Status (5)

Country Link
US (3) US10861692B2 (ko)
KR (2) KR102074472B1 (ko)
CN (1) CN109712867B (ko)
DE (1) DE102017125778A1 (ko)
TW (1) TWI690701B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11819965B2 (en) 2019-05-17 2023-11-21 Taiwan Semiconductor Manufacturing Company Limited Device maintenance in semiconductor manufacturing environment
KR102140063B1 (ko) 2020-01-30 2020-08-03 주식회사 위드텍 웨이퍼캐리어의 파티클 측정 장치
US11666951B2 (en) * 2020-07-10 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer handler cleaning tool
CN111604810B (zh) * 2020-07-24 2020-11-03 杭州众硅电子科技有限公司 一种晶圆传输设备、化学机械平坦化装置及晶圆传输方法
TWI769737B (zh) * 2021-03-12 2022-07-01 旭東機械工業股份有限公司 盒蓋裝卸機構及盒蓋檢測方法
TWI806013B (zh) * 2021-03-12 2023-06-21 旭東機械工業股份有限公司 取像設備
US20220392811A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for processing wafer
KR102409487B1 (ko) 2022-04-19 2022-06-15 주식회사 위드텍 반도체 웨이퍼 풉용 로드포트의 분석가스 공급을 위한 제어장치 및 그 제어방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009115655A (ja) * 2007-11-07 2009-05-28 Ngk Insulators Ltd フィルタの検査方法及びフィルタ検査装置
JP2014503989A (ja) * 2010-11-11 2014-02-13 ツェットエス−ハンドリング ゲゼルシャフト ミット ベシュレンクテル ハフツング プロセスカセットに対する装着及び取出し方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR940011791B1 (ko) * 1992-04-15 1994-12-26 금성일렉트론주식회사 온도안정화 매스 플로우 컨트롤러 센서
JP3939101B2 (ja) 2000-12-04 2007-07-04 株式会社荏原製作所 基板搬送方法および基板搬送容器
TW561128B (en) 2001-05-17 2003-11-11 Ebara Corp Substrate transport container
CH712588B1 (de) * 2002-11-20 2017-12-29 Imt Imformation Management Tech Ag Gasflussmessgerät.
US6779760B2 (en) 2002-12-19 2004-08-24 Taiwan Semiconductor Manufacturing Co., Ltd Safety system for overhead transport vehicle
US20050079041A1 (en) * 2003-10-13 2005-04-14 International Business Machines Corporation Hoisting device for use with overhead traveling carriage system
US7400383B2 (en) * 2005-04-04 2008-07-15 Entegris, Inc. Environmental control in a reticle SMIF pod
US7520286B2 (en) 2005-12-05 2009-04-21 Semitool, Inc. Apparatus and method for cleaning and drying a container for semiconductor workpieces
US8827695B2 (en) 2008-06-23 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer's ambiance control
US20100051502A1 (en) * 2008-09-04 2010-03-04 3M Innovative Properties Company Carrier having integral detection and measurement of environmental parameters
US10020182B2 (en) 2009-08-07 2018-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Digital wireless data collection
US8591809B2 (en) * 2010-03-15 2013-11-26 Samsung Electronics Co., Ltd. Substrate transfer container, gas purge monitoring tool, and semiconductor manufacturing equipment with the same
KR101780789B1 (ko) 2010-03-15 2017-09-22 삼성전자주식회사 기판 이송 용기, 가스 퍼지 모니터링 툴, 그리고 이들을 구비한 반도체 제조 설비
US9922854B2 (en) 2010-04-30 2018-03-20 Applied Materials, Inc. Vertical inline CVD system
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8616821B2 (en) 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
JP2012094822A (ja) * 2010-09-30 2012-05-17 Shibaura Mechatronics Corp 密閉型容器及び半導体製造装置
KR101476721B1 (ko) 2012-05-08 2015-01-06 주식회사 엘에스테크 다기능 로드 포트 장치
TWM450053U (zh) 2012-11-05 2013-04-01 Santa Phoenix Technology Inc 具流量控制之晶圓載具氣體填充裝置
US9640372B2 (en) 2012-11-15 2017-05-02 Applied Materials, Inc. Method and system for maintaining an edge exclusion shield
US9257439B2 (en) 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US9677985B2 (en) * 2014-05-13 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for inspecting filtering cartridge
KR20210080633A (ko) 2014-11-25 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 및 퍼지 챔버 환경 제어들을 이용하는 기판 프로세싱 시스템들, 장치, 및 방법들
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
DE102016205597B4 (de) * 2016-04-05 2022-06-23 Fabmatics Gmbh Purge-Messsystem für FOUPs
US10818530B1 (en) * 2017-08-30 2020-10-27 Murata Machinery, Ltd. Substrate carriers with isolation membrane

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009115655A (ja) * 2007-11-07 2009-05-28 Ngk Insulators Ltd フィルタの検査方法及びフィルタ検査装置
JP2014503989A (ja) * 2010-11-11 2014-02-13 ツェットエス−ハンドリング ゲゼルシャフト ミット ベシュレンクテル ハフツング プロセスカセットに対する装着及び取出し方法

Also Published As

Publication number Publication date
CN109712867B (zh) 2021-06-22
CN109712867A (zh) 2019-05-03
TWI690701B (zh) 2020-04-11
KR20200015657A (ko) 2020-02-12
US20190131119A1 (en) 2019-05-02
KR20190046581A (ko) 2019-05-07
KR102074472B1 (ko) 2020-02-06
US20210118665A1 (en) 2021-04-22
US11584019B2 (en) 2023-02-21
TW201917371A (zh) 2019-05-01
US20230191619A1 (en) 2023-06-22
DE102017125778A1 (de) 2019-05-02
US10861692B2 (en) 2020-12-08

Similar Documents

Publication Publication Date Title
KR102171125B1 (ko) 기판 캐리어 퇴화 검출 및 수리
KR100724173B1 (ko) 기판 처리 장치의 복구 처리 방법 및 기판 처리 장치 및프로그램을 기록한 기록매체
US6287023B1 (en) Processing apparatus and method
US20070062561A1 (en) Method And Apparatus For Testing Particulate Contamination In Wafer Carriers
US20080251473A1 (en) Apparatus for storing contamination-sensitive flat articles, in particular for storing semiconductor wafers
JP2018003102A (ja) 基板ホルダの検査装置、これを備えためっき装置、及び外観検査装置
JP2003090809A (ja) 蛍光x線分析用試料前処理システムおよびそれを備えた蛍光x線分析システム
JP2015185571A (ja) 半導体ウェーハ用の研磨装置およびこれを用いた研磨方法
US8941809B2 (en) Substrate processing apparatus and substrate processing method
JP4068404B2 (ja) 基板処理システム、基板処理装置、基板処理方法、プログラム及び記録媒体
JP2010147361A (ja) 基板処理装置および基板処理方法
JP2006128559A (ja) 基板処理システム
JP2005286102A (ja) 真空処理装置および真空処理方法
JP5371413B2 (ja) 基板処理装置および基板処理方法
JP2015079072A (ja) 基板割れ処理システムを搭載した露光装置
JP2007273792A (ja) 基板処理装置
CN210573186U (zh) 光罩检测装置
CN112433446A (zh) 光罩检测装置及光罩清洁方法
TWI827669B (zh) 用於量測微粒的方法和設備
EP4078664B1 (en) Core module for semiconductor production facility machinery
WO2021246328A1 (ja) 基板処理装置の運用方法
KR200361868Y1 (ko) 웨이퍼의 박막두께 측정장치
KR200363234Y1 (ko) 웨이퍼의 박막두께 측정에 사용되는 레퍼런스칩
JP5386137B2 (ja) 試料測定装置
KR20230160882A (ko) 통합된 회전 헹굼 건조 및 계측을 갖는 실리콘 기판들의 자동화된 드라이-인 드라이-아웃 양면 연마

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant