TW201913422A - 提供積體電路佈局的方法 - Google Patents

提供積體電路佈局的方法 Download PDF

Info

Publication number
TW201913422A
TW201913422A TW106135771A TW106135771A TW201913422A TW 201913422 A TW201913422 A TW 201913422A TW 106135771 A TW106135771 A TW 106135771A TW 106135771 A TW106135771 A TW 106135771A TW 201913422 A TW201913422 A TW 201913422A
Authority
TW
Taiwan
Prior art keywords
group
shapes
shape
layout
parallel
Prior art date
Application number
TW106135771A
Other languages
English (en)
Other versions
TWI663521B (zh
Inventor
廖顯煌
謝東衡
楊寶如
張永豐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201913422A publication Critical patent/TW201913422A/zh
Application granted granted Critical
Publication of TWI663521B publication Critical patent/TWI663521B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本文揭示了具有線端延伸的積體電路佈局的各種實施例。在一實施例中,方法包括接收積體電路佈局,此積體電路佈局含有:在第一方向上平行延伸的第一組形狀及第二組形狀,其中第一組形狀的間距與第二組形狀的間距不相同。將橫樑形狀置入在積體電路佈局中,此橫樑形狀係沿著垂直於第一方向的第二方向延伸;並且將線端延伸組置入在積體電路佈局中,此線端延伸組係從第一組形狀及第二組形狀的每個形狀延伸至橫樑形狀。提供含有第一組形狀、第二組形狀、橫樑形狀及線端延伸組的積體電路佈局,以用於積體電路的製造。

Description

具有線端延伸的積體電路佈局
半導體積體電路(integrated circuit,IC)產業已經歷快速的增長。在IC演進的過程中,功能密度(亦即單位晶片面積的互連元件的數目)一般上是增加的,於此同時縮小了幾何尺寸(亦即製造製程所可製造的最小元件(或線))。這種縮小製程一般上係通過提升生產效率與降低相關成本來提供效益。然而,這種縮減亦伴隨著引入這些IC所增加之裝置設計和製造的複雜度。製造上的同步進展使得能夠精確並可靠地製造越來越複雜的設計。
舉例而言,某些進步補償了發生在微影製程極限附近的光學效應及製程缺陷。在許多實施例中,利用一組微影遮罩組在半導體基板上界定並形成IC特徵。遮罩具有由透射性區域或反射性區域所形成的圖案。在微影製程的曝光期間,輻射(例如紫外光)在撞擊基板上的光阻塗層之前已經穿過遮罩,或反射離開遮罩。遮罩將圖案轉印至光阻上,接著選擇性地移除光阻,以顯示圖案。接下來進行基板的處理步驟,此處理步驟利用殘餘光阻的形狀,以在基板上製造電路特徵。當處理步驟完成時,使用另一光阻,並以下一個 遮罩來曝光基板。以這樣方式使得這些特徵被分層,以產生最終電路。
光的特性導致基板上所形成的圖案不同於遮罩的圖案。光的行為,例如繞射、鑲邊(fringing)及干涉行為造成了例如拐角圓化(corner rounding)及邊緣誤差(edge error)的偏差。同樣地,例如蝕刻缺陷及圖案塌陷的製程偏差可能導致進一步的偏差,尤其是在拐角及特徵的邊緣。
100‧‧‧方法
102‧‧‧方塊
104‧‧‧方塊
106‧‧‧方塊
108‧‧‧方塊
110‧‧‧方塊
112‧‧‧方塊
114‧‧‧方塊
116‧‧‧方塊
118‧‧‧方塊
120‧‧‧方塊
122‧‧‧方塊
124‧‧‧方塊
126‧‧‧方塊
128‧‧‧方塊
130‧‧‧方塊
132‧‧‧方塊
134‧‧‧方塊
136‧‧‧方塊
138‧‧‧方塊
140‧‧‧方塊
142‧‧‧方塊
144‧‧‧方塊
146‧‧‧方塊
200‧‧‧佈局
202‧‧‧第一組形狀
204‧‧‧第二組形狀
206‧‧‧間隙
208‧‧‧第一方向
210‧‧‧第二方向
212‧‧‧寬度
214‧‧‧中心線至中心線的間距
216‧‧‧最小間隔
218‧‧‧邊界
220‧‧‧寬度
222‧‧‧中心線至中心線的間距
224‧‧‧最小間隔
226‧‧‧邊界
228‧‧‧寬度
302‧‧‧橫樑形狀
304‧‧‧寬度
402‧‧‧充填形狀
502‧‧‧線端延伸
504‧‧‧長度
602‧‧‧光學鄰近修正形狀
702‧‧‧切割形狀
800‧‧‧光遮罩
802‧‧‧區域
804‧‧‧區域
900‧‧‧工件
902‧‧‧基板
904‧‧‧硬遮罩
906‧‧‧光阻
1200‧‧‧切割光遮罩
1202‧‧‧區域
1204‧‧‧區域
1302‧‧‧第二光阻
1402‧‧‧芯軸
1502‧‧‧第一間隔物層
1802‧‧‧第二間隔物層
2102‧‧‧鰭片
2300‧‧‧方法
2302‧‧‧方塊
2304‧‧‧方塊
2306‧‧‧方塊
2308‧‧‧方塊
2310‧‧‧方塊
2312‧‧‧方塊
2314‧‧‧方塊
2400‧‧‧佈局
2402‧‧‧第一組形狀
2404‧‧‧第二組形狀
2406‧‧‧間隙
2412‧‧‧寬度
2414‧‧‧中心線至中心線的間距
2416‧‧‧最小間隔
2418‧‧‧邊界
2420‧‧‧寬度
2422‧‧‧中心線至中心線的間距
2424‧‧‧最小間隔
2426‧‧‧邊界
2428‧‧‧寬度
2502‧‧‧充填形狀
2602‧‧‧線端延伸
2702‧‧‧光學鄰近修正形狀
2802‧‧‧切割形狀
2900‧‧‧方法
2902‧‧‧方塊
2904‧‧‧方塊
2906‧‧‧方塊
2908‧‧‧方塊
2910‧‧‧方塊
3000‧‧‧佈局
3002‧‧‧第一組形狀
3004‧‧‧第二組形狀
3006‧‧‧間隙
3008‧‧‧第一區域
3010‧‧‧第二區域
3012‧‧‧第一寬度
3014‧‧‧中心線至中心線的間距
3016‧‧‧最小間隔
3018‧‧‧第二寬度
3022‧‧‧最小間隔
3024‧‧‧邊界
3026‧‧‧第三區域
3028‧‧‧第四區域
3030‧‧‧第三寬度
3032‧‧‧中心線至中心線的間距
3034‧‧‧最小間隔
3036‧‧‧第四寬度
3038‧‧‧中心線至中心線的間距
3040‧‧‧最小間隔
3042‧‧‧邊界
3044‧‧‧寬度
3102‧‧‧充填單元
3104‧‧‧線端延伸
3202‧‧‧切割形狀
3300‧‧‧工件
3302‧‧‧基板
3304A‧‧‧鰭片
3304B‧‧‧鰭片
3304C‧‧‧鰭片
3400‧‧‧計算系統
3402‧‧‧處理資源
3404‧‧‧實體非暫態機器可讀媒介
本揭示可由以下的詳細敘述結合附圖閱讀來獲得最佳的理解。應強調,根據工業標準實務,各特徵並未按比例繪製,並且僅用於示意的目的。事實上,為了論述的清楚性,各特徵的大小可任意地增加或縮小。
第1A圖及第1B圖係根據本揭示的各種態樣之積體電路的製造方法的流程圖。
第2圖至第7圖係根據本揭示的各種態樣,用於以方法製造積體電路的佈局的局部圖。
第8圖係根據本揭示的各種態樣,依照佈局所製造的光遮罩的俯視圖。
第9A圖、第10A圖及第11A圖係根據本揭示的各種態樣,對應至佈局的工件(workpiece)的局部俯視圖。
第9B圖、第10B圖、第11B圖、第13B圖、第14B圖、第15B圖、第16B圖、第17圖至第22圖係根據本揭示的各種態樣,對應至佈局的工件的局部橫截面圖。
第12圖係根據本揭示的各種態樣,依照佈局200所製造之切割光遮罩的俯視圖。
第13A圖、第14A圖、第15A圖及第16A圖係根據本揭示的各種態樣,對應至佈局的工件的局部俯視圖。
第13B圖、第14B圖、第15B圖、第16B圖、第17圖至第22圖係根據本揭示的各種態樣,對應至佈局的工件之局部的橫截面圖。
第23圖係根據本揭示的各種態樣,具有線端延伸之積體電路的製造方法的流程圖。
第24圖至第28圖係根據本揭示的各種態樣,用於以方法製造積體電路的佈局的局部俯視圖。
第29圖係根據本揭示的各種態樣,包括加入充填單元(filler cells)的積體電路的製造方法的流程圖。
第30圖至第32圖係根據本揭示的各種態樣,使用具有充填單元的製造方法所製造之積體電路的佈局之局部的俯視圖。
第33圖係根據本揭示的各種態樣,依據具有充填單元的佈局所製造之工件的局部的俯視圖。
第34圖係根據本揭示的各種態樣之計算系統的方塊圖。
應理解,以下揭示提供許多不同實施方式或實施例,以實現本揭示的不同的特徵。以下敘述的部件及配置 的特定實施例,以簡化本揭示。當然,這些僅為實施例,並且不用以作為限制。舉例而言,在隨後的敘述中,第一特徵在第二特徵上方或在第二特徵上的形成可包括第一特徵及第二特徵形成為直接接觸的實施方式,亦可包括額外特徵可形成在第一特徵及第二特徵之間,使得第一特徵及第二特徵可以是不直接接觸的實施方式。另外,本揭示在各實施例中可重複元件符號及/或字母。此重複是為了簡單及清楚的目的,且本身不指示各實施方式及/或組態之間的關係超過所指出的範圍。
此外,在本揭示隨後的敘述中,一個特徵形成在另一特徵上、連接至另一特徵及/或耦接至另一特徵可包括特徵以直接接觸而形成的實施方式,亦可包括額外特徵可形成在這些特徵之間,使得這些特徵可以是沒有直接接觸的實施方式。另外,空間相對術語,例如「下部」、「上部」、「水平」、「垂直」、「以上」、「上方」、「以下」、「下方」、「的下」、「向上」、「向下」、「頂部」、「下部」等以及其衍生詞(例如,「水平地」、「垂直地」、「向上地」等)可使用於本文以利於敘述一個特徵相對於另一個特徵的關係。這些空間相對術語係用於涵蓋包括特徵之裝置的不同位向。
當特徵尺寸縮減時,設計形狀與基板上所形成的圖案之間的差異對裝置效能帶來更大的影響。在一個簡單線的實施例中,微影製程期間的光學效應可傾向於圓化線端而非產生尖銳的拐角。此外,當這個部分暴露至來自多個側 面的蝕刻劑或其他製程反應劑時,蝕刻及其他製程步驟亦可圓化線端。當然,這些僅是在積體電路的形成過程中,所產生的特徵差異的一些實施例。其他厚度偏差、設置偏差及不規則性可單獨或組合地產生。這些偏差的影響可能隨著特徵尺寸的縮減而加劇,這是因為偏差保持相同或變大,因此缺陷會相對於特徵而增長。
如下所述,本揭示提供用於形成具有改進規律性(regularity)的特徵的技術,特別是在特徵線的末端。在一些實施例中,此技術修飾了包括第一組平行線、第二組平行線與位於其間的間隙(gap)之佈局區域。平行線組可具有不同的寬度、間距(pitch)及/或間隔(spacing)。儘管可通過在間隙中加入犧牲線端延伸(sacrificial line-end extensions)來彌補線端缺陷,以使得圓化(rounding)包含於線端延伸內,然而已確定的是,對於較小的特徵尺寸而言,線端圓化會變得更明顯並且更加地不規則。這可導致難以在不明顯增加延伸及間隙的情況下,將圓化包含在線端延伸內。
因此,為了控制線端圓化,即使是在縮減間隙尺寸時,在一些實施例中,修飾佈局以包括將第一組平行線連接至第二組平行線的線端延伸。因為延伸連接了線,所以可以完全避免一些線端,而其他線端可能只有受到厚度的變化,這可產生較不明顯的圓化效應。在一些實施例中,修飾佈局以在間隙中納入橫樑形狀,此橫樑形狀垂直於第一組平行線及第二組平行線。線端延伸可將第一組平行線與第二組 平行線的線連接至橫樑形狀。由於延伸係連接至橫樑形狀,而非就此終止,因此避免了線端及相關的製造問題。
在一些實施例中,將充填形狀(filler shape)加入至佈局中,充填形狀平行於第一組線及第二組線,並且可設置在這些線中間,以改進線的完整性。具有線端延伸、橫樑形狀及/或充填形狀的佈局可以進行其他光學鄰近修正(Optical Proximity Correction,OPC)製程,以加入、移除、或另外調整特徵及其邊界。接下來,佈局可用於製造製程中。
在一些實施例中,線端延伸係包括在加入至佈局的充填單元中。可定義多種充填單元,配置每個充填單元以對準特定柵格或柵格組。在這種方式中,柵格外的區域可存有特定的充填單元,其中局部柵格不符合佈局的全域柵格。
在一些實施例中,由線端延伸、橫樑形狀及/或充填形狀所形成的一些特徵保留在最終的工件中,以改進低密度區域中的特徵密度。這些特徵可以實體上強化其他的低密度區域,以在製造時減少凸起(bumps)、凹陷(dishing)及其他的不規則性。
在一些這類的實施例中,使用佈局來製造微影遮罩。接著在微影製程中使用微影遮罩,以在基板上界定特徵。特徵可在任何合適的材料中形成,並用於任何合適的製造目的。線端延伸、橫樑形狀及/或充填形狀增加第一組線及第二組線的完整性,尤其是線端處的完整性,但不限於 此。改進後均勻性及精確性可得以減小第一組線及第二組線之間的間隙,並可增強電路效能及可靠性。因此,本揭示的一些實施例從而提供具有減小線端圓化之特徵的較大完整性。然而,除非另作說明,否則無任何實施例需提供任何特定優點。
參看第1A圖、第1B圖、第2圖至第22圖,敘述用於製造積體電路的技術。在這方面,第1A圖及第1B圖係根據本揭示的各種態樣之製造積體電路的方法100的流程圖。可在方法100之前、當中及之後提供額外的步驟,並且在方法100的其他實施例中,可替換或移除一些所敘述的步驟。第2圖至第7圖係根據本揭示的各種態樣,用於以方法100製造積體電路的佈局200的局部圖。第8圖係根據本揭示的各種態樣,依照佈局200所製造的光遮罩800的俯視圖。第9A圖、第10A圖、第11A圖、第13A圖、第14A圖、第15A圖及第16A圖係根據本揭示的各種態樣,對應至佈局200的工件900的局部俯視圖。第9B圖、第10B圖、第11B圖、第13B圖、第14B圖、第15B圖、第16B圖、第17圖至第22圖係根據本揭示的各種態樣,對應至佈局200的工件900的局部橫截面圖。第12圖係根據本揭示的各種態樣,依照佈局200所製造的切割光遮罩1200的俯視圖。
首先參看第1A圖的方塊102及第2圖,接收佈局200,佈局200包括第一組形狀202及第二組形狀204,第一組形狀202及第二組形狀204由間隙206所分隔。在各實施例中,佈局200採用存儲於非暫態電腦可讀媒體上的資料 檔案的形式,並以GDSII、OASIS及/或MEBES®等設計標準來呈現,MEBES®是應用材料公司(Applied Material)的註冊商標。佈局200可以是積體電路的數位呈現,佈局200的第一組形狀202及第二組形狀204可對應至並定義積體電路的工件的實體特徵。
佈局200可包括任意數量的層上之任意數量的形狀,然而為了清楚起見,僅繪示有限數量的形狀。具體而言,佈局200包括第一組形狀202及第二組形狀204,其代表相同層中的相似特徵。第一組形狀202沿著第一方向208而延伸,並且實質上彼此平行。第一組形狀202可具有任何合適的寬度212(在垂直於第一方向208的第二方向210上)、中心線至中心線的間距214與最小間隔216(在第二方向210上)。在一些實施例中,第一組形狀202具有線端(line-end),線端終止於第二方向210上的共用邊界218。
第二組形狀204亦沿著第一方向208延伸,並且實質上彼此平行。第二組形狀204可具有任何合適的寬度220(在第二方向210上)、中心線至中心線的間距222與最小間隔224(在第二方向210上),第二組形狀204可以具有不同於第一組形狀202的態樣,例如寬度、間距與間隔。舉例而言,在不同設計規則下,第一組形狀202可對應至記憶體區的電路裝置,而第二組形狀204對應至標準單元(standard cell)區中的電路裝置。在一些實施例中,在不同設計規則下,第一組形狀202可對應至主功能區中的電路裝置,而第二組形狀204對應至I/O區中的電路裝置。在一些實施例 中,在不同設計規則下,第一組形狀202對應至低頻及/或低功率區的電路裝置,而第二組形狀204對應至高頻/高功率區中的電路裝置。
在一些實施例中,第二組形狀204具有線端,線端終止於第二方向210上的共用邊界226。間隙206延伸於第一組的邊界218與第二組的邊界226之間,並且可具有在第一方向208上的任何合適的寬度228。在接下來的圖式中,將更詳細地敘述間隙206在第一方向208上的寬度228。
參看第1A圖的方塊104及第3圖,橫樑形狀302置入在佈局200中,並位於間隙206內。橫樑形狀302是印刷特徵(printing feature),並對應於欲形成在工件上的特徵。在一些實施例中,由橫樑形狀302所形成的特徵可在後續的切割製程中部分地或全部地移除,留下由第一組形狀202及第二組形狀204所形成的特徵。藉由連接至後續置入的線端特徵,橫樑形狀302藉由提供從第一組形狀202延伸至第二組形狀204的連續形狀,從而來移除線端。
在一些實施例中,將橫樑形狀302置入在間隙206的中心處,此間隙206的中心與第一組形狀202的邊界218及第二組形狀204的邊界226為等距的。橫樑形狀302在垂直於第一組形狀202及第二組形狀204的第二方向210上延伸。橫樑形狀302可延伸經過任何數目的第一組形狀202及/或任何數目的第二組形狀204。此外,橫樑形狀302可以在第一方向208上具有任何合適的寬度304,並且在各 實施例中,寬度是依照最小特徵寬度或間距的倍數(舉例而言,至少是中心線至中心線的最小間距的2倍)。
參看第1A圖的方塊106及第4圖,將充填形狀402置入於佈局200中,並位於第一組形狀202之間,及/或位於第二組形狀204之間。充填形狀402為印刷特徵,並對應至欲在工件上形成的特徵。在一些實施例中,由充填形狀402所形成的特徵可在後續的切割製程中部分地或全部地移除,留下由第一組形狀202及第二組形狀204所形成的特徵。
充填形狀402在第一方向208延伸,並可延伸與耦接至橫樑形狀302。這避免在充填形狀402的終點處的線端,並可避免相關的圓化。在一些實施例中,根據佈局200所實施的特殊設計,將充填形狀402置入未佔用的第一組及/或第二組內的路線軌跡(routing track)中。在這種實施例中,充填形狀402設置於第一組形狀202之間或第二組形狀204之間,並且充填形狀402可配置成與第一組形狀202或第二組形狀204具有相同的中心線至中心線的間距及最小間隔。充填形狀402可具有任何合適的寬度(在第二方向210上),並且可以比第一組形狀202及/或第二組形狀204更薄、更厚或實質上相等,充填形狀402設置於第一組形狀202之間或第二組形狀204之間。在一些實施例中,設置於第一組形狀202之間的充填形狀402與設置在第二組形狀204之間的充填形狀402具有不同的寬度、間隔及/或間距。
參看第1A圖的方塊108及第5圖,將線端延伸(line-end extension)502置入於佈局200中。線端延伸502是印刷特徵,並用以延長由第一組形狀202及/或第二組形狀204所形成的特徵。在一些實施例中,由線端延伸502所形成的特徵可在後續的切割製程中部分地或全部地移除,留下由第一組形狀202及第二組形狀204所形成的特徵。
每個線端延伸502從第一組形狀202或第二組形狀204延伸,並將第一組形狀202或第二組形狀204耦接至橫樑形狀302。在這種情況下,線端延伸502可避免其終端處的線端。因此,線端延伸502可以在第一方向208上延伸任何長度504,並且在各實施例中,長度係依照最小特徵寬度或間距的倍數(舉例而言,至少是中心線至中心線的最小間距的1.5倍)。在一個這種實施例中,當中橫樑形狀302的寬度304約為中心線至中心線的最小間距的2倍,間隙206的總寬度228約為中心線至中心線的最小間距的5倍。因此,橫樑形狀302及/或線端延伸502的加入,使得間隙206可比不具有橫樑形狀302或線端延伸502其中一者的其他實施例更加狹小,或使得間隙206可比同時不具有橫樑形狀302與線端延伸502的其他實施例更加狹小。
線端延伸502的寬度(在第二方向210上)可根據其所延伸自的第一組形狀202或第二組形狀204。因此,在各實施例中,線端延伸502係比其所延伸自的第一組形狀202及/或第二組形狀204更薄、更厚或實質上厚度相同。在線端延伸502與其相鄰的第一組形狀202或與其相鄰的第二 組形狀204的厚度實質上相同的情況下,此配置可以避免寬度上的變化與相關的圓化效應。
參看第1A圖的方塊110及第6圖,將光學鄰近修正形狀602置入於佈局200中。光學鄰近修正形狀602可以包括次解析度輔助特徵(Sub-Resolution Assist Features,SRAFs)、襯線(serifs)、錘頭(hammerheads)、其他特徵及/或其他強化特徵。在一些實施例中,光學鄰近修正形狀602包括加入至線端延伸502或充填形狀402與橫樑形狀302的接合處(junctions)的襯線形狀。這些特定的光學鄰近修正形狀602可配置成增加印刷特徵的厚度,以避免接合處的內縮(necking)及其他不規則性。
可使用任何合適的光學鄰近修正(Optical Proximity Correction,OPC)技術來辨識置入光學鄰近修正形狀602的位置。在一些實施例中,規則依據(rules-based)的光學鄰近修正(OPC)技術對佈局與一組設計規則(例如,間隔規則、特徵規則等)進行比較,以辨識接合處與印刷錯誤的可能性升高的其他位置。在一些實施例中,圖案依據(pattern-based)的光學鄰近修正(OPC)技術使用圖案匹配來辨識印刷錯誤的可能性升高的位置。在規則依據與圖案依據的技術中,光學鄰近修正形狀602可置入於誤差(error)機率超過閥值(threshold)的任何地方。另外地或替代地,可使用模擬依據(simulation-based)的光學鄰近修正(OPC)技術,通過利用佈局200的特徵,從而來模擬 微影製程的曝光。從微影製程的模擬結果,這種方法辨識出造成特徵不符合規格的接合處及其他位置。
參看第1A圖的方塊112及第7圖,界定切割形狀702。切割形狀702界定了所欲移除的特徵的區域,在各實施例中,切割形狀702覆蓋了由橫樑形狀302、一些或全部的充填形狀402、及/或一些或全部的線端延伸502所形成的特徵。可部分地或完全地移除這些特徵,並且可合併各別的切割形狀702,以使得單個切割形狀702移除了一個以上的特徵。
在一些實施例中,切割形狀702用以產生切割遮罩,此切割遮罩不同於用以形成一些特徵的遮罩,這些特徵為第一組形狀202、第二組形狀204、橫樑形狀302、充填形狀402及/或線端延伸502。在使用後一個遮罩來形成特徵後,使用切割遮罩來移除沒有對應至第一組形狀202及第二組形狀204的外加特徵。不管切割遮罩的外加時間、費用及複雜性如何,由橫樑形狀302、充填形狀402及/或線端延伸502所提供的改進後的保真度可符合其用途。因為切割形狀702對應至不同的遮罩,所以可以將它們添至佈局200及/或對應但分離的另一個佈局。
參看第1A圖的方塊114,提供佈局200,以製造所指定的積體電路。製造可以包括任何數目的製程步驟,包括微影製程、蝕刻、沉積、磊晶、退火、化學機械研磨、清理及/或用以產生實體積體電路裝置的其他製程。在一些實施例中,根據由第一組形狀202、第二組形狀204、橫樑 形狀302、充填形狀402、線端延伸502及/或光學鄰近修正形狀602所形成的特徵,製造製程選擇性地處理部分的工件。方塊116至方塊146及第8圖至第22圖敘述合適的積體電路製造製程的各實施例。儘管一些這類實施例使用這些特徵來圖案化材料層,但亦考慮與提供使用特徵來選擇性執行其他製造製程(例如磊晶、沉積、植入等)的其他實施例。
參看第1A圖的方塊116及第8圖,根據第一組形狀202、第二組形狀204、橫樑形狀302、充填形狀402、線端延伸502及光學鄰近修正形狀602,形成光遮罩800。光遮罩800具有光學性質不同的區域802及區域804。對於反射性光遮罩800而言,區域802可以是反射性區域,而區域804可以是吸收性區域,或反之亦然。對於透射性光遮罩800,區域802可以是透射性區域,而區域804可以是吸收性區域802,或反之亦然。在後續製程中,根據這些區域,以光遮罩800反射或透射過光遮罩800的光係用以選擇性地曝光工件。
參看第1A圖的方塊118、第9A圖及第9B圖,接收工件900,工件900包括基板902、設置在基板902上的硬遮罩904與設置在硬遮罩904上的光阻906。在各實施例中,基板902包括元素(單一元素)半導體、化合物半導體、非半導體材料及/或其組合,舉例而言,元素(單一元素)半導體是在晶體結構中的矽或鍺;舉例而言,化合物半導體是矽鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻 化銦;舉例而言,非半導體材料是鈉鈣玻璃、熔融二氧化矽、熔融石英及/或氟化鈣(CaF2)。
基板902可以是均勻的組成,或可包括多個層。層可以具有類似或不同的組成,在各實施例中,一些基板層具有不均勻的組成,以引起裝置的應變,進而調控裝置的效能。層狀基板的實施例包括絕緣體上矽(silicon-on-insulator,SOI)基板902。在一些這種實施例中,基板902的層可以包括絕緣體,舉例而言,絕緣體為半導體氧化物、半導體氮化物、半導體氮氧化合物、半導體碳化物及/或其他合適的絕緣體材料。
基板902可包括設置在其上的任何合適的硬遮罩904。在一些實施例中,硬遮罩904包括遮罩材料,遮罩材料用以在製程期間保護基板902的底層區域。硬遮罩904的合適材料包括介電質(例如,半導體氧化物、半導體氮化物、半導體氮氧化合物、半導體碳化物、金屬氧化物、其他金屬化合物等)、金屬、金屬合金、多晶矽、及/或其他合適材料。在實施例中,硬遮罩904包括氮化矽。
參看第1A圖的方塊120、第10A圖及第10B圖,使用光遮罩800對工件900執行微影製程曝光,光遮罩800將所選擇之光阻906的區域以輻射曝光。曝光使得光阻906的曝光區域發生化學反應。在曝光後,施加顯影劑至光阻906。若為正光阻顯影製程,顯影劑將溶解或移除曝光區域;若為負光阻顯影製程,顯影劑則將溶解或移除未曝光區域。合適的正顯影劑包括TMAH(四甲基氫氧化銨)、KOH 及NaOH,而合適的負顯影劑包括例如乙酸正丁酯、乙醇、己烷、苯及甲苯的溶劑。在各實施例中,顯影後的光阻906暴露出部分的硬遮罩904,此部分的硬遮罩904沒有對應至佈局200的第一組形狀202、第二組形狀204、橫樑形狀302、充填形狀402、線端延伸502及/或光學鄰近修正形狀602。
參看第1A圖的方塊122、第11A圖及第11B圖,在對光阻906顯影後,可通過蝕刻製程來移除光阻906所暴露之部分的硬遮罩904,從而圖案化硬遮罩904。在各實施例中,係通過濕蝕刻、乾蝕刻、反應性離子蝕刻(Reactive Ion Etching,RIE)、灰化及/或使用蝕刻化學劑的其他蝕刻方法,例如四氟化碳(CF4)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、其他合適的蝕刻劑及/或其組合來執行蝕刻。可選擇蝕刻製程及/或化學劑來蝕刻硬遮罩904,並且沒有顯著地蝕刻光阻906及/或基板902。在蝕刻硬遮罩904後,可移除光阻906。圖案化後的硬遮罩904可具有對應至第一組形狀202、第二組形狀204、橫樑形狀302、充填形狀402、線端延伸502及/或光學鄰近修正形狀602的特徵。
參看第1A圖的方塊124及第12圖,依照佈局的切割形狀702,形成切割光遮罩1200。類似於光遮罩800,切割光遮罩1200具有不同光學性質的區域1202及區域1204。對於反射性切割光遮罩1200而言,區域1202可以是反射性區域,而區域1204可以是吸收性區域,或反之亦然。對於透射性切割光遮罩1200而言,區域1202可以是透射性 區域,而區域1204可以是吸收性區域,或反之亦然。在後續的製程中,根據這些區域,使用切割光遮罩1200所反射的光或透射穿過切割光遮罩1200的光選擇性地曝光工件900。
參看第1B圖的方塊126、第13A圖及第13B圖,形成第二光阻1302於基板902上,並使用切割光遮罩1200對工件900執行微影製程曝光,切割光遮罩1200將第二光阻1302的選定區域暴露於輻射。這實質上可按第1A圖的方塊120所述來執行。在各實施例中,依照切割形狀702,圖案化後的第二光阻1302暴露了部分的硬遮罩,所暴露的部分的硬遮罩對應至佈局200的橫樑形狀302、充填形狀402、線端延伸502及/或光學鄰近修正形狀602,此外並沒有暴露對應至第一組形狀202及第二組形狀204的部分的硬遮罩。
參看第1B圖的方塊128、第14A圖及第14B圖,執行蝕刻製程,以移除通過第二光阻1302所暴露的部分的硬遮罩904。在各實施例中,通過濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化及/或使用任何合適的蝕刻化學劑的其他蝕刻方法執行蝕刻。可選擇蝕刻製程及/或化學劑以蝕刻硬遮罩904,並且沒有顯著地蝕刻第二光阻1302及/或基板902。在蝕刻硬遮罩904後,可移除第二光阻1302。蝕刻界定了在殘餘的硬遮罩904材料中的芯軸(mandrels)1402。
應注意的是,儘管方塊130至方塊144敘述使用硬遮罩的芯軸1402來蝕刻基板902,以界定用於鰭式場效電 晶體(FinFET)裝置的鰭片,其他實施例中係在蝕刻製程中使用圖案化後的硬遮罩904來界定閘極特徵,以界定導電路徑及/或通孔,及/或界定其他特徵。另外的實施例在非蝕刻製程中(例如磊晶、沉積、植入等)使用圖案化後的硬遮罩904。在一些實施例中,芯軸1402用於雙重圖案化、四重圖案化或其他多重圖案化製程中,以形成來自每個芯軸1402的一個以上形狀。方塊130至方塊140、第15A圖至第20圖的實施例將敘述一個四重圖案化製程,但應理解的是,可修改製程以執行其他的多重圖案化製程。
參看第1B圖的方塊130、第15A圖及第15B圖,第一間隔物層1502形成於硬遮罩904的芯軸1402上。第一間隔物層1502可包括任何合適的材料(例如半導體、半導體氧化物、半導體氮化物、半導體氮氧化合物、半導體碳化物等),並且可選擇以具有與硬遮罩904不同的蝕刻劑選擇性。第一間隔物層1502可通過任何合適的製程來形成,包括CVD、HDP-CVD、ALD、PVD及/或其他合適的沉積方法。在一些這種實施例中,通過CVD或ALD來保形地沉積第一間隔物層1502。
參看第1B圖的方塊132、第16A圖及第16B圖,對第一間隔物層1502執行蝕刻製程,以從硬遮罩904及基板902的水平面上移除第一間隔物層1502。可使用各向異性(方向性)蝕刻技術來執行蝕刻製程,例如各向異性電漿蝕刻或其他合適的蝕刻技術。如第16A圖及第16B圖所示,部分的第一間隔物層1502保留在硬遮罩904的垂直表面上。
參看第1B圖的方塊134及第17圖,從基板902移除硬遮罩904的芯軸1402。在各實施例中,通過濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化及/或使用任何合適的蝕刻化學劑的其他蝕刻方法來移除芯軸1402。可選擇蝕刻製程及/或化學劑,以在沒有顯著地蝕刻第一間隔物層1502及/或基板902的情況下蝕刻硬遮罩904。
可以重複任意次數的方塊130至方塊134的技術,以形成額外的間隔物特徵。參看第1B圖的方塊136及第18圖,形成第二間隔物層1802於圖案化後的第一間隔物層1502上。第二間隔物層可包括任何合適的材料(例如半導體、半導體氧化物、半導體氮化物、半導體氮氧化合物、半導體碳化物等等),並且可選擇以具有與第一間隔物層1502不同的蝕刻劑選擇性。可通過任何合適的製程來沉積第二間隔物層1802,包括CVD、HDP-CVD、ALD、PVD及/或其他合適的沉積技術。在一些這種實施例中,通過CVD或ALD來保形地沉積第二間隔物層1802。
參看第1B圖的方塊138及第19圖,對第二間隔物層1802執行蝕刻製程,以從第一間隔物層1502及基板902的水平面上移除第二間隔物層1802。可使用各向異性刻蝕技術來執行蝕刻製程,例如各向異性電漿蝕刻或其他合適的蝕刻技術。在蝕刻後,部分的第二間隔物層1802保留在第一間隔物層1502的垂直表面上。
參看第1B圖的方塊140及第20圖,移除第一間隔物層1502的殘餘部分。在各實施例中,通過濕蝕刻、乾 蝕刻、反應性離子蝕刻、灰化及/或使用任何合適的蝕刻化學劑的其他蝕刻方法的蝕刻製程來移除第一間隔物層1502。可選擇蝕刻製程及/或化學劑,以在沒有顯著地蝕刻第二間隔物層1802及/或基板902的情況下蝕刻第一間隔物層1502。
如上所述,可以重複任意次數的方塊130至方塊140的技術,以形成具有所欲數目的間隔物特徵的間隔物層。當所欲間隔物層已形成時,此間隔物層可用以蝕刻基板902。例如,參看第1B圖的方塊142及第21圖,執行蝕刻製程以蝕刻基板902,進而界定其中的鰭片2102。在各實施例中,通過濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化及/或使用任何合適的蝕刻化學劑的其他蝕刻方法來執行蝕刻。可選擇蝕刻製程及/或化學劑,以在沒有顯著地蝕刻第二間隔物層1802的情況下蝕刻基板902。可配置蝕刻製程的持續時間,以產生任何合適的尺寸的鰭片2102。
參看第1B圖的方塊144及第22圖,從基板902移除第二間隔物層1802的殘餘部分。在各實施例中,通過濕蝕刻、乾蝕刻、反應性離子蝕刻、灰化及/或使用任何合適的蝕刻化學劑的其他蝕刻方法來移除第二間隔物層1802。可選擇蝕刻製程及/或化學劑,以在沒有顯著地蝕刻基板902的情況下蝕刻第二間隔物層1802。
參看第1B圖的方塊146,將工件900提供給製造積體電路的進一步程序。在各實施例中,製造包括:形成預留位置的多閘極於鰭片2102的通道區上方、形成源極/汲 極特徵於鰭片2102上、使用金屬閘極替換預留位置的多閘極、形成互連結構,以將金屬閘極及/或源極/汲極特徵電性耦接至電路的殘餘部分、及/或其他合適的製造製程。
在一些實施例中,可省略橫樑形狀,並且可延伸線端延伸,直到線端延伸相互接觸。參看第23圖至第27圖,敘述一些這種實施例。在此,第23圖係根據本揭示的各種態樣,製造積體電路的方法2300的流程圖。額外的步驟可提供於方法2300之前、方法2300之中及方法2300之後,並且在其他實施例中,可替換或移除方法2300所述的一些步驟。第24圖至第27圖係根據本揭示的各種態樣,用於以方法2300製造積體電路的佈局2400的局部俯視圖。
首先參看第23圖的方塊2302及第24圖,接收佈局2400,佈局2400包括通過間隙2406分隔的第一組形狀2402及第二組形狀2404。在許多方面,佈局2400可實質上類似於第2圖至第7圖的佈局200。佈局2400可以是積體電路的數位呈現,佈局2400的第一組形狀2402及第二組形狀2404可對應至工件的實體特徵,並界定工件的實體特徵。
佈局2400可包括任何數目層上任何數目的形狀。第一組形狀2402及第二組形狀2404代表相同層中的類似特徵。第一組形狀2402在第一方向208上延伸,並且實質上彼此平行。第一組形狀2402可具有任何合適的寬度2412(在第二方向210上)、中心線至中心線的間距2414、及最小間隔2416(在第二方向210上)。在一些實施例中,第 一組形狀2402具有線端,線端終止於第二方向210上的共用邊界2418。
第二組形狀2404亦在第一方向208上延伸,並實質上彼此平行。第二組形狀2404可具有任何合適的寬度2420(在第二方向210上)、中心線至中心線的間距2422及最小間隔2424(在第二方向210上),第二組形狀2404可具有與第一組形狀2402不同的態樣,例如寬度、間距與間隔。在一些實施例中,第二組形狀2404具有線端,線端終止於第二方向210上的共用邊界2426。間隙2406延伸於第一組的邊界2418與第二組的邊界2426之間,並且在第一方向208上可具有任何合適的寬度2428。
參看第23圖的方塊2304及第25圖,充填形狀2502置入佈局2400中,並位於第一組形狀2402與第二組形狀2404之間。這實質上可按第1A圖的方塊106中所述般執行。充填形狀2502是印刷特徵,並對應至欲形成在工件上的特徵。在一些實施例中,由充填形狀2502所形成的特徵可在後續的切割製程中部分地或全部地移除,留下由第一組形狀2402及第二組形狀2404所形成的特徵。
在一些實施例中,將充填形狀2502置入在第一組及/或第二組內空置(unoccupied)的路徑軌跡中。在這種實施例中,充填形狀2502可設置在第一組形狀2402之間及/或第二組形狀2404之間,並可配置於與第一組形狀2402及/或第二組形狀2404相同的中心線至中心線的間距。位於第一組形狀2402之間及/或第二組形狀2404之間的充填形 狀2502可具有任何合適的寬度(在第二方向210上),並且可比第一組形狀2402及/或第二組形狀2404更薄、更厚或實質上厚度相等。在一些實施例中,設置在第一組形狀2402之間的充填形狀2502具有與設置在第二組形狀2404之間的充填形狀2502不同的寬度、間隔及/或間距。
充填形狀2502可以在第一方向208上延伸至間隙2406的中心線。設置在第一組形狀2402內的一些充填形狀2502延伸並耦接至設置在第二組形狀2404內的充填形狀2502。根據寬度、間距及/或間隔,一些充填形狀2502耦接至一個以上的其他充填形狀2502。儘管耦接的充填形狀2502可沒有完全地對準,但所造成的點動(jog)或寬度變化可以不會像線端般突出。因此,充填形狀2502的耦接可以降低圓化的嚴重程度。
參看第23圖的方塊2306及第26圖,將線端延伸2602置入佈局2400中。這實質上可按第1A圖的方塊108中所述般執行。線端延伸2602是印刷特徵,並用以延長由第一組形狀2402及/或第二組形狀2404所形成的特徵。在一些實施例中,由線端延伸2602所形成的特徵可在後續的切割製程中部分地或全部地移除,留下由第一組形狀2402及第二組形狀2404所形成的特徵。
每個線端延伸2602從第一組形狀2402或第二組形狀2404延伸至間隙2406的中心線。因此,線端延伸2602可以在第一方向208上延伸任何寬度2604,並且在各實施例中,長度係依照最小特徵寬度或間距的倍數(舉例而 言,至少是中心線至中心線的最小間距的3倍)。線端延伸2602可以耦接至其他線端延伸2602及/或間隙2406中的充填形狀2502。根據寬度、間距及/或間隔,一些線端延伸2602耦接至一個以上的其他線端延伸2602及/或充填形狀2502。相同於充填形狀2502,在接合處所造成的點動(jog)或寬度變化可以不會像線端般突出。
線端延伸2602的寬度(在第二方向210上)可根據其所延伸自的第一組形狀2402或第二組形狀2404。因此,在各實施例中,線端延伸2602係比其所延伸自的第一組形狀2402及/或第二組形狀2404的厚度更薄、更厚或實質上厚度相同。
參看第23圖的方塊2308及第27圖,將光學鄰近修正形狀2702置入佈局2400中。這可實質上按第1A圖的方塊110中所述般執行。在一些實施例中,光學鄰近修正形狀2702包括加入至線端延伸2602及/或充填形狀2502耦接的接合處的襯線形狀。可配置這些特定的光學鄰近修正形狀2702,以加厚印刷形狀,從而避免接合處的內縮及其他不規則性。此外,一些光學鄰近修正形狀2702連接了原本不耦接的線端延伸2602及/或充填形狀2502。
參看第23圖的方塊2310及第28圖,界定切割形狀2802。這可實質上按第1A圖的方塊112所述般執行。切割形狀2802界定所欲移除的特徵的區域,在各實施例中,切割形狀2802覆蓋了由一些或全部的充填形狀2502及/或一些或全部的線端延伸2602所形成的特徵。可以合併各別 的切割形狀2802,以使得單一個切割形狀2802移除一個以上的特徵及/或延伸。
參看第23圖的方塊2312,提供佈局2400用以製造遮罩及其所指定的積體電路。如第23圖的方塊2314所示,製造製程可實質上按第1A圖及第1B圖的方塊116至方塊146所述般執行。
在一些實施例中,線端延伸及其他形狀係包括在加入至佈局的充填單元中。可配置充填單元,使得充填單元所包括的線端延伸將其他形狀耦接在一起,以避免對應的線端。參看第29圖至第33圖,敘述一些這種實施例。在此,第29圖係根據本揭示的各種態樣,包括加入充填單元的積體電路的製造方法2900的流程圖。在方法2900之前、方法2900之中及方法2900之後可提供額外的步驟;對其他實施例而言,可替換或移除方法2900所述的一些步驟。第30圖至第32圖係根據本揭示的各種態樣,使用具有充填單元的製造方法2900所製造之積體電路的佈局3000之局部的俯視圖。第33圖係根據本揭示的各種態樣,依據具有充填單元的佈局3000所製造之工件3300的局部俯視圖。
首先參看第29圖的方塊2902及第30圖,接收佈局3000,佈局3000包括通過間隙3006分隔的第一組形狀3002及第二組形狀3004。在許多方面,佈局3000可實質上類似於第2圖至第7圖的佈局200及/或第24圖至第28圖的佈局2400。佈局3000可以是積體電路的數位呈現,佈局3000 的第一組形狀3002及第二組形狀3004可對應至工件的實體特徵,並界定工件的實體特徵。
佈局3000可包括任何數目層上任何數目的形狀。第一組形狀3002及第二組形狀3004代表相同層中的類似特徵。第一組形狀3002在第一方向208上延伸,並且實質上彼此平行。第一組形狀3002可具有任何合適的寬度(在第二方向210上)、中心線至中心線的間距及最小間隔(在第二方向210上)。應注意的是,第一組形狀3002可具有不同寬度、中心線至中心線的間距及/或最小間隔。舉例而言,位於佈局3000的第一區域3008中的第一組形狀3002具有第一寬度3012、中心線至中心線的間距3014及最小間隔3016,而佈局3000的第二區域3010中的第一組形狀3002具有與第一區域3008中的第一組形狀3002不同的第二寬度3018、中心線至中心線的間距3020及最小間隔3022。
因此,第一組形狀3002可以符合一個或多個局部柵格(local grid),局部柵格界定了第一組形狀3002的可用位置。如果局部柵格及延伸第一組形狀3002符合佈局3000的全域柵格(global grid),則可將局部柵格及延伸第一組形狀3002視為並柵(on-grid),若不符合,則可視為離柵(off-grid)。在各實施例中,第一組形狀3002是離柵(off-grid)的,以界定並形成用於記憶體、I/O、低頻、低功率、高頻、高功率及/或其他特定用途的特定電路裝置。
在一些實施例中,第一組的形狀3002具有線端,線端終止於第二方向210上的共用邊界3024。
第二組形狀3004亦在第一方向208上延伸,並實質上彼此平行。第二組形狀3004可具有任何合適的寬度(在第二方向210上)、中心線至中心線的間距及最小間隔(在第二方向210上),第二組形狀3004可具有與第一組形狀3002不同的態樣,例如寬度、間距及間隔。第二組形狀3004可具有不同寬度、中心線至中心線的間距及/或最小間隔,相較於第一組形狀3002以及第二組形狀3004彼此之間。舉例而言,位於佈局3000的第三區域3026中的第二組形狀3004具有第三寬度3030、中心線至中心線的間距3032及最小間隔3034,而位於佈局3000的第四區域3028中的第二組形狀3004具有與第三區域3026中的第二組形狀3004不同的第四寬度3036、中心線至中心線的間距3038及最小間隔3040。
相同於第一組形狀3002,第二組形狀3004可以符合一個或多個局部柵格(local grid),局部柵格界定了第二組形狀3004的可用位置。在各實施例中,第二組形狀3004是離柵(off-grid)的,以界定用於記憶體、I/O、低頻、低功率、高頻、高功率及/或其他特定用途的特定電路裝置。在一些實施例中,第二組形狀3004的局部柵格對準於第一組形狀3002的局部柵格,然而在其他實施例中,局部柵格是沒有對準的。
在一些實施例中,第二組形狀3004具有線端,線端終止於第二方向210上的共用邊界3042。間隙3006在第一組的邊界3024與第二組的邊界3042之間延伸,並且在 第一方向208上可以具有任何合適的寬度3044。在後續的圖式中,將更詳細地敘述間隙3006的寬度3044。
參看第29圖的方塊2904及第31圖,將含有線端延伸3104的充填單元3102置入到佈局3000中。這實質上可按第1A圖的方塊108及/或第23圖的方塊2306所述般執行。充填單元3102的線端延伸3104是印刷特徵,並用以延長由第一組形狀3002及/或第二組形狀3004所形成的特徵。在一些實施例中,可在後續的切割製程中部分地或全部地移除由線端延伸3104所形成的特徵,留下由第一組形狀3002及第二組形狀3004所形成的特徵。留下至少一部份的線端延伸3104的優點在於,設置在工件上的對應特徵可用以強化其他材料,例如層間介電質(inter-level dielectric,ILD)。更詳細而言,特徵可以形成於層間介電質內,由於硬度上的差異,即使是在化學機械研磨/平坦化(Chemical Mechanical Polishing,CMP)製程後,沒有受到一定密度的特徵所強化的層間介電質材料可遭受到凸出(bumping)或凹陷(dishing)。在一些實施例中,通過強化間隙3006內的層間介電質,從而使線端延伸3104的殘餘部分避免了這些不規則性。
線端延伸3104可以將第一組形狀3002耦接至第二組形狀3004,因此,充填單元3102可配置以具有與第一組形狀3002及第二組形狀3004的局部柵格對準的線端延伸3104。在一些實施例中,充填單元3102係根據第一組形狀3002及第二組形狀3004的局部柵格(以及延伸的寬 度、中心線至中心線的間距、及/或最小間隔)而從資料庫中選出。因此,在一個這種實施例中,第一組形狀3002、第二組形狀3004及充填單元3102的線端延伸3104的每一個均符合相同的離柵(off-grid)的局部柵格。
在一些實施例中,即使第一組形狀3002及第二組形狀3004是離柵(off-grid)的,由於充填單元3102的線端延伸3104對準第一組形狀3002及第二組形狀3004,因此可縮減間隙寬度3044,這是因為消除了第一組形狀3002與第二組形狀3004之間的填墊(padding)及充填單元3102。類似地,在一些這種實施例中,因為充填單元3102的線端延伸3104對準第一組形狀3002與第二組形狀3004,因此可減小充填單元3102的寬度及延伸的間隙寬度3044。在一個實施例中,間隙寬度3044得以減少三倍以上,相較於並柵(on-grid)的線端延伸3104的充填單元3102。
基與類似的原因,界定線端延伸3104(在第二方向210上)的柵格(例如寬度、間距、間隔等)的特性係可依照其所延伸自的第一組形狀3002與第二組形狀3004。因此,在各實施例中,與其所延伸自及其所耦接的第一組形狀3002及第二組形狀3004實質上厚度相等的線端延伸3104被配置於實質上相同的間距,並且具有實質上相同的最小間隔。
參看第29圖的方塊2906及第32圖,界定切割形狀3202。這可實質上按第1A圖的方塊112及/或第23圖的方塊2310所述般執行。切割形狀3202界定了待移除特徵的區 域,在各實施例中,切割形狀3202覆蓋了由一些或全部的線端延伸3104所形成的一些或全部的特徵。如上所述,留下至少一部份的線端延伸3104的一個優點在於,設置在工件上的對應特徵可用以強化其他材料,例如層間介電質。
在一些實施例中,充填單元3102包括各別的切割形狀3202。在一些實施例中,在置入充填單元3102後,程序化生成(procedurally generated)切割形狀3202。程序化生成的切割形狀3202可包括切割形狀3202,其中線端延伸3104接觸功能形狀,例如第一組形狀3002及第二組形狀3004,同時忽略切割形狀3202,其中線端延伸3104接觸其他線端延伸3104(例如在充填單元至充填單元的邊界)。可合併個別的切割形狀3202,以使得單一個切割形狀3202從一個以上的充填單元3102移除線端延伸3104的特徵。在一些實施例中,切割形狀3202具有寬度,此寬度足以移除足夠的線端延伸3104的特徵,以可靠地確保線端延伸3104的特徵與第一組形狀3002及第二組形狀3004的特徵是電性隔絕的,並留下足夠的線端延伸3104,以符合最小特徵密度。
參看第29圖的方塊2908,提供佈局3000用以製造遮罩及佈局3000指定的積體電路。如第29圖的方塊2910所示,製造製程可實質上按第1A圖及第1B圖的方塊116至方塊146所述般執行。
第33圖係根據具有充填單元3102的佈局3000所製造之例示性工件3300的局部的俯視圖。工件3300包括 基板3302,基板3302可實質上類似於第9圖至第11B圖以及第13A圖至第22圖的基板902。例示性的製造製程在基板3302上形成一定數量的鰭片。這些鰭片包括鰭片3304A,鰭片3304A係在執行使用佈局3000的第一組形狀3002的多重圖案化製程時所產生(例如,雙重圖案化、四重圖案化)。相似地,鰭片3304B係在執行使用佈局3000的第二組形狀3004的多重圖案化製程時所產生,而鰭片3304C係在執行使用未被切割形狀3202所覆蓋之部分線端延伸3104的多重圖案化製程時所產生。
在各種實施例中,藉由專用功能計算元件、固定功能計算元件與可程式化計算元件的組合來執行軟體指令,從而執行此技術。因此應理解的是,可通過利用儲存在處理系統可存取的非暫態機器可讀媒介上或內的對應指令,以實施方法100、方法2300及/或方法2900的任意步驟。這類的系統與非暫態機器可讀媒介的實施例請參看第34圖所述。在此,第34圖係根據本揭示的各種態樣,計算系統3400的方塊圖。
計算系統3400包括處理資源3402,處理資源3402又可以包括任何數目及任何類型的處理元件,例如中央處理單元(Central Processing Units,CPUs)、圖形處理單元(Graphical Processing Units,GPUs)、特殊應用積體電路(Application-Specific Integrated Circuits,ASICs)、微控制器及/或其他合適的處理元件。處理資源3402通訊耦接至實體非暫態機器可讀媒介3404,以執行儲 存在實體非暫態機器可讀媒介3404上的指令。為了敘述起見,實體非暫態機器可讀媒介3404可以是任何設備,此何設備可儲存由指令執行系統、設備或裝置所使用或所連接的程式。實體非暫態機器可讀媒介可包括非揮發性記憶體(non-volatile memory),包括磁儲存器、固態儲存器、光學儲存器、快取記憶體記憶體及/或具有電池的隨機存取記憶體(Random Access Memory,RAM)。
在各實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402執行方法100及/或方法2300的處理。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402接收用於製造積體電路的佈局,此佈局包括第一組平行形狀及第二組平行形狀。這可實質上按第1A圖的方塊102所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402將橫樑形狀置入佈局中,並位於第一組平行形狀與第二組平行形狀之間。這可實質上按第1A圖的方塊104所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402將充填形狀置入第一組平行形狀之間及第二組平行形狀之間。這可實質上按第1A圖的方塊106所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402置入線端形狀,此線端形狀從第一組平行形狀及第二組平行形狀的形狀延伸至橫樑形狀。這可實質上按第1A圖的方塊108所述來執行。在一些這 種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402將光學鄰近修正形狀置入佈局中。這可實質上按第1A圖的方塊110所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402界定橫樑形狀、充填形狀及/或線端形狀的切割形狀。這可實質上按第1A圖的方塊112所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402提供用於製造積體電路的佈局。這可以實質上按第1A圖的方塊114所述來執行。
在又一實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402接收用於製造積體電路的佈局,此佈局包括第一組平行形狀及第二組平行形狀。這可實質上按第23圖的方塊2302所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402將充填形狀置入第一組平行形狀之間及第二組平行形狀之間。這可實質上按第23圖的方塊2304所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402置入線端形狀,此線端形狀從第一組平行形狀及第二組平行形狀的形狀延伸至第一組與第二組之間的間隙的中心線。這可實質上按第23圖的方塊2306所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402將光學鄰近修正形狀置入佈局中。這可實質上按第23圖的方塊2308所述來執行。在一些這種實施例 中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402界定充填形狀及/或線端形狀的切割形狀。這可實質上按第23圖的方塊2310所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402提供用於製造積體電路的佈局。這可實質上按第23圖的方塊2312所述來執行。
在又一實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402接收用於製造積體電路的佈局,此佈局包括第一組平行形狀及第二組平行形狀。這可實質上按第29圖的方塊2902所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402置入含有線端延伸的充填單元,此線端延伸在第一組的形狀與第二組的形狀之間延伸,並將第一組的形狀耦接至第二組的形狀。這可實質上按第29圖的方塊2904所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402為至少一部分的線端延伸的特徵界定切割形狀。這可實質上按第29圖的方塊2906所述來執行。在一些這種實施例中,實體非暫態機器可讀媒介3404儲存指令,此指令使得處理資源3402提供用於製造積體電路的佈局。這可實質上按第29圖的方塊2908所述來執行。
因此,本揭示提供一個系統及技術的實施例,使用輔助特徵來形成特徵,以改進規則性,特別是在特徵線的末端處。在一些實施例中,方法包括接收積體電路佈局, 此積體電路佈局含有:第一組形狀,在第一方向平行延伸,以形成用於蝕刻基板的第一組芯軸;及第二組形狀,在第一方向中平行延伸,以形成用於蝕刻基板的第二組芯軸,其中第一組形狀的間距不同於第二組形狀的間距。置入橫樑形狀於積體電路佈局中,橫樑形狀在垂直於第一方向的第二方向上延伸跨過第一組形狀及第二組形狀,以及置入線端延伸組於積體電路佈局中,線端延伸組從第一組形狀及第二組形狀的每個形狀延伸至橫樑形狀。提供含有第一組形狀、第二組形狀、橫樑形狀及線端延伸組的積體電路佈局,以形成第一組芯軸及第二組芯軸,並用以根據第一組芯軸及第二組芯軸來蝕刻基板。在一些這種實施例中,第一組形狀的間隔與第二組形狀的間隔不相同。在一些這種實施例中,第一組形狀的寬度與第二組形狀的寬度不相同。在一些這種實施例中,方法進一步包括界定切割形狀組,以移除由橫樑、線端延伸組、第一組充填形狀及該第二組充填形狀所形成的特徵。在一些這種實施例中,方法進一步包括:置入第一組充填形狀與第二組充填形狀於積體電路佈局中,第一組充填形狀位於第一組形狀的形狀之間,而第二組充填形狀位於第二組形狀的形狀之間,其中:第一組充填形狀的每個形狀與第二組充填形狀的每個形狀在第一方向上平行延伸;第一組充填形狀的每個形狀與第二組充填形狀的每個形狀延伸至橫樑形狀。在一些這種實施例中,方法進一步包括界定切割形狀組,以移除由橫樑、線端延伸組及與第一組充填形狀與第二組充填形狀所形成的特徵。在一些這種實施例中,方法進一 步包括執行光學鄰近修正處理於積體電路佈局上,以將光學鄰近修正形狀加入至積體電路佈局。在一些這種實施例中,光學鄰近修正形狀包括位於在橫樑形狀的接合處的形狀,與線端延伸組的線端延伸。在一些這種實施例中,線端延伸組的第一子群組從該第一組形狀延伸出,且具有與第一組形狀的寬度實質上相同的寬度;線端延伸組的第二子群組係從第二組形狀延伸,且具有與第二組形狀的寬度實質上相同的寬度。
在又一實施例中,方法包括接收用於製造積體電路的佈局,佈局包括第一組平行線與第二組平行線,第一組平行線用於形成第一組芯軸,而第二組平行線用於形成第二組芯軸,第一組芯軸用於蝕刻基板的多重圖案化製程,第二組芯軸用於多重圖案化製程。置入橫樑於佈局中,並位於第一組平行線與第二組平行線之間。置入線端延伸組於佈局中,線端延伸組將第一組平行線的線與第二組平行線的線耦接至橫樑。提供包括第一組平行線、第二組平行線、橫樑及線端延伸組的佈局,用以執行多重圖案化製程。在一些這種實施例中,第一組平行線的間距、寬度或間隔之至少一者與第二組平行線不相同。在一些這種實施例中,方法進一步包括置入第一組充填線與第二組充填線於佈局中,第一組充填線位於第一組平行線的線之間,而第二組充填線位於第二組平行線的線之間。在一些這種實施例中,第一組充填線的每個的間距、間隔及寬度的至少一者與第一組平行線實質上相同;第二組充填線的每個的間距、間隔及寬度的至少一者與 第二組平行線實質上相同。在一些這種實施例中,方法進一步包括置入切割線組於佈局中,以移除由橫樑、線端延伸組、第一組充填線與第二組充填線所形成的特徵,並且沒有移除由第一組平行線及第二組平行線所形成的特徵。在一些這種實施例中,方法進一步包括執行光學鄰近修正處理於佈局上,以將光學鄰近修正線組置入佈局中,光學鄰近修正線組包括位於橫樑與線端延伸組的線端延伸的接合處的線。在一些這種實施例中,光學鄰近修正線組另外包括位於橫樑與第一組填充線之填充線的接合處的另一線。
在另一實施例中,方法包括接收用於製造積體電路的佈局,其中佈局包括:第一組平行形狀、第二組平行形狀與間隙,間隙位於第一組平行形狀與第二組平行形狀之間;置入第一組線端延伸於間隙中,第一組線端延伸耦接至第一組平行形狀;置入第二組線端延伸於間隙中,第二組線端延伸將第二組平行形狀耦接至第一組線端延伸;以及提供佈局,用於積體電路的製造。在一些這種實施例中,第一組平行形狀的間距、寬度或間隔的至少一者與第二組平行形狀不相同。在一些這種實施例中,方法進一步包括:置入第一組充填形狀與第二組充填形狀於佈局中,第一組充填形狀位於第一組平行形狀之間,第二組充填形狀位於第二組平行形狀之間。在一些這種實施例中,第一組充填形狀延伸至第二組充填形狀與第二組線端延伸;第二組充填形狀延伸至第一組充填形狀及第一組線端延伸。
在另一實施例中,方法包含:接收積體電路佈局,此積體電路佈局包括在第一方向上平行延伸之第一組線與第二組線。第一組線包括第一線,第二組線包括第二線。第一組線的間距與第二組線的間距不相同。置入第一線端延伸於佈局中,並耦接至第一組線的第一線。置入將第二線端延伸於佈局中,並耦接至第二組線的第二線及第一線端延伸。提供積體電路佈局,此積體電路佈局包括第一組線、第二組線、第一線端延伸及第二線端延伸,以用於製造。
在另一實施例中,方法包含:接收佈局,此佈局包括第一組平行形狀及第二組平行形狀,使得第一組平行形狀及第二組平行形狀為離柵,相對於佈局的全域柵格。將充填單元置入佈局中以包含線端延伸,使得此線端延伸包括延伸於第一組平行形狀的形狀與第二組平行形狀的形狀之間,並將第一組平行形狀的形狀耦接至第二組平行形狀的形狀。提供包括充填單元的佈局,以依照佈局製造積體電路。在一些這種實施例中,界定切割形狀,以移除至少一部分的特徵,此至少一部分的特徵係由線端延伸的延伸所形成。在一些這種實施例中,由切割形狀所移除之部分的特徵為第一部分,並且配置切割形狀,以留下由線端延伸的延伸所形成的特徵的第二部分。在一些這種實施例中,切割形狀具有寬度,配置為電性隔絕殘餘的特徵的第二部分,此特徵由由第一組平行形狀所形成的特徵的延伸所形成。在一些這種實施例中,選擇充填單元,以使得線端延伸對準第一組平行形狀及第二組平行形狀。在一些這種實施例中,第一組平行形狀 具有第一子群組與第二子群組,並且第一子群組與第二子群組的間距、最小間隔或寬度之至少一者是不相同的。在一些這種實施例中,其中充填單元的線端延伸包括對準第一組平行形狀的第一子群組的延伸,以及對準第一組平行形狀的第二子群組的延伸。
在又一實施例中,方法包括接收用於製造積體電路的佈局,佈局包括:第一組平行線、第二組平行線與間隙,此間隙位於第一組平行線與第二組平行線之間;將充填單元置入間隙中,此充填單元含有線端延伸,此線端延伸將第一組平行線的第一線耦接至第二組平行線的第二線;以及提供用於製造積體電路的佈局。在一些這種實施例中,每個第一組平行線、第二組平行線及充填單元符合共用局部柵格,此共用局部柵格對佈局的全域柵格而言是離柵的。
上文概述若干實施例的特徵,使得熟習此技藝者可更好地理解本揭示的態樣。熟習此技藝者應瞭解,他們可輕易地使用本揭示作為設計或修改其他製程及結構的基礎,以便實施本文所介紹的實施例的相同目的及/或實現相同優勢。熟習此技藝者亦應認識到,此類等效結構並未脫離本揭示的精神及範疇,且可在不脫離本揭示的精神及範疇的情況下,產生本文的各種變化、替代及更改。

Claims (20)

  1. 一種方法,包含:接收一積體電路佈局,該積體電路佈局包含:一第一組形狀,在一第一方向上平行延伸,以形成用於蝕刻一基板的一第一組芯軸;以及一第二組形狀,在該第一方向上平行延伸,以形成用於蝕刻該基板的一第二組芯軸,其中該第一組形狀的一間距不同於該第二組形狀的一間距;置入一橫樑形狀於該積體電路佈局中,該橫樑形狀在垂直於該第一方向的一第二方向上延伸跨過該第一組形狀及該第二組形狀;置入一線端延伸組於該積體電路佈局中,該線端延伸組從該第一組形狀及該第二組形狀的每個形狀延伸至該橫樑形狀;以及提供含有該第一組形狀、該第二組形狀、該橫樑形狀及該線端延伸組的該積體電路佈局,以形成該第一組芯軸及該第二組芯軸,並用以根據該第一組芯軸及該第二組芯軸來蝕刻該基板。
  2. 如請求項1所述之方法,其中該第一組形狀之一間隔不同於該第二組形狀之一間隔。
  3. 如請求項2所述之方法,其中該第一組形狀之一寬度不同於該第二組形狀之一寬度。
  4. 如請求項1所述之方法,進一步包含以下步驟:界定一切割形狀組,以移除由該橫樑形狀及該線端延伸組所形成的特徵。
  5. 如請求項1所述之方法,進一步包含以下步驟:置入一第一組充填形狀與一第二組充填形狀於該積體電路佈局中,該第一組充填形狀位於該第一組形狀的形狀之間,而該第二組充填形狀位於該第二組形狀的形狀之間,其中:該第一組充填形狀的每個形狀與該第二組充填形狀的每個形狀在該第一方向上平行延伸;以及該第一組充填形狀的每個形狀與該第二組充填形狀的每個形狀延伸至該橫樑形狀。
  6. 如請求項5所述之方法,進一步包含以下步驟:界定一切割形狀組,以移除由該橫樑形狀、該線端延伸組、該第一組充填形狀及該第二組充填形狀所形成的特徵。
  7. 如請求項1所述之方法,進一步包含以下步驟:執行一光學鄰近修正處理於該積體電路佈局上,以將一光學鄰近修正形狀加入至該積體電路佈局。
  8. 如請求項1所述之方法,其中該光學鄰近修正形狀包括一形狀,該形狀位於在該橫樑形狀與該線端延伸組的一線端延伸的一接合處。
  9. 如請求項1所述之方法,其中:該線端延伸組的一第一子群組從該第一組形狀延伸出,且具有與該第一組形狀的一寬度實質上相同的一寬度;以及該線端延伸組的一第二子群組從該第二組形狀延伸出,且具有與該第二組形狀的一寬度實質上相同的一寬度。
  10. 一種方法,包含以下步驟:接收用於製造一積體電路的一佈局,該佈局含有一第一組平行線與一第二組平行線,該第一組平行線用於形成一第一組芯軸,而該第二組平行線用於形成一第二組芯軸,該第一組芯軸用於蝕刻一基板的一多重圖案化製程,該第二組芯軸用於該多重圖案化製程;置入一橫樑於該佈局中,並位於該第一組平行線與該第二組平行線之間;置入一線端延伸組於該佈局中,該線端延伸組將該第一組平行線的複數個線與該第二組平行線的複數個線耦接至該橫樑;以及提供含有該第一組平行線、該第二組平行線、該橫樑及該線端延伸組的該佈局,用以執行該多重圖案化製程。
  11. 如請求項10所述之方法,其中該第一組平行線在間距、寬度或間隔之至少一者中不同於該第二組平行線。
  12. 如請求項10所述之方法,進一步包含以下步驟:將一第一組填充線插進該第一組平行線之線之間的該佈局中以及將一第二組填充線插進該第二組平行線之線之間的該佈局中。
  13. 如請求項12所述之方法,其中:該第一組填充線之每個在一間距、一間隔及一寬度之至少一者中與該第一組平行線大體上相同;以及該第二組填充線之每個在一間距、一間隔及一寬度之至少一者中與該第二組平行線大體上相同。
  14. 如請求項12所述之方法,進一步包含以下步驟:將一切割線組插進該佈局中以去除由該橫樑、該線端延伸組、該第一組填充線、及該第二組填充線形成之特徵,而不去除由該第一組平行線及該第二組平行線形成之特徵。
  15. 如請求項12所述之方法,進一步包含對該佈局執行一光學鄰近修正製程以將一光學鄰近修正線組 插進該佈局中,該光學鄰近修正線組包括在該橫樑及該線端延伸組之一線端延伸的一接合處的一線。
  16. 如請求項15所述之方法,其中該光學鄰近修正線組另外包括在該橫樑及該第一組填充線之一填充線的一接合處的另一線。
  17. 一種方法,包含以下步驟:接收用於製造一積體電路的一佈局,其中該佈局包括:一第一組平行形狀、一第二組平行形狀與一間隙,該間隙位於該第一組平行形狀與該第二組平行形狀之間;置入一第一組線端延伸於該間隙中,該第一組線端延伸耦接至該第一組平行形狀;置入一第二組線端延伸於該間隙中,該第二組線端延伸將該第二組平行形狀耦接至該第一組線端延伸;以及提供該佈局,用於該積體電路的製造。
  18. 如請求項17所述之方法,其中該第一組平行形狀的一間距、一寬度或一間隔的至少一者與該第二組平行形狀不相同。
  19. 如請求項17所述之方法,進一步包含以下步驟:將該第一組平行形狀中間之一第一組填充形狀及該第二組平行形狀中間之一第二組填充形狀插進該佈局中。
  20. 如請求項19所述之方法,其中:該第一組填充形狀延伸至該第二組填充形狀及該第二組線端延伸;以及該第二組填充形狀延伸至該第一組填充形狀及該第一組線端延伸。
TW106135771A 2017-08-29 2017-10-18 提供積體電路佈局的方法 TWI663521B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/689,472 US10803227B2 (en) 2017-08-29 2017-08-29 Integrated circuit layouts with line-end extensions
US15/689,472 2017-08-29

Publications (2)

Publication Number Publication Date
TW201913422A true TW201913422A (zh) 2019-04-01
TWI663521B TWI663521B (zh) 2019-06-21

Family

ID=65321216

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106135771A TWI663521B (zh) 2017-08-29 2017-10-18 提供積體電路佈局的方法

Country Status (5)

Country Link
US (3) US10803227B2 (zh)
KR (1) KR102049101B1 (zh)
CN (1) CN109427577B (zh)
DE (1) DE102017120849B4 (zh)
TW (1) TWI663521B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI777682B (zh) * 2020-11-03 2022-09-11 台灣積體電路製造股份有限公司 積體電路設計方法、積體電路設計系統、以及電腦程式產品

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10803227B2 (en) 2017-08-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with line-end extensions
US11188703B2 (en) * 2018-09-28 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system, and method of forming the same
US10963616B1 (en) * 2019-12-30 2021-03-30 Cadence Design Systems, Inc. Systems and methods of aligning sets of wires with minimum spacing rules
EP4147269A4 (en) * 2020-05-06 2024-06-05 Inpria Corporation MULTIPLE PATTERNING WITH ORGANOMETALLIC PHOTOSTRUCTURING LAYERS WITH INTERMEDIATE FREEZING STEPS
US20220216163A1 (en) * 2021-01-07 2022-07-07 Changxin Memory Technologies, Inc. Method for manufacturing semiconductor mark, and semiconductor mark
US20230299069A1 (en) * 2021-09-27 2023-09-21 Invention And Collaboration Laboratory Pte. Ltd. Standard cell structure

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4445521B2 (ja) 2007-06-15 2010-04-07 株式会社東芝 半導体装置
JP2012212697A (ja) * 2009-08-21 2012-11-01 Panasonic Corp 半導体装置
US8675397B2 (en) * 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US9673328B2 (en) * 2010-05-28 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for providing line end extensions for fin-type active regions
US9324866B2 (en) * 2012-01-23 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for transistor with line end extension
US8769446B2 (en) * 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8418111B2 (en) * 2010-11-24 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for achieving multiple patterning technology compliant design layout
DE102010064281B4 (de) 2010-12-28 2017-03-23 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellung einer Kanalhalbleiterlegierung durch Erzeugen eines Hartmaskenschichtstapels und Anwenden eines plasmaunterstützten Maskenstrukturierungsprozesses
DE102011004506B4 (de) 2011-02-22 2012-10-18 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellungsverfahren für ein Halbleiterbauelement und Halbleiterbauelement als Stegtransistor, der auf einem strukturierten STI-Gebiet durch eine späte Stegätzung hergestellt ist
US8621406B2 (en) 2011-04-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8881066B2 (en) 2011-12-29 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mandrel modification for achieving single fin fin-like field effect transistor (FinFET) device
US10573751B2 (en) * 2012-01-23 2020-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for providing line end extensions for fin-type active regions
US9252021B2 (en) * 2012-02-09 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a plurality of features for Fin-like field-effect transistor (FinFET) devices
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US8603893B1 (en) * 2012-05-17 2013-12-10 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits on bulk semiconductor substrates
US8723268B2 (en) * 2012-06-13 2014-05-13 Synopsys, Inc. N-channel and P-channel end-to-end finFET cell architecture with relaxed gate pitch
US8533651B1 (en) * 2012-07-18 2013-09-10 Globalfoundries Inc. Providing conversion of a planar design to a FinFET design
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9501601B2 (en) 2013-03-14 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization of a main pattern and a cut pattern
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9147028B2 (en) * 2013-05-24 2015-09-29 Globalfoundries Inc. Forming modified cell architecture for finFET technology and resulting device
US9379106B2 (en) * 2013-08-22 2016-06-28 Samsung Electronics Co., Ltd. Semiconductor devices having 3D channels, and methods of fabricating semiconductor devices having 3D channels
KR102025309B1 (ko) * 2013-08-22 2019-09-25 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8918746B1 (en) * 2013-09-04 2014-12-23 Globalfoundries Inc. Cut mask aware contact enclosure rule for grating and cut patterning solution
US9293358B2 (en) * 2014-01-23 2016-03-22 Silicon Storage Technology, Inc. Double patterning method of forming semiconductor active areas and isolation regions
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
CN105321820B (zh) 2014-07-08 2018-09-14 台湾积体电路制造股份有限公司 通过opc修改布局设计以降低拐角圆化效应
US9373641B2 (en) * 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
US9472653B2 (en) * 2014-11-26 2016-10-18 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
US9437481B2 (en) * 2014-12-05 2016-09-06 Globalfoundries Inc. Self-aligned double patterning process for two dimensional patterns
US9496399B2 (en) * 2015-04-02 2016-11-15 International Business Machines Corporation FinFET devices with multiple channel lengths
US9779960B2 (en) * 2015-06-01 2017-10-03 Globalfoundries Inc. Hybrid fin cutting processes for FinFET semiconductor devices
US9798852B2 (en) * 2015-06-29 2017-10-24 Globalfoundries Inc. Methods of design rule checking of circuit designs
US9946827B2 (en) * 2015-07-16 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for mandrel and spacer patterning
US9583600B1 (en) * 2015-10-08 2017-02-28 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9735052B2 (en) 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
KR102403736B1 (ko) * 2015-11-02 2022-05-30 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
US9935199B2 (en) 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
US10002222B2 (en) * 2016-07-14 2018-06-19 Arm Limited System and method for perforating redundant metal in self-aligned multiple patterning
US10192021B1 (en) * 2017-02-21 2019-01-29 Cadence Design Systems, Inc. Generating and inserting metal and metal etch shapes in a layout to correct design rule errors
US10417369B2 (en) * 2017-05-26 2019-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, corresponding mask and method for generating layout of same
US10324369B2 (en) * 2017-08-25 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for generating a mandrel mask
US10803227B2 (en) 2017-08-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with line-end extensions

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI777682B (zh) * 2020-11-03 2022-09-11 台灣積體電路製造股份有限公司 積體電路設計方法、積體電路設計系統、以及電腦程式產品

Also Published As

Publication number Publication date
TWI663521B (zh) 2019-06-21
KR102049101B1 (ko) 2019-11-27
CN109427577B (zh) 2020-12-08
DE102017120849B4 (de) 2023-10-12
CN109427577A (zh) 2019-03-05
US10943054B2 (en) 2021-03-09
US20200082055A1 (en) 2020-03-12
US10803227B2 (en) 2020-10-13
DE102017120849A1 (de) 2019-02-28
US20210192121A1 (en) 2021-06-24
KR20190024527A (ko) 2019-03-08
US20190065654A1 (en) 2019-02-28
US11507725B2 (en) 2022-11-22

Similar Documents

Publication Publication Date Title
TWI663521B (zh) 提供積體電路佈局的方法
KR101730709B1 (ko) 이중 패터닝을 사용한 자기-정렬 나노와이어 형성
TWI588620B (zh) 自我對準微影蝕刻製程
US9245766B2 (en) Memory circuit structure and semiconductor process for manufacturing the same
US20180225404A1 (en) Method and Structure for Mandrel and Spacer Patterning
KR20180045892A (ko) 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
KR101576335B1 (ko) 집적 회로 패터닝 방법
US20130264622A1 (en) Semiconductor circuit structure and process of making the same
KR20090029521A (ko) 반도체 소자의 미세 패턴 형성 방법
US9436787B2 (en) Method of fabricating an integrated circuit with optimized pattern density uniformity
CN104681410A (zh) 形成图案的机制
US10734284B2 (en) Method of self-aligned double patterning
KR20090047001A (ko) 스페이서를 이용한 반도체소자의 미세 패턴 형성방법
CN103066070B (zh) 采用三重图案化的集成电路方法
CN114203628A (zh) 半导体结构的制作方法
CN106158663A (zh) 形成finfet半导体装置的鳍片的方法及其半导体装置
TWI701713B (zh) 積體電路製造方法
US9122835B2 (en) Method for generating layout of photomask
US20090317979A1 (en) Method for patterning an active region in a semiconductor device using a space patterning process
TWI534947B (zh) 形成半導體主動區和隔離區域之雙圖案方法
CN107275194B (zh) 阶梯结构的制造方法
TWI621210B (zh) 一種製作半導體元件的方法
US12073166B2 (en) Method and structure for mandrel patterning
US9553026B1 (en) Semiconductor device and method for fabricating the same
CN115528022A (zh) 半导体结构及图案布局的制造方法