TW201807738A - 用以蝕刻高深寬比特徵部之多頻功率調變 - Google Patents

用以蝕刻高深寬比特徵部之多頻功率調變 Download PDF

Info

Publication number
TW201807738A
TW201807738A TW106117335A TW106117335A TW201807738A TW 201807738 A TW201807738 A TW 201807738A TW 106117335 A TW106117335 A TW 106117335A TW 106117335 A TW106117335 A TW 106117335A TW 201807738 A TW201807738 A TW 201807738A
Authority
TW
Taiwan
Prior art keywords
power
item
power modulation
modulation
substrate
Prior art date
Application number
TW106117335A
Other languages
English (en)
Other versions
TWI679674B (zh
Inventor
大竹浩人
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201807738A publication Critical patent/TW201807738A/zh
Application granted granted Critical
Publication of TWI679674B publication Critical patent/TWI679674B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

描述一種蝕刻基板的方法。該方法包含:在一電漿處理系統的一處理空間中設置一基板,該基板具有露出一第一材料及一第二材料的一表面;及執行一調變電漿蝕刻製程,以大於移除該第二材料的一速率選擇性地移除該第一材料。該調變電漿蝕刻製程包含一功率調變循環,該功率調變循環由以下步驟所組成:將一第一功率調變序列施加至該電漿處理系統,及將一第二功率調變序列施加至該電漿處理系統。該第二功率調變序列係不同於該第一功率調變序列。

Description

用以蝕刻高深寬比特徵部之多頻功率調變
相關申請案的交互參照:本專利申請案主張於西元2016年5月26日申請之美國臨時專利申請案第62/341,840號的優先權,該臨時專利申請案的發明名稱為“MULTI-FREQUENCY POWER MODULATION FOR ETCHING HIGH ASPECT RATIO FEATURES”,其全部內容於此藉由參照納入本案揭示內容。
本發明關於一種使用電漿相對於基板上之一材料選擇性蝕刻在該基板上之另一材料的方法。
在半導體元件的生產中,在成本及性能上保持競爭力的需求已導致積體電路之元件密度的持續增加。為了達成半導體積體電路中更高程度的整合及微型化,亦必須達成在半導體晶圓上形成之電路圖案的微型化。
電漿蝕刻係用以藉由將幾何形狀及圖案從微影遮罩轉移至半導體晶圓之底層而製造半導體積體電路的一種標準技術。在增加深寬比及較複雜材料的情況下,符合選擇性及輪廓控制需求之先進蝕刻製程的需要正變得日益關鍵。
本發明的實施例關於一種使用電漿相對於基板上之一材料選擇性蝕刻在該基板上之另一材料的方法。
根據一實施例,一種蝕刻基板的方法係加以描述。該方法包含:在一電漿處理系統的一處理空間中設置一基板,該基板具有露出一第一材料及一第二材料的一表面;及執行一調變電漿蝕刻製程,以大於移除該第二材料的一速率選擇性地移除該第一材料。該調變電漿蝕刻製程包含一功率調變循環,該功率調變循環由以下步驟所組成:將一第一功率調變序列施加至該電漿處理系統,及將一第二功率調變序列施加至該電漿處理系統。該第二功率調變序列係不同於該第一功率調變序列。
在下面敘述中,為了解釋而非限制之目的,具體細節係加以說明,諸如處理系統的特殊幾何結構、於其中使用的各種元件及製程的描述。然而,應理解本發明可在背離這些具體細節的其他實施例中加以實施。
類似地,為了解釋之目的,闡述具體的數量、材料及構造以對本發明徹底地瞭解。儘管如此,本發明可在沒有這些具體細節的情況下加以實施。此外,吾人應理解,圖式中所顯示的各種實施例係說明性的表示,且係不必然按比例繪製。
各種操作將以最有助於理解本發明的方式,以多個分立操作依次描述。然而,不應將所述之順序理解成暗示該等操作必定為順序相依。尤其,該等操作不需以敘述的順序執行。所述之操作可以不同於所述之實施例的順序加以執行。在額外的實施例中,可執行各種額外操作及/或可省略所述之操作。
如此處使用的「基板」泛指根據本發明加以處理的物件。基板可包含元件(尤其是半導體或其他電子元件)的任何材料部分或結構,及例如可為基底基板結構(諸如半導體晶圓)、或在基底基板結構上或覆蓋基底基板結構的一層(諸如薄膜)。基板可為習知的矽基板或包含半導電性材料層的其他主體基板。當於此處使用,術語「主體基板(bulk substrate)」不僅表示及包含矽晶圓,亦表示及包含矽絕緣體(SOI)基板(諸如矽藍寶石(SOS)基板及矽玻璃(SOG)基板)、在基底半導體基部上的矽磊晶層、及其他半導體或光電材料(諸如:矽鍺、鍺、砷化鎵、氮化鎵、及磷化銦)。基板可經摻雜或未摻雜。因此,基板係非意圖限於任何特定的基底結構、底層或覆蓋層、圖案化或未圖案化,而是,係設想以包含任何這樣的層或基底結構,和層及/或基底結構的任何組合。下面描述可參考特定類型的基板,但此係僅用於說明而非限制之目的。
在圖案蝕刻期間,乾電漿蝕刻製程可加以使用,其中電漿係藉由將電磁(EM)能量(諸如射頻(RF)功率)耦合至處理氣體而自處理氣體加以形成,以加熱電子及造成處理氣體之原子及/或分子成分之後續的離子化及解離。此外,電磁能量的耦合可用以控制入射曝露之基板表面的帶電物種之能量位準。藉由控制各種電漿特性,包含帶電物種的密度、帶電物種的通量、帶電物種的能量、化學通量等,用於電漿蝕刻製程之期望的最終結果可根據本文描述的實施例加以達成。尤其,提供達成目標蝕刻選擇性、輪廓控制、及基板充電控制的實施例。
如上所述,通常在半導體元件製造中使用的材料係使用調變的電漿蝕刻相對於彼此選擇性地加以移除。現參照圖示,其中類似的參考數字在數個視圖中指定相同或相對應的部件,圖1A、1B、2、及3根據一實施例說明一種用於在微電子工件上蝕刻材料的方法。該方法係在圖1A及1B中以圖示加以說明,且藉由圖2的流程圖200加以呈現。如圖2所呈現,流程圖200始於212:在電漿處理系統的處理空間內設置一基板110,該基板110具有露出第一材料(130)及第二材料(140)的表面。
如圖1A所示,基板110可包含覆蓋膜堆疊的圖案化層140,該膜堆疊包含待蝕刻或待圖案化的一或多個層120、130。圖案化層140可定義覆蓋一或多個額外的層之開放式特徵部圖案150。基板110更包含元件層。元件層可包含在基板上的任何薄膜或結構,圖案係被轉移至該薄膜或結構,或目標材料係將於該薄膜或結構中加以移除。
層130及140可為在電子元件(包含半導體元件、電機元件、光伏打元件等)的製造中使用的任何材料。然而,為了相對於一層(例如第二材料層140)選擇性蝕刻另一層(例如第一材料層130),該兩層的材料組成本質上係不同的,使得當曝露於蝕刻劑時每一層展現不同的耐蝕刻性。層130、140可為有機或無機材料。層130、140可為含矽材料、含鍺材料、含碳材料、或含金屬材料。舉例而言,含矽材料可包含非晶矽(a-Si)、多晶矽(poly-Si)、單晶矽、摻雜矽、矽氧化物(SiOx )、矽氮化物(SiNy )、矽碳化物(SiCz )、矽氮氧化物(SiOx Ny )、矽碳氧化物(SiOx Cz )、矽鍺合金(Six Ge1-x )等。含金屬材料可包含金屬、金屬合金、過渡金屬(例如:Ti、Ta、W、Ru、Co、Ni、Hf等)、過渡金屬氧化物(例如鈦氧化物(TiOx ))、過渡金屬氮化物(例如鈦氮化物(TiNy ))、碳化物、硫屬化物等。層130、140可包含:有機光阻、抗反射塗層、或平坦化層;或含矽光阻、抗反射塗層、或具有不同程度之矽含量的平坦化層。以上材料可使用氣相沉積技術或旋塗沉積技術加以沉積。
在圖1B及圖2的214中,覆蓋一或多個額外的層之開放式特徵部圖案150係藉由執行調變電漿蝕刻製程,以大於移除第二材料(140)的速率選擇性地移除第一材料(130),而延伸進層130。
現參照圖3,調變電漿蝕刻製程300係加以說明。在一實施例中,調變電漿蝕刻製程300包含遞送至基板支架或基座之射頻(RF)功率的調變,基板係配置在該基板支架或基座上。基板支架可將基板配置成面向RF供電電極,諸如:電容式耦合元件或電感式耦合元件(以下待述)。或者,基板支架可將基板配置成面向槽孔平板天線,其中在微波頻率下的功率係例如耦合至該槽孔平板天線。示例系統係在圖4至6中加以描繪。雖然遞送至基板支架或基座之RF功率的調變係加以描述,但其可替代地耦合至在電漿處理系統中的其他功率耦合元件。
如圖3所示,調變電漿蝕刻製程300包含功率調變循環310,該功率調變循環310係由施加至電漿處理系統的第一功率調變序列312及施加至電漿處理系統的第二功率調變序列314所構成,該第二功率調變序列314係不同於該第一功率調變序列312。
如為了完成調變電漿蝕刻製程300以滿足目標規格所需,功率調變循環310係重複至少再一個循環,其中每一調變循環包含一調變週期。功率調變循環310可包含週期性調變循環。如圖3所示,功率調變循環310可在功率調變頻率下加以重複(表示為調變週期311)一決定的調變時間週期(相當於一個以上調變週期),其中第一功率調變序列312包含在第一子功率調變頻率(或第一子功率調變週期)下重複第一子功率調變循環316。尤其,第一子功率調變循環316包含:在第一功率位準320下將射頻(RF)訊號施加至電漿處理系統,及在第二功率位準322下將RF訊號施加至電漿處理系統,其中,該第一及第二功率位準320、322在數值上彼此不同。
在一示例中,功率調變頻率係小於1 kHz,而第一子功率調變頻率係大於或等於1 kHz。在另一示例中,功率調變頻率係小於500 Hz,而第一子功率調變頻率係大於或等於500 Hz。在又另一示例中,功率調變頻率係小於100 Hz,而第一子功率調變頻率係大於或等於100 Hz。閾值頻率可依據製程加以變化。
如圖3所示,第一功率位準320超過第二功率位準322。而且,在一些實施例中,第二功率位準322功率關閉狀態。在其他實施例中,RF訊號係在中間功率位準下施加至電漿處理系統,其中,該中間功率位準位在該第一和第二功率位準320、322之間的值。
RF訊號係在第一RF功率位準下施加第一子持續時間324,而RF訊號係在第二RF功率位準下施加第二子持續時間326。舉例而言,第一子持續時間324(期間RF訊號係在第一功率位準320下加以施加)可為第一子功率調變循環316之時間週期的10%至90%。在另一示例中,第一子持續時間324的範圍可為第一子功率調變循環316之時間週期的40%至60%(例如50%的工作週期)。
如圖3所示,第二功率調變序列314可由功率關閉狀態所組成。或者,第二功率調變序列314由在固定功率位準下施加RF訊號之步驟所組成。
在替代的實施例(未顯示)中,第二功率調變序列314可包含在第二子功率調變頻率下重複第二子功率調變循環,其中該第二子功率調變循環包含:在第三功率位準下,將射頻(RF)訊號施加至電漿處理系統,及在第四功率位準下,將RF訊號施加至電漿處理系統,其中該第三及第四功率位準在數值上彼此不同。第二子功率調變頻率可大於或等於1 kHz。第三功率位準可超過第四功率位準,且第四功率位準可包含功率關閉狀態。
本發明人推測,第一功率調變序列312的相對高頻本質減少表面充電及改善被蝕刻之特徵部的垂直輪廓。而且,功率調變循環310的相對低頻本質增強蝕刻副產物的排出及減少特徵部阻塞。
在調變電漿蝕刻製程期間,調變循環的至少一特性可加以調整。該至少一特性可包含:功率振幅、調變頻率、調變工作循環、調變波形、或調變相位(相對於其他調變特性,諸如氣流、來源及/或偏壓功率等)。
在一實施例中,調變電漿蝕刻製程可包含製程參數空間,該製程參數空間包含:高達約1000毫托(例如高達約200毫托或高達約50至150毫托)之範圍的腔室壓力、高達約2000 sccm(每分鐘標準立方公分)(例如高達約1000 sccm或約1 sccm至約200 sccm)之範圍的含鹵素氣體流率、高達約2000 sccm(例如高達約1000 sccm或約1 sccm至約100 sccm)之範圍的聚合氣體流率、高達約2000 sccm(例如高達約1000 sccm)之範圍的選用性稀有氣體(例如He或Ar)流率、高達約2000至5000 W(瓦)(例如高達約1000 W或高達約600 W)之範圍的上電極/天線功率、及高達約1000至2000 W(例如高達約600 W或高達約100 W或高達50 W)之範圍的下電極功率。此外,上電極/天線頻率的範圍可從約0.1 MHz至約3 GHz。此外,下電極RF頻率的範圍可從約0.1 MHz至約100 MHz,例如約2 MHz。
上述用於蝕刻基板的一種以上方法可使用電漿處理系統(諸如在圖4至6中描述之系統的其中一者)加以執行。然而,所討論的方法係不限於示例呈現的範圍。根據上述各種實施例之蝕刻基板的方法,可在沒有於以下具體描述的其他電漿處理系統中加以執行。此外,在圖4至6中描述的各種元件可由未描述的其他元件加以使用、被未描述的其他元件取代、或由未描述的其他元件加以互補。雖然各種電磁頻率的一個以上RF或微波功率源係加以描述,但在基板W之上、之下、或圍繞基板W的多個來源係加以考慮。
圖4係根據本文實施例之微波電漿處理設備的示意橫剖面圖。微波電漿處理設備可配置成例如使用平坦的平板式槽孔天線藉由在微波頻率下之表面波電漿激發,以執行諸如電漿蝕刻、電漿加強化學氣相沉積(PECVD)、電漿加強原子層沉積(PEALD)等的電漿處理。電漿處理可在處理腔室401之內加以執行,該處理腔室401可為由諸如鋁或不鏽鋼之加工或鑄造之金屬所構成的圓柱真空腔室。處理腔室401係使用例如接地線402加以電接地。處理腔室401定義提供用於產生電漿之處理空間PS的處理容器。該處理容器的內壁可塗佈諸如氧化鋁、氧化釔、或其他保護劑的保護阻障。
在處理腔室401內的下部中心區域處,基座412(其可為圓盤狀)可作為安裝檯,例如待處理的基板W(諸如半導體晶圓)可在該安裝檯上加以安裝。基板W可通過裝載/卸載埠437及閘閥427移進處理腔室401。靜電卡盤436係在基座412的頂部表面上加以設置。夾持電極435係電連接至DC(直流)電源439。靜電卡盤436藉由靜電力將基板W向其吸附,該靜電力係當來自DC電源439的DC電壓施加至夾持電極435時加以產生,使得基板W係在基座412上牢固地加以安裝。
用於施加RF(射頻)偏壓的高頻電源429係經由阻抗匹配單元428(以匹配阻抗或最小化反射的功率)及功率饋送桿424電連接至基座412或偏壓電極。高頻電源429可輸出例如從0.2 MHz至20 MHz之範圍(例如13.56 MHz)的高頻電壓。施加高頻偏壓功率將由處理腔室401中之電漿產生的離子吸引至基板W。電源429可包含訊號產生器及放大器,該放大器用於根據上述調變循環調變來自電源429輸出的振幅及功率。聚焦環438係在靜電卡盤436的徑向外側加以設置以圍繞基板W。
冷卻劑流徑444可在基座412之內以例如周向方向加以延伸,且可配置成接收循環的冷卻劑以協助控制在靜電卡盤436上之基板W的處理溫度。此外,來自熱轉移氣體供應單元(未顯示)的熱轉移氣體可經由氣體供應管線445供應至在靜電卡盤436的頂部表面與基板W的背部表面之間的空間。
排氣路徑433可沿支撐單元414及/或導電支撐單元416的外緣、及處理腔室401的內壁加以形成,其中,環形擋板434係附接至排氣路徑433的頂部或入口、及排氣埠432(或多個排氣埠),該排氣埠432係在排氣路徑433的底部中加以設置。氣體排出單元430係經由氣體排出管線431(其可具有多個排氣管線)連接至每一排氣埠432。氣體排出單元430可包含諸如渦輪分子泵的真空泵,該真空泵係配置成將處理腔室401內的電漿處理空間減壓至期望的真空狀態。
現在將描述微波電漿處理設備的上部部分。介電窗457係加以配置,以密封處理腔室401的上部部分,在微波頻率下的電磁輻射可透過該介電窗457傳播至處理空間PS。在處理腔室401內之介電窗457正下方的空間作為用作處理空間PS的電漿產生空間。介電窗457可由微波可穿透的介電材料(諸如石英或陶瓷,包含氧化鋁)製成,且可具有例如約20 mm(毫米)的厚度、或足夠機械性地抵抗在處理腔室401之內部與氛圍環境之間之壓力差的厚度。介電窗457可設置槽孔板454,該槽孔板454可為附接至或設置於介電窗457之頂部表面上的導體。槽孔板454可具有配置成以旋轉對稱配置同心地分布輻射微波的複數槽孔對,雖然其他幾何配置可加以使用。在槽孔板454上,介電板456可縮短在槽孔板454內部傳播之微波的波長。槽孔板454係電磁耦合至微波傳輸線458。槽孔天線455(其可為例如平坦的平板式槽孔天線、或圓盤狀的徑向線型槽孔天線)可包含槽孔板454、介電板456、及設置成與槽孔板454為相反側的天線背板(未顯示)。
微波傳輸線458係配置成傳播或傳輸在微波頻率或其他頻率(例如2.45 GHz之微波)下之電磁波的線路,該等電磁波係在一預定功率位準下自微波產生器460輸出至槽孔天線455。微波傳輸線458可包含波導462、波導共軸線路轉換器464、及共軸線路466。舉例而言,波導462可為配置成將來自微波產生器460的微波傳輸至波導共軸線路轉換器464的矩形波導。共軸線路466自波導共軸線路轉換器464延伸至處理腔室401之頂部的中心部分,且共軸線路466的終端係經由介電板456耦接至槽孔天線455。外導體469和內導體468可定義波傳輸的空間。連接器單元479係連接至內導體468的下端。
此外,當電磁波透過介電板456徑向傳播時,波長縮短,且波模態轉變成朝處理腔室401的內部輻射之圓極化的平面波,其具有來自槽孔天線455之每一槽孔對的兩個正交極化分量。在介電窗457之表面附近的處理氣體係接著藉由沿介電窗457的表面以徑向方向傳播之表面波的電場(微波電場)加以離子化,且因此產生高密度且低電子溫度的電漿。
介電板456可包含冷卻套板442,該冷卻套板442可作為天線背板以覆蓋處理腔室401的頂部。冷卻套板442可配置成吸收介電質損失的熱(輻射),其係從介電窗457及介電板456加以產生。為了提供冷卻,冷卻劑可在流徑443中加以循環,且經由導管446及導管448加以饋送及移除。
微波電漿處理設備可包含用於引入處理氣體的兩條途徑。上氣體引入部481包含在介電窗457中設置的氣體流徑,而側氣體引入部487包含在處理腔室401之側壁中設置的氣體流徑,作為配置成將處理氣體引進處理腔室401的氣體引入機構。
在上氣體引入部481中,氣體流徑488係在共軸線路466的內導體468中加以設置,以在軸向上延伸穿過內導體468的內側。此外,來自處理氣體供應系統480的第一氣體供應管線484係連接至內導體468的上端及第一氣體供應管線484的氣體流徑488。連接器單元479可具有複數內部流徑,該等內部流徑係自共同入口鑽孔且在徑向上分支。連接器單元479可由導體製成,且可加以電接地。介電窗457可與連接至分支氣體供應路徑之終端的內流徑一起形成,諸如用於使處理氣體垂直穿過介電窗457而面向在處理腔室401之內的電漿產生空間。
在上氣體引入部481中,在一預定壓力下從處理氣體供應系統480加以流通的處理氣體(例如蝕刻氣體或薄膜形成氣體),流經第一氣體供應管線484、共軸線路466的氣體流徑488,且係在終端處自每一氣體噴射埠453加以射出。質流控制器(MFC)486及對應的閥可用於開啟/關閉及計量在第一氣體供應管線484中的處理氣體流。
側氣體引入部487係在比介電窗457之底部表面低的位置加以設置,且可包含緩衝腔室489(歧管)、側壁氣體噴射埠459、及從處理氣體供應系統480延伸至緩衝腔室489的第二氣體供應管線485。質流控制器483及對應的閥可用於開啟/關閉及計量在第二氣體供應管線485中的處理氣體流。來自側氣體引入部487的處理氣體可從各自的側壁氣體噴射埠459以實質水平流加以噴射,以在處理空間PS中加以擴散。
電漿處理設備的元件可連接至控制單元450且由控制單元450加以控制,該控制單元450又可連接至對應的儲存單元452及使用者介面451。控制單元450可包含微電腦,該微電腦係配置成控制在微波電漿處理設備內之複數元件之每一者的操作或整個設備的操作,該複數元件例如:氣體排出單元430、高頻電源429、靜電卡盤436的DC電源439、微波產生器460、上氣體引入部481、側氣體引入部487、處理氣體供應系統480、及熱轉移氣體供應單元(未顯示)。各種電漿處理操作可藉由使用者介面451加以執行,且各種電漿處理配方及操作可在儲存單元452中加以儲存。因此,一給定基板可在電漿處理腔室之內使用各種微製程技術加以處理。
圖5係根據本文實施例之電容式耦合電漿處理設備的示意橫剖面圖。此設備可用於多種操作,包含:灰化、蝕刻、沉積、清潔、電漿聚合、電漿加強化學氣相沉積(PECVD)等。電漿處理可在處理腔室501之內加以執行,該處理腔室501可為由金屬(諸如鋁或不鏽鋼)構成的真空腔室。處理腔室501係使用例如接地線502加以接地。處理腔室501定義提供用於產生電漿之處理空間PS的處理容器。該處理容器的內壁可塗佈氧化鋁、氧化釔、或其他保護劑。處理容器的形狀可為圓柱形,或具有其他幾何結構。
在處理腔室501內的下部中心區域處,基座512(其可為圓盤狀)可作為安裝檯,舉例來說,待處理的基板W(諸如半導體晶圓)可在該安裝檯上加以安裝。基板W可通過裝載/卸載埠537及閘閥527移進處理腔室501。基座512形成下電極520(下電極組件)的一部分,作為用於安裝基板W於其上之安裝檯之第二電極的示例。具體而言,基座512係在基座支座515上加以支撐,該基座支座515係經由絕緣板517在處理腔室501之底部的實質中心區域加以設置。基座支座515可為圓柱形。舉例而言,基座512可由鋁合金形成。
基座512可設有用於固持基板W的靜電卡盤536(作為下電極組件的一部分)。靜電卡盤536係設有夾持電極535。夾持電極535係電連接至DC(直流)電源539。靜電卡盤536藉由靜電力將基板W向其吸附,該靜電力係當來自DC電源539的DC電壓施加至夾持電極535時加以產生,使得基板W係在基座512上牢固地加以安裝。用於施加RF(射頻)偏壓的高頻電源529係經由阻抗匹配單元528(以匹配阻抗或最小化反射的功率)電連接至基座512或偏壓電極。高頻電源529(第二電源)可輸出例如從0.2 MHz至20 MHz之範圍的高頻電壓。施加高頻偏壓功率將由處理腔室501中之電漿產生的離子吸引至基板W。電源529可包含訊號產生器及放大器,該放大器用於根據上述調變循環調變來自電源529輸出的振幅及功率。聚焦環538係在靜電卡盤536的徑向外側加以設置以圍繞基板W。
內壁構件519(其可為圓柱形且由例如石英形成)可附接至靜電卡盤536及基座支座515的外周邊側。基座支座515包含冷卻劑流徑544(用於流動冷卻或加熱的流體)。冷卻劑流徑544與安裝在處理腔室501外側的冷卻器單元(未顯示)連通。冷卻劑流徑544係供應經由相應之管線循環的冷卻劑(冷卻或加熱的液體,諸如水或介電流體)。因此,安裝在基座512上/上方之基板W的溫度可準確地加以控制。穿過基座512與基座支座515的氣體供應管線545,係配置成將熱轉移氣體供應至靜電卡盤536的上表面。諸如氦(He)的熱轉移氣體(亦稱為背側氣體)可經由氣體供應管線545在基板W與靜電卡盤536之間加以供應,以協助加熱基板W。
排氣路徑533可沿內壁構件519的外緣及處理腔室501的內壁表面加以形成。排氣埠532(或多個排氣埠)係在排氣路徑533的底部中加以設置。氣體排出單元530係經由氣體排出管線531連接至每一排氣埠。氣體排出單元530可包含諸如渦輪分子泵的真空泵,該真空泵係配置成將處理腔室501內的電漿處理空間減壓至期望的真空狀態。氣體排出單元530排空處理腔室501的內側,從而將處理腔室501的內部壓力降壓至期望程度的真空。
上電極570(亦即上電極組件)係第一電極的示例,該第一電極係在下電極520的垂直上方加以設置,以面向下電極520(例如作為平行板電極)。電漿產生空間(或處理空間PS)係在下電極520與上電極570之間加以界定。上電極570可包含具有例如圓盤狀的內部上電極571及具有例如環狀的外部上電極572,該外部上電極572圍繞該內部上電極571的周緣。內部上電極571亦作用為處理氣體入口,用於將特定量的處理氣體注入進在下電極520上安裝之基板W上方的處理空間PS。上電極570從而形成噴淋頭。
更具體而言,內部上電極571包含具有氣體注入開口582的電極板575(其通常為圓形)。內部上電極571亦包含可拆卸地支撐電極板575之上側的電極支座578。電極支座578可形成為圓盤的形狀,該圓盤具有與電極板575實質相同的直徑(當電極板575的形狀係體現為圓形時)。在替代的實施例中,電極板575可為正方形、矩形、多邊形等。電極板575可由導體或半導體材料形成,諸如:Si、SiC、摻雜Si、鋁等。電極板575可與上電極570合為一體,或由電極支座578可拆卸地加以支撐,以便在表面腐蝕之後替換一給定板件。上電極570亦可包含冷卻板或冷卻機構(未顯示),以控制電極板575的溫度。
電極支座578可由例如鋁形成,且可包含緩衝腔室589。緩衝腔室589係用於擴散處理氣體,且可定義一圓盤狀空間。來自處理氣體供應系統580的處理氣體將氣體供應至上電極570。處理氣體供應系統580可配置成供應處理氣體,用於在基板W上執行諸如薄膜形成、蝕刻等的特定製程。處理氣體供應系統580係與氣體供應管線584加以連接,形成處理氣體供應路徑。氣體供應管線584係連接至內部上電極571的緩衝腔室589。處理氣體可接著從緩衝腔室589移至在緩衝腔室589之下表面的氣體注入開口582。引進緩衝腔室589之處理氣體的流率可使用例如質流控制器加以調整。此外,引進的處理氣體係從電極板575(噴淋頭電極)的氣體注入開口582均勻地排出至處理空間PS。內部上電極571因而部分用作為噴淋頭電極組件。
具有環形形狀的介電體576可插設在內部上電極571與外部上電極572之間。絕緣體506(其可為具有環形形狀且由例如氧化鋁形成的屏蔽構件)係以氣密方式插設在外部上電極572與處理腔室501的內周壁之間。
外部上電極572係經由功率饋送器565、上功率饋送桿561、及匹配單元566而與高頻電源560(第一高頻電源)加以電連接。高頻電源560可輸出具有40 MHz(百萬赫)或更高(例如60 MHz)之頻率的高頻電壓,或可輸出具有3-300 MHz之頻率的特高頻(VHF)電壓。此電源與偏壓電源相比可稱為主電源。功率饋送器565可形成為例如具有開放式下表面的實質圓柱狀。功率饋送器565可在其下端部連接至外部上電極572。功率饋送器565係在其上表面的中心部分與上功率饋送桿561的下端部加以電連接。上功率饋送桿561係在其上端部連接至匹配單元566的輸出側。匹配單元566係連接至高頻電源560,且可匹配負載阻抗及高頻電源560的內部阻抗。然而,應注意外部上電極572係選擇性的,且實施例可使用單一上電極加以運作。
功率饋送器565可在其外側上由接地導體567加以覆蓋,該接地導體567可為圓柱狀而具有側壁,側壁直徑係與處理腔室501的直徑實質相同。接地導體567在其下端部係連接至處理腔室501之側壁的上部部分。上功率饋送桿561穿過接地導體567之上表面的中心部分。絕緣構件564係在接地導體567與上功率饋送桿561之間的接觸部分加以插設。
電極支座578係在其上表面上與下功率饋送桿563加以電連接。下功率饋送桿563係經由連接器連接至上功率饋送桿561。上功率饋送桿561及下功率饋送桿563形成功率饋送桿,用於將來自高頻電源560的高頻電功率供應至上電極570。可變電容器562係在下功率饋送桿563中加以設置。藉由調整可變電容器562的電容,當高頻電功率係從高頻電源560加以施加時,在外部上電極572正下方形成之電場強度對在內部上電極571正下方形成之電場強度的相對比例可加以調整。上電極570的內部上電極571係與低通濾波器(LPF)591加以電連接。LPF 591阻隔或過濾來自高頻電源560的高頻率,且同時將來自高頻電源529的低頻率傳遞至接地。形成下電極520之一部分之系統的下部(基座512)係與高通濾波器(HPF)592加以電連接。HPF 592將來自高頻電源560的高頻傳遞至接地。
電漿處理設備的元件可連接至控制單元550且由控制單元550加以控制,該控制單元550又可連接至對應的儲存單元552及使用者介面551。各種電漿處理操作可藉由使用者介面551加以執行,且各種電漿處理配方及操作可在儲存單元552中加以儲存。因此,一給定基板可在電漿處理腔室之內使用各種微製程技術加以處理。在操作中,電漿處理設備使用上電極和下電極,以在處理空間PS中產生電漿。此產生的電漿可接著用於以各種類型的處理處理一目標基板(諸如基板W或待處理的任何材料),該各種類型的處理諸如電漿蝕刻、化學氣相沉積、玻璃材料的處理、及大面板(諸如薄膜太陽能電池、其他光伏打電池、及用於平板顯示器之有機/無機板件等)的處理。
在約3 MHz至300 MHz之範圍的高頻電功率係從高頻電源560施加至上電極570。高頻電場係在上電極570與基座512或下電極之間加以產生。遞送至處理空間PS的處理氣體可接著加以離子化及解離,以形成反應性電漿。在約0.2 MHz至20 MHz之範圍的低頻電功率係從高頻電源529施加至形成下電極的基座512。換言之,雙或三頻率系統可加以使用。因此,電漿中的離子係被吸引向基座512,具有足夠的能量以藉由離子輔助而非等向性地蝕刻特徵部。注意為方便起見,圖5顯示將功率供應至上電極570的高頻電源560。在替代的實施例中,高頻電源560可被供應至下電極520。因此,主功率(激發功率)及偏壓功率(離子加速功率)二者皆可被供應至下電極。
圖6係根據本文實施例之電感式耦合電漿處理設備的示意橫剖面圖。此設備可用於多種操作,包含:灰化、蝕刻、沉積、清潔、電漿聚合、電漿加強化學氣相沉積(PECVD)等。電漿處理可在處理腔室601之內加以執行,該處理腔室601可為由金屬(諸如鋁或不鏽鋼)構成的真空腔室。處理腔室601係使用例如接地線602加以接地。處理腔室601定義提供用於產生電漿之處理空間PS的處理容器。該處理容器的內壁可塗佈氧化鋁、氧化釔、或其他保護劑。處理容器的形狀可為圓柱形,或具有其他幾何結構。
在處理腔室601內的下部中心區域處,基座612(其可為圓盤狀)可作為安裝檯,舉例來說,待處理的基板W(諸如半導體晶圓)可在該安裝檯上加以安裝。基板W可通過裝載/卸載埠637及閘閥627移進處理腔室601。基座612形成下電極620(下電極組件)的一部分,作為用於安裝基板W於其上之安裝檯之第二電極的示例。具體而言,基座612係在基座支座625上加以支撐,該基座支座625係在處理腔室601之底部的實質中心區域加以設置。基座支座625可為圓柱形。舉例而言,基座612可由鋁合金形成。
基座612可設有用於固持基板W的靜電卡盤636(作為下電極組件的一部分)。靜電卡盤636係設有夾持電極635。夾持電極635係電連接至DC(直流)電源639。靜電卡盤636藉由靜電力將基板W向其吸附,該靜電力係當來自DC電源639的DC電壓施加至夾持電極635時加以產生,使得基板W係在基座612上牢固地加以安裝。
基座612可包含隔離框架613,且由可包含抬升機構的基座支座625加以支撐。基座612可在基板W的裝載及/或卸載期間藉由抬升機構垂直地加以移動。伸縮囊626可在隔離框架613與處理腔室601的底部之間加以設置,以圍繞支座625而成氣密包體。基座612可包含溫度感測器及溫度控制機構,包含可用以控制基板W之溫度的冷卻劑流徑(用以流動冷卻或加熱的流體)、加熱單元(諸如陶瓷加熱器等(皆未顯示))。冷卻劑流徑與安裝在處理腔室601外側的冷卻器單元(未顯示)連通。冷卻劑流徑係供應經由相應之管線循環的冷卻劑(冷卻或加熱的液體,諸如水或介電流體)。聚焦環(未顯示)可在基座612的上表面上加以設置,以圍繞靜電卡盤636及協助方向性的離子轟擊。
穿過基座612的氣體供應管線645係配置成將熱轉移氣體供應至靜電卡盤636的上表面。諸如氦(He)的熱轉移氣體(亦稱為背側氣體)可經由氣體供應管線645在基板W與靜電卡盤636之間加以供應,以協助加熱基板W。
包含真空泵等的氣體排出單元630可透過氣體排出管線631連接至處理腔室601的底部。氣體排出單元630可包含諸如渦輪分子泵的真空泵,該真空泵係配置成在一給定的電漿處理操作期間將處理腔室601內的電漿處理空間減壓至期望的真空狀態。
電漿處理設備可藉由窗口655隔成天線腔室603及處理腔室601。窗口655可為介電材料(諸如石英)或導電材料(諸如金屬)。對於窗口655係金屬的實施例而言,窗口655可例如藉由絕緣體606與處理腔室601電絕緣。在此示例中,窗口655形成處理腔室601的天花板。在一些實施例中,窗口655可分成多個部分,其中這些部分選用性地彼此絕緣。
支撐架605係在天線腔室603的側壁604與處理腔室601的側壁607之間加以設置,該支撐架605係朝處理設備的內部突出。支撐構件609用以支撐窗口655,且亦作為用於供應處理氣體的噴淋頭外罩。當支撐構件609作為噴淋頭外罩時,在與待處理之基板W的工作表面平行之方向上延伸的氣體通道683係在支撐構件609內部加以形成,且與用於將處理氣體注入進處理空間PS的氣體注入開口682連通。氣體供應管線684係配置成與氣體通道683連通。氣體供應管線684定義穿過處理腔室601之天花板的流徑,且係連接至包含處理氣體供應源、閥系統、及相應元件的處理氣體供應系統680。因此,在電漿處理期間,一給定的處理氣體可被注入進處理空間PS。
在天線腔室603中,高頻天線662(射頻)係在窗口655上方加以設置以面向窗口655,且該高頻天線662(射頻)可藉由由絕緣材料製成的間隔件667與窗口655隔開。高頻天線662可以螺旋狀加以形成,或以其他配置加以形成。
在電漿處理期間,為了產生感應電場之具有從幾MHz至數百MHz之範圍內之一頻率(例如13.56 MHz)的高頻功率,可自高頻電源660經由功率饋送構件661供應至高頻天線662。匹配單元666(阻抗匹配單元)可連接至高頻電源660。在此示例中的高頻天線662可具有連接至功率饋送構件661之相應的功率饋送部664和功率饋送部665、及取決於特定天線配置的額外功率饋送部。功率饋送部可以類似的直徑距離及角度間距加以配置。天線線路可從功率饋送部664和功率饋送部665向外延伸(或依據天線配置向內延伸)至天線線路的端部。天線線路的端部可連接至電容器668,且天線線路係經由電容器668接地。電容器668可包含一個以上可變電容器。
在一給定基板安裝於處理腔室601內的情況下,一個以上電漿處理操作可加以執行。藉由施加高頻功率至高頻天線662,感應電場係在處理腔室601中加以產生,且自氣體注入開口682供應的處理氣體係加以激發,以在由感應電場加熱之電子的存在下形成電漿。該電漿可接著用以處理一給定基板,諸如執行用於蝕刻、灰化、沉積等的製程。
用以施加RF(射頻)偏壓的高頻電源629係經由阻抗匹配單元628(以匹配阻抗或最小化反射的功率)電連接至基座612,或偏壓電極。高頻電源629(第二電源)可輸出例如從0.2 MHz至20 MHz之範圍(例如3.2 MHz)的高頻電壓。施加高頻偏壓功率將由處理腔室601中之電漿產生的離子吸引至基板W。電源629可包含訊號產生器及放大器,該放大器用於根據上述調變循環調變來自電源629輸出的振幅及功率。
電漿處理設備的元件可連接至控制單元650且由控制單元650加以控制,該控制單元650又可連接至對應的儲存單元652及使用者介面651。各種電漿處理操作可藉由使用者介面651加以執行,且各種電漿處理配方及操作可在儲存單元652中加以儲存。因此,一給定基板可在電漿處理腔室之內使用各種微製程技術加以處理。
雖然以上僅詳述本發明的某些實施例,但精於本項技術之人士將容易理解在本質上不脫離本發明之新穎教示及優點的情況下,在實施例中許多修改係可能的。因此,所有此等修改係意圖被包含在本發明的範圍之內。
110‧‧‧基板
120‧‧‧層
130‧‧‧層(第一材料)
140‧‧‧層(第二材料)
150‧‧‧開放式特徵部圖案
200‧‧‧流程圖
300‧‧‧調變電漿蝕刻製程
310‧‧‧功率調變循環
311‧‧‧調變週期
312‧‧‧第一功率調變序列
314‧‧‧第二功率調變序列
316‧‧‧第一子功率調變循環
320‧‧‧第一功率位準
322‧‧‧第二功率位準
324‧‧‧第一子持續時間
326‧‧‧第二子持續時間
401‧‧‧處理腔室
402‧‧‧接地線
412‧‧‧基座
414‧‧‧支撐單元
416‧‧‧導電支撐單元
424‧‧‧功率饋送桿
427‧‧‧閘閥
428‧‧‧阻抗匹配單元
429‧‧‧電源
430‧‧‧氣體排出單元
431‧‧‧氣體排出管線
432‧‧‧排氣埠
433‧‧‧排氣路徑
434‧‧‧環形擋板
435‧‧‧夾持電極
436‧‧‧靜電卡盤
437‧‧‧裝載/卸載埠
438‧‧‧聚焦環
439‧‧‧DC(直流)電源
442‧‧‧冷卻套板
443‧‧‧流徑
444‧‧‧冷卻劑流徑
445‧‧‧氣體供應管線
446‧‧‧導管
448‧‧‧導管
450‧‧‧控制單元
451‧‧‧使用者介面
452‧‧‧儲存單元
453‧‧‧氣體噴射埠
454‧‧‧槽孔板
455‧‧‧槽孔天線
456‧‧‧介電板
457‧‧‧介電窗
458‧‧‧微波傳輸線
459‧‧‧側壁氣體噴射埠
460‧‧‧微波產生器
462‧‧‧波導
464‧‧‧波導共軸線路轉換器
466‧‧‧共軸線路
468‧‧‧內導體
469‧‧‧外導體
479‧‧‧連接器單元
480‧‧‧處理氣體供應系統
481‧‧‧上氣體引入部
483‧‧‧質流控制器
484‧‧‧第一氣體供應管線
485‧‧‧第二氣體供應管線
486‧‧‧質流控制器(MFC)
487‧‧‧側氣體引入部
488‧‧‧氣體流徑
489‧‧‧緩衝腔室
501‧‧‧處理腔室
502‧‧‧接地線
506‧‧‧絕緣體
512‧‧‧基座
515‧‧‧基座支座
517‧‧‧絕緣板
519‧‧‧內壁構件
520‧‧‧下電極
527‧‧‧閘閥
528‧‧‧阻抗匹配單元
529‧‧‧電源
530‧‧‧氣體排出單元
531‧‧‧氣體排出管線
532‧‧‧排氣埠
533‧‧‧排氣路徑
535‧‧‧夾持電極
536‧‧‧靜電卡盤
537‧‧‧裝載/卸載埠
538‧‧‧聚焦環
539‧‧‧DC(直流)電源
544‧‧‧冷卻劑流徑
545‧‧‧氣體供應管線
550‧‧‧控制單元
551‧‧‧使用者介面
552‧‧‧儲存單元
560‧‧‧高頻電源
561‧‧‧上功率饋送桿
562‧‧‧可變電容器
563‧‧‧下功率饋送桿
564‧‧‧絕緣構件
565‧‧‧功率饋送器
566‧‧‧匹配單元
567‧‧‧接地導體
570‧‧‧上電極
571‧‧‧內部上電極
572‧‧‧外部上電極
575‧‧‧電極板
576‧‧‧介電體
578‧‧‧電極支座
580‧‧‧處理氣體供應系統
582‧‧‧氣體注入開口
584‧‧‧氣體供應管線
589‧‧‧緩衝腔室
591‧‧‧低通濾波器(LPF)
592‧‧‧高通濾波器(HPF)
601‧‧‧處理腔室
602‧‧‧接地線
603‧‧‧天線腔室
604‧‧‧側壁
605‧‧‧支撐架
606‧‧‧絕緣體
607‧‧‧側壁
609‧‧‧支撐構件
612‧‧‧基座
613‧‧‧隔離框架
620‧‧‧下電極
625‧‧‧支座
626‧‧‧伸縮囊
627‧‧‧閘閥
628‧‧‧阻抗匹配單元
629‧‧‧電源
630‧‧‧氣體排出單元
631‧‧‧氣體排出管線
635‧‧‧夾持電極
636‧‧‧靜電卡盤
637‧‧‧裝載/卸載埠
639‧‧‧DC(直流)電源
645‧‧‧氣體供應管線
650‧‧‧控制單元
651‧‧‧使用者介面
652‧‧‧儲存單元
655‧‧‧窗口
660‧‧‧高頻電源
661‧‧‧功率饋送構件
662‧‧‧高頻天線
664‧‧‧功率饋送部
665‧‧‧功率饋送部
666‧‧‧匹配單元
667‧‧‧間隔件
668‧‧‧電容器
680‧‧‧處理氣體供應系統
682‧‧‧氣體注入開口
683‧‧‧氣體通道
684‧‧‧氣體供應管線
在隨附圖式中:
圖1A及1B說明基板上之一圖案化序列的示意圖;
圖2根據一實施例提供說明一種蝕刻基板之方法的流程圖;
圖3根據一實施例描繪多頻功率調變循環;
圖4根據一實施例顯示電漿處理系統之示意圖;
圖5根據另一實施例顯示電漿處理系統之示意圖;及
圖6根據又另一實施例顯示電漿處理系統之示意圖。
300‧‧‧調變電漿蝕刻製程
310‧‧‧功率調變循環
311‧‧‧調變週期
312‧‧‧第一功率調變序列
314‧‧‧第二功率調變序列
316‧‧‧第一子功率調變循環
320‧‧‧第一功率位準
322‧‧‧第二功率位準
324‧‧‧第一子持續時間
326‧‧‧第二子持續時間

Claims (20)

  1. 一種蝕刻的方法,包含: 在一電漿處理系統的一處理空間中設置一基板,該基板具有露出一第一材料及一第二材料的一表面; 執行一調變電漿蝕刻製程,以大於移除該第二材料的一速率選擇性地移除該第一材料,該調變電漿蝕刻製程包含一功率調變循環,該功率調變循環包含: 將一第一功率調變序列施加至該電漿處理系統,及 將一第二功率調變序列施加至該電漿處理系統,該第二功率調變序列係不同於該第一功率調變序列;及 在一功率調變頻率下重複該功率調變循環一決定的調變時間週期, 其中,該第一功率調變序列包含在一第一子功率調變頻率下重複一第一子功率調變循環,該第一子功率調變循環包含: 在一第一功率位準下將一射頻(RF)訊號施加至該電漿處理系統,及 於一第二功率位準下將該RF訊號施加至該電漿處理系統,其中,該第一和第二功率位準在數值上彼此不同。
  2. 如申請專利範圍第1項之蝕刻的方法,其中,該功率調變頻率係小於1 kHz。
  3. 如申請專利範圍第2項之蝕刻的方法,其中,該第一子功率調變頻率係大於或等於1 kHz。
  4. 如申請專利範圍第1項之蝕刻的方法,其中,該第一功率位準超過該第二功率位準。
  5. 如申請專利範圍第4項之蝕刻的方法,其中,該第二功率位準係一功率關閉狀態。
  6. 如申請專利範圍第1項之蝕刻的方法,其中,該第一子功率調變循環進一步包含: 在一中間功率位準下將該RF訊號施加至該電漿處理系統,其中,該中間功率位準位在該第一和第二功率位準之間的一值。
  7. 如申請專利範圍第6項之蝕刻的方法,其中,該第一功率位準超過該第二功率位準。
  8. 如申請專利範圍第7項之蝕刻的方法,其中,該第二功率位準係一功率關閉狀態。
  9. 如申請專利範圍第1項之蝕刻的方法,其中在該第一功率位準下,施加該RF訊號之步驟佔該第一子功率調變循環之時間週期的10%至90%。
  10. 如申請專利範圍第1項之蝕刻的方法,其中,該第二功率調變序列由一功率關閉狀態所組成。
  11. 如申請專利範圍第1項之蝕刻的方法,其中,該第二功率調變序列由在一固定功率位準下施加該RF訊號之步驟所組成。
  12. 如申請專利範圍第1項之蝕刻的方法,其中,該第二功率調變序列包含在一第二子功率調變頻率下重複一第二子功率調變循環,該第二子功率調變循環包含: 在一第三功率位準下,將該射頻(RF)訊號施加至該電漿處理系統,及 在一第四功率位準下,將該RF訊號施加至該電漿處理系統,其中該第三及第四功率位準在數值上彼此不同。
  13. 如申請專利範圍第12項之蝕刻的方法,其中,該第二子功率調變頻率係大於或等於1 kHz。
  14. 如申請專利範圍第12項之蝕刻的方法,其中,該第三功率位準超過該第四功率位準。
  15. 如申請專利範圍第14項之蝕刻的方法,其中,該第四功率位準係一功率關閉狀態。
  16. 如申請專利範圍第1項之蝕刻的方法,其中,執行該功率調變循環的步驟包含: 產生一訊號波形,以執行該第一及第二功率調變序列;及 根據所產生的訊號波形放大該RF訊號。
  17. 如申請專利範圍第1項之蝕刻的方法,其中,該RF訊號係施加至一基板支架,該基板係配置在該基板支架上。
  18. 如申請專利範圍第17項之蝕刻的方法,其中,該基板支架將該基板配置成面向一RF供電電極。
  19. 如申請專利範圍第17項之蝕刻的方法,其中,該基板支架將該基板配置成面向一槽孔平板天線。
  20. 如申請專利範圍第19項之蝕刻的方法,其中,在一微波頻率下的功率係耦合至該槽孔平板天線。
TW106117335A 2016-05-26 2017-05-25 蝕刻基板的方法 TWI679674B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662341840P 2016-05-26 2016-05-26
US62/341,840 2016-05-26

Publications (2)

Publication Number Publication Date
TW201807738A true TW201807738A (zh) 2018-03-01
TWI679674B TWI679674B (zh) 2019-12-11

Family

ID=60418971

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106117335A TWI679674B (zh) 2016-05-26 2017-05-25 蝕刻基板的方法

Country Status (4)

Country Link
US (1) US10340123B2 (zh)
JP (1) JP6484665B2 (zh)
KR (1) KR102060223B1 (zh)
TW (1) TWI679674B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI747137B (zh) * 2019-02-02 2021-11-21 大陸商中微半導體設備(上海)股份有限公司 用於超深寬比蝕刻的電漿反應器及其蝕刻方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI651753B (zh) * 2016-01-20 2019-02-21 日商東京威力科創股份有限公司 用以蝕刻高深寬比特徵部之功率調變的方法
TWI738920B (zh) * 2016-11-14 2021-09-11 日商東京威力科創股份有限公司 半導體製造方法及相關裝置與電漿處理系統
TWI793218B (zh) * 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7186032B2 (ja) * 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11388809B2 (en) * 2019-03-25 2022-07-12 Recarbon, Inc. Systems for controlling plasma reactors
US11054891B2 (en) * 2019-05-09 2021-07-06 Google Llc Resonance aware performance management
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11328902B1 (en) 2021-06-09 2022-05-10 XP Power Limited Radio frequency generator providing complex RF pulse pattern
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR890004881B1 (ko) * 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리 방법 및 그 장치
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置
GB2246474A (en) 1990-07-24 1992-01-29 British Aerospace A layered frequency selective surface assembly
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
JPH10150025A (ja) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp プラズマ反応装置
KR100521120B1 (ko) * 1998-02-13 2005-10-12 가부시끼가이샤 히다치 세이사꾸쇼 반도체소자의 표면처리방법 및 장치
JP3186689B2 (ja) 1998-03-27 2001-07-11 株式会社日立製作所 プラズマ処理方法およびその装置
US6126778A (en) * 1998-07-22 2000-10-03 Micron Technology, Inc. Beat frequency modulation for plasma generation
KR100292412B1 (ko) 1999-07-14 2001-06-01 윤종용 폴리실리콘막에 대한 금속 실리사이드막의 식각선택비를 증가시키는 방법 및 이를 이용한 폴리실리콘막과 금속 실리사이드막의 적층막 식각방법
DE60041341D1 (de) 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6875700B2 (en) * 2000-08-29 2005-04-05 Board Of Regents, The University Of Texas System Ion-Ion plasma processing with bias modulation synchronized to time-modulated discharges
US20060278524A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for modulating power signals to control sputtering
JP5192209B2 (ja) 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US8021723B2 (en) 2007-11-27 2011-09-20 Asm Japan K.K. Method of plasma treatment using amplitude-modulated RF power
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2010238881A (ja) * 2009-03-31 2010-10-21 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP5461148B2 (ja) * 2009-11-05 2014-04-02 株式会社日立ハイテクノロジーズ プラズマエッチング方法及び装置
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
CN103098559B (zh) 2010-09-15 2015-03-25 三菱电机株式会社 高频电力供给装置、等离子体处理装置以及薄膜制造方法
US8969210B2 (en) 2010-09-15 2015-03-03 Tokyo Electron Limited Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method
TWI581304B (zh) * 2011-07-27 2017-05-01 日立全球先端科技股份有限公司 Plasma etching apparatus and dry etching method
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8808562B2 (en) * 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
JP5977509B2 (ja) * 2011-12-09 2016-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US8664125B2 (en) * 2011-12-23 2014-03-04 Tokyo Electron Limited Highly selective spacer etch process with reduced sidewall spacer slimming
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
JP2014007370A (ja) * 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
JP5822795B2 (ja) * 2012-07-17 2015-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2014036148A (ja) * 2012-08-09 2014-02-24 Tokyo Electron Ltd 多層膜をエッチングする方法、及びプラズマ処理装置
JP6002556B2 (ja) * 2012-11-27 2016-10-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP2015037091A (ja) * 2013-08-12 2015-02-23 東京エレクトロン株式会社 エッチング方法
JP6086862B2 (ja) * 2013-08-30 2017-03-01 東京エレクトロン株式会社 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
JP6374647B2 (ja) * 2013-11-05 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置
JP6424024B2 (ja) * 2014-06-24 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
JP6512962B2 (ja) 2014-09-17 2019-05-15 東京エレクトロン株式会社 プラズマ処理装置
US10115567B2 (en) * 2014-09-17 2018-10-30 Tokyo Electron Limited Plasma processing apparatus
US20170330764A1 (en) * 2016-05-12 2017-11-16 Lam Research Corporation Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI747137B (zh) * 2019-02-02 2021-11-21 大陸商中微半導體設備(上海)股份有限公司 用於超深寬比蝕刻的電漿反應器及其蝕刻方法

Also Published As

Publication number Publication date
JP6484665B2 (ja) 2019-03-13
TWI679674B (zh) 2019-12-11
KR102060223B1 (ko) 2019-12-27
US10340123B2 (en) 2019-07-02
US20170345619A1 (en) 2017-11-30
JP2017212447A (ja) 2017-11-30
KR20170134268A (ko) 2017-12-06

Similar Documents

Publication Publication Date Title
TWI679674B (zh) 蝕刻基板的方法
TWI651753B (zh) 用以蝕刻高深寬比特徵部之功率調變的方法
CN110462798B (zh) 在感应耦合等离子体处理室内以低偏压产生近衬底补充等离子体密度
JP5554705B2 (ja) 基材処理のための方法および装置
KR101676875B1 (ko) 플라즈마 처리 장치
US8808562B2 (en) Dry metal etching method
US7416677B2 (en) Exhaust assembly for plasma processing system and method
US20100101727A1 (en) Capacitively coupled remote plasma source with large operating pressure range
TW201642311A (zh) 用以增加自對準圖案化整合架構中之圖案密度的方法
KR102460795B1 (ko) 낮은 종횡비 적층물의 패터닝을 위한 방법 및 시스템
KR20070098499A (ko) 플라즈마 처리용의 전극판 및 플라즈마 처리 장치
TWI787239B (zh) 有機材料的蝕刻方法及設備
WO2000031787A1 (fr) Dispositif de gravure a sec et procede de gravure a sec
WO2000016385A1 (fr) Reacteur au plasma
JP3907444B2 (ja) プラズマ処理装置及び構造体の製造方法
US11955319B2 (en) Processing chamber with multiple plasma units
JP2001073150A (ja) マイクロ波供給器及びプラズマ処理装置並びに処理方法
JP3364131B2 (ja) プラズマ処理装置
JPH1197198A (ja) プラズマ処理装置及びその方法
JP2004273974A (ja) プラズマ処理装置
JPH11241189A (ja) 誘導結合放電エッチング装置