TW201729399A - 半導體結構 - Google Patents

半導體結構 Download PDF

Info

Publication number
TW201729399A
TW201729399A TW105136004A TW105136004A TW201729399A TW 201729399 A TW201729399 A TW 201729399A TW 105136004 A TW105136004 A TW 105136004A TW 105136004 A TW105136004 A TW 105136004A TW 201729399 A TW201729399 A TW 201729399A
Authority
TW
Taiwan
Prior art keywords
semiconductor
feature
layer
fin
features
Prior art date
Application number
TW105136004A
Other languages
English (en)
Other versions
TWI703707B (zh
Inventor
江國誠
蔡慶威
吳忠政
王志豪
謝文興
梁英強
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201729399A publication Critical patent/TW201729399A/zh
Application granted granted Critical
Publication of TWI703707B publication Critical patent/TWI703707B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0635Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with bipolar transistors and diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本揭示案提供一種半導體結構。半導體結構包括具有第一區域及第二區域之基板;形成於基板上之第一區域內的第一鰭特徵;及形成於基板上之第二區域內之第二鰭特徵。第一鰭特徵包括第一半導體材料之第一半導體特徵形成於介電特徵上,此介電特徵是第二半導體材料之氧化物。第二鰭特徵包括第一半導體材料之第二半導體特徵形成於第二半導體材料之第三半導體特徵上。

Description

半導體結構
本發明實施例是有關於一種半導體結構。
半導體積體電路(integrated circuit;IC)工業已經歷指數性成長。IC材料及設計之技術進步已生產數代IC,其中每一代都具有比上一代更小且更複雜的電路。在IC發展過程中,功能密度(亦即每一晶片面積中之互連裝置數目)已普遍增大,而幾何尺寸(亦即可藉由使用製造製程產生之最小元件(或線路))已縮小。此按比例縮小流程一般藉由提高生產效率及降低相關成本而提供益處。為了實現此等發展,此種按比例縮小亦已增加處理及製造IC之複雜度。IC處理及製造亦需要類似發展。例如,已引入諸如鰭式場效電晶體(fin-like field-effect transistor;FinFET)之三維電晶體以替換平面電晶體。FinFET可被視為自基板中擠出而進入閘極內的典型平面裝置。典型的FinFET利用自基板向上延伸的薄「鰭(fin)」(或鰭結構)製造而成。FET通道形成於此垂直鰭中,且提供一閘極於鰭之通道區域上方(例如捲繞)。在鰭周圍捲繞閘極提高了通道區域與閘極之間的接觸面積,且允許閘極控制來自多側之 通道。此舉可以數個方式利用,且在一些應用中,FinFET提供降低的短通道效應、減少的漏損,及更高的電流。換言之,上述者可比平面裝置更快、更小,及更高效。
然而,由於FinFET及其他非平面裝置內固有的複雜性,用於製造平面電晶體之數個技術並非非常適合用於製造非平面裝置。僅舉一個實例,用於形成FinFET之習用技術可能產生不良的磊晶生長降解,以及在其他裝置區域中誘發磊晶生長出相關缺陷及空隙,此等裝置諸如雙極接面電晶體(bipolar junction transistor;BJT)、二極體,或諸如拾取器特徵之被動裝置。因此,儘管現有製造技術已普遍適用於平面裝置,但為了便於繼續滿足日益提高的設計需求,需要進一步發展。
本揭示案提供依據一些實施例之一半導體結構。半導體結構包括具有第一區域及第二區域之基板;形成於基板上之第一區域內的第一鰭特徵;及形成於基板上第二區域內之第二鰭特徵。第一鰭特徵包括第一半導體材料之第一半導體特徵形成於介電特徵上,此介電特徵是第二半導體材料之氧化物。第二鰭特徵包括第一半導體材料之第二半導體特徵,此特徵形成於第二半導體材料之第三半導體特徵上。
100‧‧‧工件
102‧‧‧基板
102A‧‧‧第一區域
102B‧‧‧第二區域
104A‧‧‧第一鰭特徵
104B‧‧‧第二鰭特徵
106‧‧‧鰭式場效電晶體
108‧‧‧非核心裝置
110‧‧‧源極/汲極區域
112‧‧‧通道區域
114‧‧‧閘極堆疊
116‧‧‧淺溝槽隔離特徵
118‧‧‧埋置式隔離特徵
120‧‧‧半導體特徵
122‧‧‧平面
124‧‧‧平面
200‧‧‧方法
202‧‧‧步驟
204‧‧‧操作
206‧‧‧操作
208‧‧‧操作
210‧‧‧操作
212‧‧‧操作
214‧‧‧操作
216‧‧‧操作
218‧‧‧操作
220‧‧‧操作
222‧‧‧操作
224‧‧‧操作
226‧‧‧操作
252‧‧‧離子植入製程
302‧‧‧第一半導體層
304‧‧‧第二半導體層
306‧‧‧硬遮罩
308‧‧‧硬遮罩
312‧‧‧半導體氧化物層
314‧‧‧充填介電材料
316‧‧‧虛擬閘極
318‧‧‧閘極硬遮罩層
320‧‧‧閘極間隙壁
322‧‧‧間隙壁
326‧‧‧矽化物特徵
328‧‧‧ILD
342‧‧‧密封層
346‧‧‧源極及汲極溝槽
348‧‧‧介電材料層
350‧‧‧APT特徵
400‧‧‧方法
402‧‧‧操作
500‧‧‧方法
502‧‧‧操作
504‧‧‧操作
506‧‧‧操作
T1‧‧‧第一厚度
T2‧‧‧第二厚度
本揭示案之態樣最佳在閱讀附圖時根據下文之詳細說明來進行理解。應注意,依據行業中之標準實踐,多個特徵並未按比例繪製。實際上,多個特徵之尺寸可以任意增大或縮小,以便使論述明晰。
第1圖是依據一些實施例建構之一半導體結構之透視圖。
第2圖是依據一些實施例之一積體電路製造方法之流程圖。
第3圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11圖、第12圖、第13圖、第14圖、第15圖、第16圖、第17圖及第18圖是依據一些實施例建構的一半導體結構在多個製造階段中之透視圖。
第18A圖是依據一些實施例建構之第18圖之半導體結構的剖視圖。
第19圖是依據一些實施例之一積體電路製造方法之流程圖。
第20圖、第21圖、第22圖、第23圖、第24圖、第25圖、第26圖、第27圖、第28圖、第29圖、第30圖、第31圖、第32圖、第33圖、第34圖、及第35圖是依據一些實施例建構之一半導體結構在多個製造階段中之透視圖。
第35A圖是依據一些實施例建構之第35圖中之半導體結構的剖視圖。
第36圖是依據一些實施例之一積體電路製造方法之流程圖。
第37圖、第38圖、第39圖、第40圖、第41圖、第42圖、第43圖、第44圖、第45圖、第46圖、第47圖、第48圖、第49圖、第50圖、第51圖、第52圖、第53圖、第54圖及第55圖是依據一些實施例建構的一半導體結構在多個製造階段中之透視圖。
第55A圖是依據一些實施例建構之第55圖中之半導體結構的剖視圖。
本揭示案一般係關於IC裝置製造,及更特定而言,係關於絕緣形成於基板上的鰭式場效電晶體與基板之間的絕緣層;及係關於調控由絕緣層產生之通道應變,以適用於鰭式場效電晶體之通道類型。
以下揭示內容提供眾多不同的實施例或實例以用於實施本揭示之不同特徵。下文中描述組件及排列之特定實例以簡化本揭示案。此等組件及排列當然僅為實例,而不意欲進行限制。例如,在下文之描述中,第一特徵在第二特徵上方或之上的形成可包括其中第一特徵與第二特徵以直接接觸方式形成的實施例,及亦可包括其中在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵並非直接接觸之實施例。此外,本揭示案可在多個實例中重複元件符號及/或字母。此重複用於實現簡化與明晰之目的,及其自身並不規定所論述之多個實施例及/或配置之間的關係。
此外,本案中可使用諸如「下方(beneath)」、「以下(below)」、「下部(lower)」、「上方(above)」、「上部(upper)」等等之空間相對術語在以便於描述,以描述一個元件或特徵與另一或多個元件或特徵之關係,如圖式中所圖示。除圖式中繪示之定向以外,空間相對術語意欲包含在使用或操作中之裝置的不同定向。例如,如若圖式中之裝置翻轉,則被描述為位於其他元件或特徵「以下」或「下方」之元件將定向在其他元件或特徵「上方」。由此,示例性術語「以下」可包含以上及以下之定向兩者。設備可以其他方式定向(旋轉90度或處於其他定向),且本案中使用之空間相對描述詞同樣可相應地進行解釋。
本揭示案係針對但不以其他方式限定於鰭式場效電晶體(fin-like field-effect transistor;FinFET)裝置。FinFET裝置例如可為互補金氧半導體(complementary metal-oxide-semiconductor;CMOS)裝置,此裝置包括P型金氧半導體(P-type metal-oxide-semiconductor;PMOS)FinFET裝置及N型金氧半導體(N-type metal-oxide-semiconductor;NMOS)FinFET裝置。以下揭示案將繼續介紹FinFET實例以說明本發明之多個實施例。然而,應理解的是,除非本案特別主張,否則本申請案將不限定於特定類型之裝置。
第1圖是根據本揭示案之多個態樣之工件100的一部分的透視圖。為明晰及更佳地圖示本揭示案概念起 見,已簡化第1圖。額外的特徵可併入工件100,以及下述一些特徵可針對工件100的其他實施例作替換或消除。
工件100包括具有第一區域102A及第二區域102B的基板102或晶圓。在本案實施例中,第一區域102設計用於核心裝置,如一或多個場效電晶體(field-effect transistor;FET),特定而言,一或多個鰭式FET(fin-like FET;FinFET)。第二區域102B經設計以形成非核心裝置,如二極體、接觸拾取器、雙極接面電晶體,及上述組合。
工件100包括形成於基板102上之鰭式結構104。鰭式結構104具有凸起主動區域(鰭特徵)。如第1圖中圖示,工件100包括形成於第一區域102A中之第一鰭特徵104A及形成於第二區域102中之第二鰭特徵104B。在一些實施例中,第一鰭特徵104A包括一或多個FinFET,更多實施例包括形成於基板102上方的第一區域102A內之其他凸起主動及被動裝置。在一些實施例中,圖示之第一鰭特徵104A包括FinFET 106,如N通道(NMOS)FinFET及/或P通道(PMOS)FinFET。接著,每一FinFET包括一對相對源極/汲極區域(或源極/汲極特徵)110及安置在源極/汲極區域110之間的通道區域112,此等區域110可包括多個摻雜半導體材料。穿過通道區域112之載流子流(用於N通道裝置之電子及用於P通道裝置之電洞)藉由施加於通道區域112臨近處及包圍通道區域122之閘極堆疊114之電壓來控制。閘極堆疊114圖示為半透明的,以更佳地圖示下層通道區域112。在圖示之實施例中,通道區域112凸起於自身所 形成於其上之基板102的平面上方,因此,鰭結構104可被稱作「非平面」裝置。比起平面裝置,凸起之通道區域112提供緊鄰閘極堆疊114之更大的表面積。其加強了閘極堆疊114與通道區域112之間的電磁場交互作用,從而可降低與較小裝置相關連之閥值電壓、漏電及短通道效應。因而在諸多實施例中,與平面同類產品相比,FinFET及其他非平面裝置在較小佔用面積中提供了更佳的效能。
如以下更詳細之描述,鰭結構104形成於半導體基板102上。為使對應FinFET 106與半導體基板102電絕緣,鰭結構104被隔離特徵116(如淺溝槽隔離或STI特徵)水平隔離及被埋置式隔離特徵118垂直隔離。在多個實施例中,本揭示案的方法及結構提供用於FinFET之埋置式隔離特徵118。埋置式隔離特徵118包括半導體氧化物材料,以使主動區域與基板電絕緣,從而降低或消除衝穿效應及增強裝置效能。
在第二區域102B中,形成於第二鰭特徵104B上的是一或多個非核心裝置108,如二極體、接觸拾取器、雙極接面電晶體,及上述組合。第二鰭特徵104B藉由STI特徵116與相鄰鰭特徵及形成於相鄰鰭特徵上之裝置水平絕緣。然而,鰭特徵104B與基板102垂直絕緣。鰭特徵104B形成於半導體特徵120上。在本實施例中,半導體特徵120由半導體材料製成,而埋置式隔離特徵118由介電材料製成,此介電材料具有半導體材料氧化物。
在本實施例中,第一鰭特徵104A包括埋置式絕緣半導體特徵118,而第二特徵104B包括半導體特徵120。基板102包括第一半導體材料;半導體特徵120包括第二半導體材料;及第一及第二鰭特徵包括第三半導體材料。埋置式隔離特徵118包括第二半導體材料之氧化物。第二半導體材料之組成不同於第三半導體材料之組成。在一些實施例中,第二半導體材料之組成不同於第一半導體材料之組成。例如,第一及第三半導體材料包括矽。在本實施例中,第二半導體材料是化合物半導體材料,如矽鍺。為促進此實施例,半導體特徵120包括矽鍺;及埋置式隔離特徵118包括矽者氧化物。
埋置式隔離特徵118係藉由選擇性氧化製程由第二半導體材料之半導體材料形成,此選擇性氧化製程經調控以氧化第二半導體材料,而非氧化第三半導體材料。特定而言,埋置式隔離特徵118是由第二半導體材料之半導體層的一部分轉化而成,此第二半導體材料形成半導體特徵120。埋置式隔離特徵118具有第一厚度T1,而半導體特徵120具有不同於第一厚度T1之第二厚度T2。在本實施例中,第二厚度T2小於第一厚度T1。為促進此實施例,第一厚度T1約為第二厚度T2之兩倍,偏差小於30%,或在較佳情況下偏差小於10%。30%之偏差經定義為|T1/T2-2|0.3。在一些實施例中,第一厚度T1之範圍為自10奈米至30奈米,及第二厚度T2之範圍為自5奈米至15奈米。
在一些實施例中,本揭示案之結構及方法提供未摻雜及具有更高載流子遷移率及進一步增強之裝置效能的通道區域112,此裝置效能例如為提高的裝置速度。在一些其他實施例中,通道區域112可替代地摻雜有適當種類之摻雜劑,如用於nFET之P型摻雜劑或用於pFET之N型摻雜劑。
現請參看第2圖至第18圖及第18A圖,將描述形成具有FinFET裝置106及非核心裝置108的工件100之示例性方法。第2圖是根據本揭示案之多個態樣的方法200之流程圖,此方法用於在工件100上製造FinFET或其他基於鰭之裝置。隨後圖式參考工件100之透視圖,及/或通過通道區域112(例如沿平面122)截取的橫剖面圖或通過FinFET裝置106之源極/汲極區域110(例如沿平面124)截取的橫剖面圖。
方法200及工件100之結構係根據本揭示案之多個態樣共同描述。應理解的是,可在方法200之前、期間及/或之後提供額外的步驟,及可在方法200之其他實施例中替代或消除所述一些步驟。
首先參看第2圖之方塊202及第3圖,工件100接收在具有第一區域102A及第二區域102B之基板102處。適當的基板102包括整塊矽基板。或者,基板102可包括元素半導體,如具有結晶結構之矽或鍺;化合物半導體,如矽鍺、碳化矽,砷化鎵、磷化鎵、磷化銦、砷化銦,及/或銻化銦;或上述組合。可能的基板102亦包括絕緣體上矽 (silicon on insulator;SOI)基板。SOI基板係藉由使用注氧隔離(separation by implantation of oxygen;SIMOX)、晶圓鍵結,及/或其他適當方法製造而成。
所接收之工件100可具有已引入其上方之一或多個摻雜劑。在圖示之實施例中,工件100包括藉由離子植入製程252引入基板102的第一區域102A內之抗衝穿(anti punch-through;APT)摻雜劑。離子植入製程252經設計以將適當類型的摻雜劑引入對應之通道區域,如用於nFET之P型摻雜劑或用於pFET之N型摻雜劑。在一些實施例中,APT離子植入製程252包括藉由微影圖案化而形成第一遮罩,及將第一遮罩用作植入遮罩而選擇性地對pFET執行N型離子植入。APT離子植入製程252可進一步包括藉由微影圖案化而形成第二遮罩,及將第二遮罩用作植入遮罩而選擇性地對nFET執行P型離子植入。第一及第二遮罩經圖案化以分別保護nFET區域及pFET區域免受相應離子植入之影響。
參看第2圖及第4圖,方法200包括操作204,即在基板102上磊晶生長多個半導體材料層。在圖示之實施例中,第一半導體層302形成於基板102上,及第二半導體層304形成於第一半導體層302上。第一半導體層302包括第一半導體材料及第二半導體層304包括不同於第一半導體材料之第二半導體材料。第一及第二半導體層藉由適用技術磊晶生長,如選擇性磊晶生長(selective epitaxy growth;SEG)。
第一半導體層302可包括形成於基板102之元素半導體上及實體接觸此元素半導體之化合物半導體。在本實施例中,基板102是矽基板,第一半導體材料是矽鍺(SiGe)及第二半導體材料是矽。在一些實施例中,第一半導體層302包括鍺濃度在約10原子百分比與約35原子百分比之間的SiGe。因此,第一半導體層302可具有中間鍺濃度,如在約10原子百分比與約35原子百分比之間的中間鍺濃度,且並未引入顯著錯位缺陷。在更多實施例中,第一半導體層302具有一鍺梯度,以使得半導體層302最靠近且接觸基板102之一部分具有約10原子百分比與約35原子百分比之間的鍺濃度,而第一半導體層302中最遠離基板102之一部分具有約60原子百分比之鍺濃度。
第一半導體層302可由適用技術形成。在一些實施例中,第一半導體層302可藉由任何適當的製程形成於基板102上以磊晶生長第一半導體層302。適當沉積製程包括原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、高密度電漿CVD(high density plasma CVD;HDP CVD)、物理氣相沉積(physical vapor deposition;PVD)及/或其他適當沉積製程。此等技術中之任一技術可用以生長具有任何組成之半導體層302,此組成包括漸變組成。例如,在一示例性磊晶生長製程中,隨著第一半導體層302逐漸沉積具有一鍺梯度之第一半導體層302,含鍺反應氣體(例如GeH4)之濃度隨時間經過而變化。第一半導體層302可形成至任何適 當厚度,及在多個實施例中,厚度範圍為自約10奈米至約30奈米。
在圖示之實施例中,第二半導體層304形成於第一半導體層302上及接觸第一半導體層302。第二半導體層304經處理以形成鰭結構104之核心,如下所述。因而,第二半導體層304可包括任何適當的元素或化合物半導體;及在一示例性實施例中,第二半導體層304包括元素矽半導體。類似於第一半導體層,第二半導體層304可藉由ALD、CVD、HDP-CVD、PVD,及/或其他適當沉積製程而磊晶生長。
在一些實施例中,第二半導體層304在無摻雜情況下形成,因此亦被稱作未摻雜半導體層。例如,在沉積期間,前驅物不包括含摻雜劑之化學品。為促進此實例,不再實施離子植入以消除向第二半導體層304引入之摻雜劑。在此實施例中,形成之通道區域是未摻雜的,且具有更少缺陷。在一些實施例中,第二半導體層304利用原位摻雜而生長,此舉消除植入損害。或者,摻雜劑藉由離子植入或擴散而被引入第二半導體層304。離子植入可選擇性地針對不同類型之摻雜劑而應用至基板之不同部分。例如,P型摻雜劑之第一離子植入製程應用於基板中的nMOS通道之第一部分,藉由使用第一遮罩以保護pMOS通道之第二部分;隨後,N型摻雜劑之第二離子植入製程應用於基板中的pMOS通道之第二部分,藉由使用第二遮罩以保護nMOS通道之第一部分。
為便於製造及避免損壞半導體層304,一或多個硬遮罩層306可形成於半導體層304上。硬遮罩層306包括介電質,如半導體氧化物、半導體氮化物、半導體氮氧化物,及/或半導體碳化物;及在一示例性實施例中,硬遮罩層306包括氧化矽層及氮化矽層。硬遮罩層306可由熱生長、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、高密度電漿CVD(high density plasma CVD;HDP CVD)、物理氣相沉積(physical vapor deposition;PVD)及/或其他適當沉積製程而形成。
參看第2圖及第5圖,方法200包括操作206,即在基板上形成鰭結構104,尤其是形成在第一區域102A中具有第一鰭特徵104A及在第二區域102B中具有第二鰭特徵104B之鰭結構。在用於說明之本實施例中,兩個示例性鰭特徵104A形成於第一區域102A中,及兩個示例性鰭特徵104B形成於第二區域102B中。
在方法200中之隨後操作步驟中,用以界定鰭結構104之光阻層(或抗蝕劑層)可形成於硬遮罩層306上。示例性抗蝕劑層包括使此層在曝露於光時經歷性質變化的光敏材料。此性質變化可用以藉由所涉及之顯影製程而選擇性地移除抗蝕劑層中之曝露或未曝露部分。用以形成圖案化抗蝕劑層之此程序亦被稱作微影圖案化。
在一個實施例中,抗蝕劑層經圖案化以保留光阻材料中藉由微影製程而置於鰭結構104上方之部分。在圖 案化抗蝕劑之後,在工件100上執行蝕刻製程以打開硬遮罩層306,從而將圖案從抗蝕劑層轉移至硬遮罩層306。在圖案化硬遮罩層306之後,可移除剩餘抗蝕劑層。示例性微影製程包括旋塗式塗覆抗蝕劑層、抗蝕劑層之軟烘烤、遮罩對準、曝露、曝露後烘烤、抗蝕劑層顯影、漂洗,及乾燥(例如硬烘烤)。或者,微影製程可得以實施、補充,或由其他方法替代,如無遮罩微影術、電子束寫入、離子束寫入或分子壓印。
在硬遮罩層圖案化之後,第二半導體層304及第一半導體層302經蝕刻以透筁圖案化硬遮罩層之開口界定鰭結構104。在工件100上執行一或多個蝕刻製程,以蝕刻第二半導體層304及第一半導體層302中未被圖案化硬遮罩層306覆蓋的部分。圖案化硬遮罩層306在蝕刻製程期間用作為蝕刻遮罩以圖案化半導體層。
蝕刻製程可包括任何適當蝕刻技術,如乾式蝕刻、濕式蝕刻,及/或其他蝕刻方法(例如反應性離子蝕刻(reactive ion etching;RIE))。在一些實施例中,蝕刻包括利用不同蝕刻化學品之多個蝕刻步驟,每一化學品之目標物為工件100之特定材料。例如,在一實施例中,硬遮罩層中之氧化矽薄膜可藉由稀釋的氟化氫溶液蝕刻,而硬遮罩層中之氮化矽薄膜可藉由磷酸溶液蝕刻。在其他實施例中,第一及第二半導體層係藉由使用氟基蝕刻劑之乾式蝕刻製程而蝕刻。
蝕刻製程經設計以產生具有任何適當的高度及寬度之鰭結構104,此鰭結構在第一半導體層302之其餘部分的上方延伸。除了界定鰭結構104之外,操作206中之蝕刻亦在鰭結構104之凸起的主動區域之間界定一或多個隔離特徵溝槽。
在本實施例中,蝕刻操作206亦被應用於基板102,且經由控制以使得基板102被部分蝕刻,如第5圖所示。此可藉由相對於所期待之溝槽深度及鰭高度來控制蝕刻時間,或藉由控制其他蝕刻參數而實現。在蝕刻製程之後,鰭結構104(包括第一鰭特徵104A及第二鰭特徵104B)得以形成,且自第二半導體材料層304延伸至第一半導體材料層302。
參看第2圖及第6圖,方法200繼續進行至操作208,即形成內襯(或第二硬遮罩)308,以保護第二區域102B。硬遮罩308之形成包括沉積及圖案化,此類似於硬遮罩306之形成。然而,硬遮罩306是平面層,而硬遮罩308是三維的。硬遮罩308經圖案化以覆蓋第二區域102B及具有一開口以曝露第一區域102A。在第二區域102B中,硬遮罩308與鰭特徵104B共形,從而使得第二鰭特徵104B之側壁亦被覆蓋。
硬遮罩308能夠保護第二鰭特徵104B免受隨後之氧化的影響。硬遮罩308可包括任何適當介電材料,及示例性內襯308包括氮化矽。硬遮罩308就組成而言,可不同於硬遮罩306,以便提供蝕刻選擇性。例如,硬遮罩306 包括氮化矽,而硬遮罩308包括氧化矽或碳化矽。硬遮罩308可藉由CVD而沉積。在一些實例中,氮化矽之沉積包括CVD製程,此製程利用具有六氯二矽烷(Si2Cl6)、二氯甲矽烷(SiH2Cl2)、雙(三級丁胺)矽烷(C8H22N2Si),及二矽烷(Si2H6)或上述組合的前驅物。
參看第2圖及第7圖,方法200可繼續進行至操作210,即修整第一區域102A中之第一半導體層302,從而使第一區域102A中之第一半導體層302自第一鰭特徵104A之側壁水平凹陷。在本實施例中,凹陷之尺寸受控制,以使得隨後階段中之氧化特徵適合相同的空間。在一些實施例中,修整製程是設計利用蝕刻劑以選擇性地蝕刻第一半導體層302之第一半導體材料,而大體上不蝕刻第二半導體層304之第二半導體材料的蝕刻製程。在一些實例中,修整製程可包括濕式蝕刻、乾式蝕刻或上述組合。
參看第2圖及第8圖,方法200繼續進行至操作212,即對第一區域102A中之第一半導體層302執行選擇性氧化製程。選擇性氧化製程經設計以選擇性地氧化第一半導體層302之第一半導體材料,而不氧化第二半導體層304之第二半導體材料。在本實例中,第一半導體材料是矽鍺,而第二半導體材料是矽。據發現,SiGe及Si具有不同氧化速率及不同氧化特性,如溫度依賴性。在一個實例中,SiGe具有幾乎為零之氧化速率,直至溫度大於約400℃;而Si具有幾乎為零之氧化速率,直至溫度大於約600℃。當氧化溫度經調控及在400℃與600℃的溫度之間的範圍中選擇時, 對應的氧化製程是選擇性的,及大體上氧化SiGe而大體上不氧化Si。因此,第一半導體層302是藉由選擇性氧化而轉變為介電材料。其次,因為第二區域102B被圖案化硬遮罩308所覆蓋,此遮罩的作用為氧化遮罩,及保護第二區域內的第一半導體層302之部分免受氧化。因而,藉由使用硬遮罩308之選擇性氧化製程,在第一區域102A中之第一半導體層302之部分被轉化為介電層,或者特別是第一鰭特徵104A下方的介電特徵(埋置式隔離特徵)118,而第二區域102B中之第一半導體層302之部分仍是半導體材料,或者特別是第二鰭特徵104B下方之半導體特徵120。
在本實施例中,選擇性氧化製程是熱氧化製程。用於選擇性氧化之熱氧化製程之調控包括調控氧化,以使得第一區域102A中之第一半導體材料層302完全耗盡且轉化為氧化物。在一特定實例中,SiGe氧化速率亦是鍺濃度的函數。因此,在多個實例中,熱氧化製程之調控包括調整第一半導體材料層302之組成(如鍺濃度)及氧化溫度。在一個實施例中,熱氧化製程在氧環境中執行。在另一實施例中,熱氧化製程在蒸汽環境與氧環境之組合中執行。在本實例中,對半導體結構200之熱氧化製程在溫度範圍為自約400℃至約600℃的H2O反應氣體中,及在範圍為自約1大氣壓至約20大氣壓之壓力下執行。
又應注意,即使氧化製程經調控以具有選擇性,但矽之氧化速率大體上小於SiGe之氧化速率,但可不為零。在此情況下,第二半導體層304之半導體氧化物(如 氧化矽)層312形成於第一鰭特徵104A之側壁上及第一區域102A中之基板側壁上。透過調控氧化製程,以使得第一鰭特徵104A下方之第一半導體層302完全氧化,但僅薄氧化層312形成於第二半導體層304之側壁上。因而形成之埋置式絕緣層118提供第一鰭特徵104A與基板102之間的完全絕緣,從而有效降低(或消除)抗衝穿效應、減少漏電,及增強裝置效能。任何適當的選擇性氧化製程可用以氧化半導體層120曝露之半導體材料。
參看第2圖及第9圖及第10圖,此方法繼續進行至操作214,即在基板102上形成隔離特徵116。可在鰭結構104之凸起主動區域之間形成淺溝槽隔離(Shallow trench isolation;STI)特徵或其他類型之隔離特徵,如第10圖所示。隔離特徵314之形成包括沉積及研磨。
參看第9圖,沉積介電材料314於隔離特徵溝槽內,以形成隔離特徵。合適的充填介電材料314包括半導體氧化物、半導體氮化物、半導體氮氧化物、FSG、低介電常數介電材料,及/或上述組合。在多個示例性實施例中,介電材料314係藉由使用HDP-CVD製程、次大氣壓CVD(sub-atmospheric CVD;SACVD)製程、高深寬比製程(high-aspect ratio process;HARP),及/或旋塗製程而沉積。在一此種實施例中,可流動CVD(flowable CVD;FCVD)製程用以沉積可流動介電材料,此介電材料包括介電材料314及液體或半液體狀態之溶劑。固化製程用以餾出溶劑,使介電材料314保留其固態。為促進此實施例,FCVD 製程可包括從包含無碳Si-N之前驅物中沉積含矽及氮兩者之材料(包含Si-N之薄膜,如Si-N-H薄膜)。薄膜轉變為缺陷更少的固體氧化矽,因為包含Si-N之薄膜不含碳。包含Si-N之薄膜至氧化矽之轉換包括在氧環境中熱固化包含Si-N之薄膜,此氧環境如具有蒸汽(H2O)、自由基原子氧(O)、分子氧(O2)、臭氧(O3),上述組合之氣體。
參看第10圖,在介電材料314之沉積後可進行化學機械研磨/平面化(chemical mechanical polishing;CMP)製程。CMP製程可使用硬遮罩層306作為研磨停止層,以阻止研磨半導體層304。在圖示之實施例中,CMP製程完全移除硬遮罩層306;在更多實施例中,在CMP製程之後,留下硬遮罩層306中之一些部分。在一些實施例中,CMP製程包括兩個步驟,此等步驟經調控以有效移除介電材料314及硬遮罩之不同漿料。在一些實施例中,CMP製程被合適的終點檢查機構所控制,以使得此製程在硬遮罩層306被移除之後停止。
參看第2圖及第11圖,方法200繼續進行至操作216,藉由凹陷化隔離特徵116以曝露第二半導體層304,從而形成凸起主動區域或鰭結構104,如第一特徵104A及第二鰭特徵104B。在圖示之實施例中,凹陷化充填材料以曝露全部的半導體層304。半導體層302(包括從其轉換而成的埋置式隔離特徵118及半導體特徵120)可被部分曝露。在此實施例中,凹陷化充填材料314,以使得充填材料314之上表面比第二半導體層304之上表面低一距離,此距 離根據所需鰭高度而被調控。任何合適的蝕刻技術可用以凹陷化充填材料314,此蝕刻技術包括乾式蝕刻、濕式蝕刻、RIE,及/或其他蝕刻方法;及在一示例性實施例中,異向性乾式蝕刻用以選擇性地移除充填材料314,而不蝕刻第二半導體層304。
在第一區域102A中,第一鰭特徵104A藉由埋置式隔離特徵118及STI特徵116而彼此電絕緣,且與基板102電絕緣。在第二區域102B中,第二鰭特徵104B經由半導體特徵120而電耦接至基板102。
參看第2圖及第12圖至第14圖,方法200繼續進行至操作218,即形成虛擬閘極316。虛擬閘極316形成於通道區域112(由虛擬閘極分別覆蓋的鰭特徵中之部分)上方。形成虛擬閘極316可包括沉積包含多晶矽或其他適當材料的虛擬閘極層,及在微影製程中圖案化此層。閘極硬遮罩層318可形成於虛擬閘極316上。閘極硬遮罩層318可包括任何適當的材料,如氧化矽、氮化矽、碳化矽、氮氧化矽、其他適當材料,及/或上述組合。在一些實施例中,閘極硬遮罩層318包括兩個介電薄膜,如氧化矽薄膜及氧化矽薄膜上之氮化矽。閘極堆疊316之形成包括閘極材料層之沉積及圖案化閘極材料層。在一些實施例中,圖案化製程包括形成圖案化抗蝕劑層;使用圖案化抗蝕劑層作為蝕刻遮罩而蝕刻硬遮罩層;及使用圖案化硬遮罩層作為蝕刻遮罩而蝕刻閘極材料層。
在一些實施例中,閘極間隙壁320或側壁間隙壁形成於虛擬閘極316之側壁上。閘極間隙壁320可用以偏移隨後形成之源極/汲極特徵,及閘極間隙壁320可用以設計或修改源極/汲極結構(接面)輪廓。閘極間隙壁320可包括任何適當的介電材料,如半導體氧化物、半導體氮化物、半導體碳化物、半導體氮氧化物、其他適當材料,及/或上述組合。閘極間隙壁之形成包括沉積及異向性蝕刻,如乾式蝕刻。當間隙壁320形成於虛擬閘極316之側壁上時,間隙壁322亦同時形成於鰭結構104之側壁上。
參看第13圖,移除鰭間隙壁322,如用於隨後磊晶生長以形成源極及汲極特徵。鰭間隙壁322之移除藉由適當的蝕刻程序而實現。在一些實施例中,應用乾式蝕刻製程以移除鰭間隙壁322。由於閘極間隙壁320與鰭間隙壁322之間的厚度差異,鰭間隙壁322被移除,而閘極間隙壁320變薄,但在乾式蝕刻製程之後存留下來。在替代性實施例中,形成硬遮罩以覆蓋虛擬閘極及閘極間隙壁,及使鰭結構裸露;及使用硬遮罩作為蝕刻遮罩,應用蝕刻製程(如濕式蝕刻),以移除鰭間隙壁322。
參看第14圖,可藉由蝕刻製程修整鰭結構104以縮小鰭特徵的尺寸,鰭特徵如104A及104B。蝕刻製程可為濕式蝕刻、乾式蝕刻或上述組合。蝕刻製程經設計具有適當的蝕刻劑以選擇性地蝕刻第二半導體層。例如,此蝕刻製程可類似於在操作206中用以圖案化半導體層304之蝕刻製程。
參看第2圖及第15圖,方法200繼續進行至操作220,即形成源極及汲極特徵110。凸起的源極/汲極(S/D)特徵110形成於凸起的鰭主動區域上。虛擬閘極316及/或閘極間隙壁320將源極/汲極特徵110限制在源極/汲極區域中。在諸多實施例中,源極/汲極特徵110由一或多個磊晶或磊晶(epi)製程形成,藉此Si特徵、SiGe特徵、SiC特徵,及/或其他適當的特徵在鰭結構104上以晶態生長。適當的磊晶製程包括CVD沉積技術(例如,氣態磊晶(vapor-phase epitaxy;VPE)及/或超高真空CVD(ultra-high vacuum CVD;UHV CVD))、分子束磊晶,及/或其他的適當製程。磊晶製程可使用氣態及/或液態前驅物,此等前驅物與鰭結構104之組成相互作用。
在圖示之實施例中,由於鰭間隙壁322被移除,則磊晶生長於鰭特徵之頂表面及側壁上,或從多個表面圍繞(包覆)鰭特徵。儘管以相同用語(如虛擬閘極、源極及汲極特徵)提及第二區域102B中之多個特徵,彼等特徵經設計及配置以形成非核心裝置,如BJT、拾取器特徵、二極體,或上述組合。
源極/汲極特徵110可在磊晶製程期間藉由引入摻雜物種而得以原位摻雜,此等物種包括:P型摻雜劑,如硼或BF2;N型摻雜劑,如磷或砷;及/或其他適當摻雜劑,包括上述組合。若源極/汲極特徵110並非原位摻雜,則執行植入製程(亦即接面植入製程)以摻雜源極/汲極特徵110。在一示例性實施例中,NMOS中之源極/汲極特徵110 包括SiCP或SiP,而PMOS中之彼等源極/汲極特徵110包括GeSnB(錫可用以調控晶格常數)及/或SiGeSnB。可執行一或多個退火製程以活化源極/汲極特徵110。適當的退火製程包括快速熱退火(rapid thermal annealing;RTA)及/或雷射退火製程。
參看第16圖,矽化物特徵326可形成於源極及汲極特徵110上。矽化物特徵326可包括以下材料,如矽化鎳、矽化鈷、矽化鎢、矽化鉭、矽化鈦、矽化鉑、矽化鉺、矽化鈀,或上述組合。矽化物特徵326可藉由矽化形成,例如其中沉積金屬之自對準矽化物(Salicide),在退火製程期間與矽反應,然後藉由蝕刻移除未反應之金屬。特定而言,在金屬沉積之後,升高溫度,以用於退火,增強Si與金屬之間的反應以形成矽化物,最終,未反應的金屬可被蝕刻掉。退火可為單步驟或多步驟退火,取決於金屬材料及其他條件。或者,矽化物特徵326可由一程序形成,此程序包括矽化物沉積,如CVD、PVD,或ALD。
參看第2圖及第17圖,方法200繼續進行至操作222,即在基板102上形成層間介電質(inter-level dielectric;ILD)328。特定而言,ILD 328形成於源極/汲極區域中之源極/汲極特徵110上。ILD 328可圍繞虛擬閘極316及/或閘極間隙壁320,允許此等特徵被移除且替換閘極被形成於所產生之空穴中。ILD 328可包括任何合適的介電材料,如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、其他適當材料,及/或上述組合。在多個實 施例中,ILD層328包括二氧化矽、聚醯亞胺、旋塗玻璃(spin-on glass;SOG)、摻雜氟化物之矽玻璃(fluoride doped silicate glass;FSG)、碳摻雜氧化矽,或多種低介電常數的介電材料。低介電常數的介電材料可具有小於3.9之介電常數「k」,如熱二氧化矽之介電常數。
在一些實施例中,ILD 328之形成包括沉積及CMP。ILD層328可藉由CVD、ALD、PVD、旋塗塗覆或其他沉積技術而沉積。在ILD層328沉積之後,隨後可執行CMP製程以對ILD層328之頂表面進行平面化,及移除硬遮罩318。在一些實施例中,硬遮罩318在CMP製程期間起研磨停止層之作用,隨後,硬遮罩318藉由蝕刻而被移除。
ILD層328亦可屬於電互連結構中之一部分,此電互連結構電互連工件之裝置。在此種實施例中,ILD 328作為絕緣體,此絕緣體支持及使導電路線絕緣。
參看第2圖及第17圖,方法200繼續進行至操作224,即移除虛擬閘極316。在沉積ILD層328之後,虛擬閘極316被移除,從而在ILD層328中產生閘極溝槽(腔體)330,如第17圖中所示。移除虛擬閘極316包括具有一或多個利用適當蝕刻劑的蝕刻步驟之蝕刻程序。在一些實施例中,藉由對虛擬閘極堆疊進行選擇性的諸如濕式蝕刻之製程,移除虛擬閘極堆疊。蝕刻可包括對各個虛擬閘極層之多個蝕刻步驟。
參看第2圖及第18圖,於工件100上形成閘極堆疊114。閘極堆疊114形成於工件100上,捲繞鰭結構104之 通道區域112。閘極堆疊114由一程序形成於閘極溝槽330中,如包括沉積及CMP之程序。儘管應理解的是,閘極堆疊114可為任何適當的閘極結構,但在一些實施例中,閘極堆疊114是高介電常數的金屬閘極,此閘極包括閘極介電層及閘極電極層,其中每一層可包括數個子層。
在一此種實施例中,閘極介電層包括藉由適當方法沉積之界面間層,如ALD、CVD、臭氧氧化,等等。界面間層可包括氧化矽、HfSiO、氮化矽、氮氧化矽,及/或其他適當的材料。在一些實施例中,閘極介電層1602包括藉由適當技術沉積在界面間層上之高介電常數介電層,如ALD、CVD、金屬有機CVD(metal-organic CVD;MOCVD)、PVD、熱氧化、上述組合,及/或其他適當技術。高介電常數介電層可包括LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化物(SiON),或其他適當材料。
隨後,閘極電極層藉由ALD、PVD、CVD或其他適當的製程而形成,及閘極電極層可包括單層或多層,如金屬層、內襯層、潤濕層,及/或黏附層。閘極電極層可包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W,或任何適當材料。在一些實施例中,不同金屬閘極材料用於nMOS及pMOS裝置。可執行CMP製程,以產生閘極堆疊114之大體 上平坦的頂表面。在閘極堆疊114形成之後,可提供工件100進行進一步製造,如接觸成型,及互連結構之進一步製造。
通道區域112對應於第二半導體層304,而通道區域112之厚度表示通道區域內的鰭垂直厚度。在一些實例中,鰭厚度範圍為自約10奈米至約40奈米。埋置式絕緣層118具有連續結構以使通道區域112及源極/汲極特徵110與基板102隔離。埋置式絕緣層118具有第一厚度,而半導體特徵120具有小於第一厚度之第二厚度。在一些實例中,半導體特徵120具有5奈米與15奈米之間的厚度範圍,及介電特徵118具有10奈米與30奈米之間的厚度範圍。在一些實施例中,源極及汲極特徵具有自約3奈米至約10奈米之厚度範圍。在一些實例中,矽化物特徵326具有自約3奈米至約10奈米之厚度範圍。
第18A圖是依據一些實施例建構之半導體結構100的剖視圖。第一鰭特徵104A包括埋置式絕緣半導體特徵118,而第二特徵104B包括半導體特徵120。埋置式隔離特徵118自側壁起由隔離特徵116水平圍繞,及垂直插入於源極及汲極特徵110與基板102之間。源極及汲極特徵110形成於第二半導體材料(如矽)之鰭特徵上,此材料不同於源極及汲極特徵110之半導體材料(如矽鍺)。特定而言,基板102包括第一半導體材料;半導體特徵120包括第二半導體材料;及第一及第二鰭特徵包括第三半導體材料。埋置式隔離特徵118包括第二半導體材料之氧化物。第二半導體材料之組成不同於第三半導體材料之組成。在一些實施例 中,第二半導體材料之組成不同於第一半導體材料之組成。例如,第一及第三半導體材料包括矽。在本實施例中,第二半導體材料是化合物半導體材料,如矽鍺。為促進此實施例,半導體特徵120包括矽鍺;及埋置式隔離特徵118包括矽鍺氧化物。此外,在方塊202處,藉由APT離子植入形成的APT特徵350被安置於基板102中且位於埋置式隔離特徵118下方。
埋置式隔離特徵118係透過選擇性氧化製程,由第二半導體材料之半導體材料形成,此選擇性氧化製程經調控以氧化第二半導體材料,而非氧化第三半導體材料。特定而言,埋置式隔離特徵118係由第二半導體材料之半導體層的一部分轉化而成,此第二半導體材料形成半導體特徵120。埋置式隔離特徵118具有第一厚度T1,而半導體特徵120具有不同於第一厚度T1之第二厚度T2。在本實施例中,第二厚度T2小於第一厚度T1。為促進此實施例,第一厚度T1約為第二厚度T2之兩倍,偏差小於30%,或在較佳情況下偏差小於10%。在一些實施例中,第一厚度T1之範圍為自10奈米至30奈米,及第二厚度T2之範圍為自5奈米至15奈米。
根據一些其他實施例,參考第19圖至第35圖描述形成工件100之方法。第19圖是根據本揭示案之多個態樣的方法400之流程圖,此方法用於在工件100上製造FinFET或其他基於鰭之裝置。隨後圖式參考工件100之透視圖,及/或通過通道區域112(例如沿平面122)截取的橫剖面圖或 通過FinFET裝置106之源極/汲極區域110(例如沿平面124)截取的橫剖面圖。應理解的是,可在方法400之前、期間及/或之後提供額外的步驟,及在方法400之其他實施例中可替代、消除所述一些步驟。方法400類似於方法200。為簡單起見,不再重複類似描述。
首先參看第19圖及第20圖,工件100接收在基板102處,基板102具有第一區域102A與第二區域102B。適當的基板102包括整塊矽基板,或者,基板102可包括元素半導體,如具有結晶結構之矽或鍺;化合物半導體,如矽鍺、碳化矽,砷化鎵、磷化鎵、磷化銦、砷化銦,及/或銻化銦;或上述組合。
所接收之工件100可具有已引入其上方之一或多個摻雜劑。在圖示之實施例中,工件100包括藉由離子植入製程252引入基板102的第一區域102A內之抗衝穿(anti punch-through;APT)摻雜劑。離子植入製程252經設計以將適當類型的摻雜劑引入對應之通道區域,如用於nFET之P型摻雜劑或用於pFET之N型摻雜劑。在一些實施例中,APT離子植入製程252包括藉由微影圖案化形成第一遮罩,及使用第一遮罩作為植入遮罩而選擇性地對pFET執行N型離子植入。APT離子植入製程252可進一步包括藉由微影圖案化而形成第二遮罩,及將第二遮罩用作植入遮罩而選擇性地對nFET執行P型離子植入。第一及第二遮罩經圖案化以分別保護nFET區域及pFET區域免受相應離子植入之 影響。在一些實施例中,APT摻雜製程具有1018與1019/平方釐米之間的摻雜劑量範圍。
參看第19圖及第21圖,方法400包括操作204,即在基板102上磊晶生長多個半導體材料層。在圖示之實施例中,第一半導體層302形成於基板102上,及第二半導體層304形成於第一半導體層302上。第一半導體層302包括第一半導體材料,且第二半導體層304包括不同於第一半導體材料之第二半導體材料。第一及第二半導體層藉由適合的技術磊晶生長,如SEG。
參看第19圖及第22圖,方法400包括操作206,即在基板上形成鰭結構104,尤其是形成在第一區域102A中具有第一鰭特徵104A及在第二區域102B中具有第二鰭特徵104B之鰭結構。在用於說明之本實施例中,兩個示例性鰭特徵104A形成於第一區域102A中,及兩個示例性鰭特徵104B形成於第二區域102B中。
參看第19圖及第23圖,方法400繼續進行至操作208,即形成內襯(或第二硬遮罩)308以保護第二區域102B。硬遮罩308之形成包括沉積及圖案化,此類似於硬遮罩306之形成。然而,硬遮罩306是平面層,而硬遮罩308是三維的。硬遮罩308經圖案化以覆蓋第二區域102B且具有一開口以曝露第一區域102A。在第二區域102B中,硬遮罩308與鰭特徵104B共形,從而使得第二鰭特徵104B之側壁亦被覆蓋。
參看第19圖及第24圖,方法400可繼續進行至操作210,即修整第一區域102A中之第一半導體層302,從而使第一區域102A中之第一半導體層302自第一鰭特徵104A之側壁水平凹陷。在本實施例中,凹陷尺寸受控制以使得隨後階段中之氧化特徵適合相同的空間。在一些實施例中,修整製程是設計利用蝕刻劑以選擇性地蝕刻第一半導體層302之第一半導體材料,而大體上不蝕刻第二半導體層304之第二半導體材料的蝕刻製程。在一些實例中,修整製程可包括濕式蝕刻、乾式蝕刻或上述組合。
參看第19圖及第25圖,方法400繼續進行至操作212,即對第一區域102A中之第一半導體層302執行選擇性氧化製程。選擇性氧化製程經設計以選擇性地氧化第一半導體層302之第一半導體材料,而不氧化第二半導體層304之第二半導體材料。在本實例中,第一半導體材料是矽鍺,而第二半導體材料是矽。據發現,SiGe及Si具有不同氧化速率及不同氧化特性,如溫度依賴性。在一個實例中,當氧化溫度經調控及在400℃與600℃之間的範圍中選擇時,對應的氧化製程是選擇性的,及大體上氧化SiGe而大體上不氧化Si。因此,第一半導體層302是藉由選擇性氧化而轉變為介電材料。其次,因為第二區域102B被圖案化硬遮罩308覆蓋,此遮罩作為氧化遮罩,且保護第二區域內的第一半導體層302之部分免受氧化。因而,藉由使用硬遮罩308之選擇性氧化製程,第一半導體層302在第一區域102A中之部分轉化為介電層或尤其是第一鰭特徵104A下方的介電特徵 (埋置式隔離特徵)118,而第一半導體層302中第二區域102B之部分仍是半導體材料,或者特別是第二鰭特徵104B下方之半導體特徵120。
又應注意的是,即使氧化製程經調控以具有選擇性,但矽之氧化速率大體上小於SiGe之氧化速率,但可不為零。在此情況下,第二半導體層304之半導體氧化物(如氧化矽)層312形成於第一鰭特徵104A之側壁上及第一區域102A中之基板側壁上。
參看第19圖及第26圖及第27圖,方法400繼續進行至操作214,即在基板102上形成隔離特徵116。可在鰭結構104之凸起主動區域之間形成淺溝槽隔離(Shallow trench isolation;STI)特徵或其他類型之隔離特徵,如第10圖所示。隔離特徵314之形成包括沉積及研磨。
參看第26圖,介電材料314沉積在隔離特徵溝槽內以形成隔離特徵。適當的充填介電材料314包括半導體氧化物、半導體氮化物、半導體氮氧化物、FSG、低介電常數介電材料,及/或上述組合。
參看第27圖,在介電材料314之沉積後可進行CMP製程。CMP製程可使用硬遮罩層306作為研磨停止層以阻止研磨半導體層304。在圖示之實施例中,CMP製程完全移除硬遮罩層306;在更多實施例中,在CMP製程之後,留下硬遮罩層306中之一些部分。在一些實施例中,CMP製程包括兩個步驟,此等步驟利用不同漿料,此等漿料經調控以有效移除介電材料314及硬遮罩。在一些實施例中, CMP製程被合適的終點檢查機構所控制,以使得此製程在硬遮罩層306被移除之後停止。
參看第19圖及第28圖,方法400繼續進行至操作216,藉由凹陷化隔離特徵116以曝露第二半導體層304,從而形成凸起主動區域或鰭結構104,如第一特徵104A及第二鰭特徵104B。在圖示之實施例中,凹陷化充填材料以曝露全部的半導體層304。在此實施例中,凹陷化充填材料314以使得充填材料314之上表面比第二半導體層304之上表面低一距離,此距離根據所需鰭高度而被調控。任何合適的蝕刻技術可用以凹陷化充填材料314,此蝕刻技術包括乾式蝕刻、濕式蝕刻、RIE,及/或其他蝕刻方法;及在一示例性實施例中,異向性乾式蝕刻用以選擇性地移除充填材料314,而不蝕刻第二半導體層304。
在第一區域102A中,第一鰭特徵104A藉由埋置式隔離特徵118及STI特徵116而彼此電絕緣,且與基板102電絕緣。在第二區域102B中,第二鰭特徵104B經由半導體特徵120而電耦接至基板102。
參看第19圖及第29圖到第31圖,方法400繼續進行至操作402,即形成虛擬閘極316及進一步凹陷化隔離特徵116。虛擬閘極316形成於通道區域112(由虛擬閘極分別覆蓋的鰭特徵中之部分)上方。形成虛擬閘極316可包括沉積包含多晶矽或其他適當材料的虛擬閘極層,及在微影製程中圖案化此層。閘極硬遮罩層318可形成於虛擬閘極316上。
在一些實施例中,閘極間隙壁320或側壁間隙壁形成於虛擬閘極316之側壁上。閘極間隙壁320可用以偏移隨後形成之源極/汲極特徵,及閘極間隙壁320可用以設計或修正源極/汲極結構(接面)輪廓。閘極間隙壁320可包括任何適當的介電材料,如半導體氧化物、半導體氮化物、半導體碳化物、半導體氮氧化物、其他適當材料,及/或上述組合。閘極間隙壁之形成包括沉積及異向性蝕刻,如乾式蝕刻。當間隙壁320形成於虛擬閘極316之側壁上時,間隙壁322亦同時形成於鰭結構104之側壁上。
參看第30圖,移除鰭間隙壁322,如用於隨後之磊晶生長以形成源極及汲極特徵。鰭間隙壁322之移除係由適當的蝕刻程序而實現。
仍參看第30圖,進一步凹陷化隔離特徵116,以使得隔離特徵116之上表面低於第一半導體層302之上表面,尤其是低於介電特徵118及半導體特徵120之上表面。在一些實施例中,在進一步凹陷化隔離特徵116之後,介電特徵118及半導體特徵120之側壁完全曝露,或隔離特徵116之上表面與第一半導體層302之上表面共平面或低於第一半導體層302之上表面。進一步凹陷化隔離特徵116可使用蝕刻製程,此蝕刻製程類似於用於操作216中之蝕刻製程。
參看第31圖,可藉由蝕刻製程修整鰭結構104以縮小鰭特徵尺寸,鰭特徵如104A及104B。蝕刻製程可為濕式蝕刻、乾式蝕刻或上述組合。蝕刻製程經設計具有適當 的蝕刻劑以選擇性地蝕刻第二半導體層。例如,此蝕刻製程可類似於在操作206中用以圖案化半導體層304之蝕刻製程。
參看第19圖及第32圖及第33圖,方法400繼續進行至操作220,即形成源極及汲極特徵110。凸起的源極/汲極(S/D)特徵110形成於凸起的鰭主動區域上。虛擬閘極316及/或閘極間隙壁320將源極/汲極特徵110限制在源極/汲極區域中。在諸多實施例中,源極/汲極特徵110係由一或多個磊晶或磊晶製程形成,藉此Si特徵、SiGe特徵、SiC特徵,及/或其他適當的特徵在鰭結構104上以晶態生長。在圖示之實施例中,由於鰭間隙壁322被移除,則磊晶生長位於鰭特徵之頂表面及側壁上,或從多個表面圍繞(包覆)鰭特徵。
源極/汲極特徵110可在磊晶製程期間藉由引入摻雜物種而得以原位摻雜,此等物種包括:P型摻雜劑,如硼或BF2;N型摻雜劑,如磷或砷;及/或其他適當摻雜劑,包括上述組合。若源極/汲極特徵110並非原位摻雜,則執行植入製程(亦即接面植入製程)以摻雜源極/汲極特徵110。在一示例性實施例中,NMOS中之源極/汲極特徵110包括SiCP或SiP,而PMOS中之彼等源極/汲極特徵110包括GeSnB(錫可用以調控晶格常數)及/或SiGeSnB。可執行一或多個退火製程以活化源極/汲極特徵110。適當的退火製程包括快速熱退火(rapid thermal annealing;RTA)及/或雷射退火製程。
參看第33圖,矽化物特徵326可形成於源極及汲極特徵110上。矽化物特徵326可包括以下材料,如矽化鎳、矽化鈷、矽化鎢、矽化鉭、矽化鈦、矽化鉑、矽化鉺、矽化鈀,或上述組合。矽化物特徵326可藉由矽化形成,例如其中沉積金屬之自對準矽化物,在退火製程期間與矽反應,然後藉由蝕刻移除未反應之金屬。特定而言,在金屬沉積之後,升高溫度,以用於退火,增強Si與金屬之間的反應以形成矽化物,最終,未反應的金屬可被蝕刻掉。退火可為單步驟或多步驟退火,取決於金屬材料及其他條件。或者,矽化物特徵326可由一程序形成,此程序包括矽化物沉積,如CVD、PVD,或ALD。
參看第19圖及第34圖,方法400繼續進行至操作222,即在基板102上形成層間介電質(inter-level dielectric;ILD)328。特定而言,ILD 328形成於源極/汲極區域中之源極/汲極特徵110上。ILD 328可圍繞虛擬閘極316及/或閘極間隙壁320,允許此等特徵被移除且替換閘極被形成於所產生之空穴中。ILD 328可包括任何適當的介電材料,如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、其他適當材料,及/或上述組合。在一些實施例中,ILD 328之形成包括沉積及CMP。ILD層328亦可屬於電互連結構中之一部分,此電互連結構電互連工件之裝置。在此種實施例中,ILD 328作為絕緣體,此絕緣體支持及使導電路線絕緣。
參看第19圖及第34圖,方法400繼續進行至操作224,即移除虛擬閘極316。在沉積ILD層328之後,虛擬閘極316被移除,從而在ILD層328中產生閘極溝槽(腔體)330,如第34圖中所示。
參看第19圖及第35圖,方法400繼續進行至操作226,即在工件100上形成閘極堆疊114。閘極堆疊114形成於工件100上,捲繞鰭結構104之通道區域112。閘極堆疊114由一程序形成於閘極溝槽330中,如包括沉積及CMP之程序。儘管應理解的是,閘極堆疊114可為任何適當的閘極結構;但在一些實施例中,閘極堆疊114是高介電常數的金屬閘極,此閘極包括閘極介電層及閘極電極層,其中每一層可包括數個子層。
第35A圖是依據一些實施例建構之半導體結構100的剖視圖。第一鰭特徵104A包括埋置式絕緣半導體特徵118,而第二特徵104B包括半導體特徵120。埋置式隔離特徵118具有高於隔離特徵116之上表面。埋置式隔離特徵118係透過選擇性氧化製程,由第二半導體材料之半導體材料形成,此選擇性氧化製程經調控以氧化第二半導體材料,而非氧化第三半導體材料。特定而言,埋置式隔離特徵118係由第二半導體材料之半導體層的一部分轉化而成,此第二半導體材料形成半導體特徵120。埋置式隔離特徵118具有第一厚度T1,而半導體特徵120具有不同於第一厚度T1之第二厚度T2。在本實施例中,第二厚度T2小於第一厚度T1。為促進此實施例,第一厚度T1約為第二厚度T2之兩 倍,偏差小於30%,或在較佳情況下偏差小於10%。在一些實施例中,第一厚度T1之範圍為自10奈米至30奈米,及第二厚度T2之範圍為自5奈米至15奈米。此外,源極及汲極特徵110圍繞第二區域102B中之半導體特徵120及接觸半導體特徵120之側壁。在圖示之實施例中,矽化物特徵326具有與半導體特徵120之底表面共平面之底表面。在第一區域102A中,埋置式隔離特徵118位於源極/汲極特徵110下方,及至少部分地由ILD 328圍繞。此外,在方塊202處,藉由APT離子植入形成的APT特徵350被安置於基板102中且位於埋置式隔離特徵118下方。
根據一些其他實施例,參考第36圖至第55圖描述形成工件100之方法。第36圖是根據本揭示案之多個態樣的方法500之流程圖,此方法用於在工件100上製造FinFET或其他基於鰭之裝置。隨後圖式參考工件100之透視圖,及/或通過通道區域112(例如沿平面122)截取的橫剖面圖或通過FinFET裝置106之源極/汲極區域110(例如沿平面124)截取的橫剖面圖。應理解的是,在方法500之前、期間,及之後可提供額外的步驟;及在方法400之其他實施例中可替代、消除所述一些步驟。方法500類似於方法200。為簡單起見,不再重複類似描述。
首先參看第36圖及第37圖,工件100接收在基板102處,基板102具有第一區域102A與第二區域102B。適當的基板102包括整塊矽基板,或者,基板102可包括元素半導體,如具有結晶結構之矽或鍺;化合物半導體,如矽 鍺、碳化矽,砷化鎵、磷化鎵、磷化銦、砷化銦,及/或銻化銦;或上述組合。所接收之工件100可具有已引入其上方之一或多個摻雜劑。在圖示之實施例中,工件100包括藉由離子植入製程252引入基板102的第一區域102A內之抗衝穿(anti punch-through;APT)摻雜劑。
參看第36圖及第38圖,方法500包括操作204,即在基板102上磊晶生長多個半導體材料層。在圖示之實施例中,第一半導體層302形成於基板102上,及第二半導體層304形成於第一半導體層302上。第一半導體層302包括第一半導體材料,且第二半導體層304包括不同於第一半導體材料之第二半導體材料。第一及第二半導體層藉由適用技術磊晶生長,如SEG。
參看第36圖及第39圖,方法500包括操作206,即在基板上形成鰭結構104,尤其是形成在第一區域102A中具有第一鰭特徵104A及在第二區域102B中具有第二鰭特徵104B之鰭結構。在用於說明之本實施例中,兩個示例性鰭特徵104A形成於第一區域102A中,及兩個示例性鰭特徵104B形成於第二區域102B中。
參看第36圖及第40圖,方法500繼續進行至操作208,即形成內襯(或第二硬遮罩)308以保護第二區域102B。硬遮罩308之形成包括沉積及圖案化,此類似於硬遮罩306之形成。然而,硬遮罩306是平面層,而硬遮罩308是三維的。硬遮罩308經圖案化以覆蓋第二區域102B且具有一開口以曝露第一區域102A。在第二區域102B中,硬遮 罩308與鰭特徵104B共形,從而使得第二鰭特徵104B之側壁亦被覆蓋。
參看第36圖及第41圖,方法500可繼續進行至操作210,即修整第一區域102A中之第一半導體層302,從而使第一區域102A中之第一半導體層302自第一鰭特徵104A之側壁水平凹陷。在本實施例中,凹陷尺寸受控制以使得隨後階段中之氧化特徵適合相同的空間。在一些實施例中,修整製程是設計利用蝕刻劑以選擇性地蝕刻第一半導體層302之第一半導體材料,而大體上不蝕刻第二半導體層304之第二半導體材料的蝕刻製程。在一些實例中,修整製程可包括濕式蝕刻、乾式蝕刻或上述組合。
參看第36圖及第42圖,方法500繼續進行至操作212,即對第一區域102A中之第一半導體層302執行選擇性氧化製程。選擇性氧化製程經設計以選擇性地氧化第一半導體層302之第一半導體材料,而不氧化第二半導體層304之第二半導體材料。在本實例中,第一半導體材料是矽鍺,而第二半導體材料是矽。據發現,SiGe及Si具有不同氧化速率及不同氧化特性,如溫度依賴性。在一個實例中,當氧化溫度經調控及在400℃與600℃之間的範圍中選擇時,對應的氧化製程是選擇性的,及大體上氧化SiGe而大體上不氧化Si。因此,第一半導體層302是藉由選擇性氧化而轉變為介電材料。其次,因為第二區域102B被圖案化硬遮罩308覆蓋,此遮罩作為氧化遮罩,且保護第二區域內的第一半導體層302之部分免受氧化。因而,藉由使用硬遮罩308之選 擇性氧化製程,第一半導體層302在第一區域102A中之部分轉化為介電層或尤其是第一鰭特徵104A下方的介電特徵(埋置式隔離特徵)118,而第一半導體層302中第二區域102B之部分仍是半導體材料,或者特別是第二鰭特徵104B下方之半導體特徵120。
又應注意的是,即使氧化製程經調控以具有選擇性,但矽之氧化速率大體上小於SiGe之氧化速率,但可不為零。在此情況下,第二半導體層304之半導體氧化物(如氧化矽)層312形成於第一鰭特徵104A之側壁上及第一區域102A中之基板側壁上。
參看第36圖及第43圖及第44圖,方法500繼續進行至操作214,即在基板102上形成隔離特徵116。可在鰭結構104之凸起主動區域之間形成淺溝槽隔離(Shallow trench isolation;STI)特徵或其他類型之隔離特徵,如第10圖所示。隔離特徵314之形成包括沉積及研磨。
參看第43圖,介電材料314沉積在隔離特徵溝槽內以形成隔離特徵。適當的充填介電材料314包括半導體氧化物、半導體氮化物、半導體氮氧化物、FSG、低介電常數介電材料,及/或上述組合。
參看第44圖,在介電材料314之沉積後可進行CMP製程。CMP製程可使用硬遮罩層306作為研磨停止層以阻止研磨半導體層304。在圖示之實施例中,CMP製程完全移除硬遮罩層306;在更多實施例中,在CMP製程之後,留下硬遮罩層306中之一些部分。在一些實施例中,CMP 製程包括兩個步驟,此等步驟利用不同漿料,此等漿料經調控以有效移除介電材料314及硬遮罩。在一些實施例中,CMP製程被合適的終點檢查機構所控制,以使得此製程在硬遮罩層306被移除之後停止。
參看第36圖及第45圖,方法500繼續進行至操作216,藉由凹陷化隔離特徵116以曝露第二半導體層304,從而形成凸起主動區域或鰭結構104,如第一特徵104A及第二鰭特徵104B。在圖示之實施例中,充填材料凹陷以曝露全部半導體層304。在此實施例中,凹陷化充填材料314以使得充填材料314之上表面比第二半導體層304之上表面低一距離,此距離根據所需鰭高度而被調控。任何合適的蝕刻技術可用以凹陷化充填材料314,此蝕刻技術包括乾式蝕刻、濕式蝕刻、RIE,及/或其他蝕刻方法;及在一示例性實施例中,異向性乾式蝕刻用以選擇性地移除充填材料314,而不蝕刻第二半導體層304。
在第一區域102A中,第一鰭特徵104A藉由埋置式隔離特徵118及STI特徵116而彼此電絕緣,且與基板102電絕緣。在第二區域102B中,第二鰭特徵104B經由半導體特徵120而電耦接至基板102。
參看第36圖及第46圖,方法500繼續進行至操作218,即形成虛擬閘極316。虛擬閘極316形成於通道區域112(由虛擬閘極分別覆蓋的鰭特徵中之部分)上方。形成虛擬閘極316可包括沉積包含多晶矽或其他適當材料的虛擬閘極層,及在微影製程中圖案化此層。閘極硬遮罩層 318可形成於虛擬閘極316上。在圖示之實施例中,沒有間隙壁形成於虛擬閘極316之側壁上或鰭特徵之側壁上,如第46圖中所示。
參看第36圖及第47圖,方法500繼續進行至操作502,即在半導體結構100上形成密封層342。密封層342形成於虛擬閘極316及鰭結構104的頂表面及側壁上,及形成於基板102上。在圖示之實施例中,密封層342是與半導體結構100之輪廓共形之介電材料層。密封層342可包括任何適當的介電材料,如半導體氧化物、半導體氮化物、半導體碳化物,半導體氮氧化物、其他適當材料,及/或上述組合。密封層342之形成包括適當的沉積,如乾式CVD或ALD。密封層342是介電材料之介電層,此材料不同於隨後之材料,以便提供蝕刻選擇性。例如,若隨後之介電材料層348是氧化矽,則密封層342是氮化矽層。
參看第36圖及第48圖至第50圖,方法500繼續進行至操作504,即形成源極及汲極溝槽346。在操作504中,源極及汲極區域中之鰭特徵部分被移除,產生源極及汲極溝槽,如第50圖中所示。因而,源極及汲極特徵能夠形成於源極及汲極溝槽中,此等溝槽對所需形狀產生限制。
參看第48圖,介電材料層348係藉由一程序形成於半導體結構100上,此程序包括沉積、研磨及選擇性回蝕。介電材料層348充填虛擬閘極之間的間隙,及鰭特徵之間的間隙。針對蝕刻選擇性而言,介電材料層348之組成不同於密封層342之組成。在本實例中,介電材料層348是氧 化矽層,而密封層342是氮化矽層。介電材料層348可藉由CVD或其他適當的沉積技術沉積,如FCVD,此技術類似於操作214中所述之FCVD。隨後,應用CMP製程以研磨介電材料層348及使頂表面平面化。CMP製程可在虛擬閘極之頂表面上停止,例如停止在閘極硬遮罩318上。此後,對介電材料層348應用選擇性回蝕製程,以使介電材料層凹陷直至曝露鰭結構104。回蝕製程是設計用以選擇性地蝕刻介電材料層348的蝕刻製程(如在介電材料層348是氧化矽之情況下選擇性地蝕刻氧化矽),而閘極堆疊316受密封層342及閘極硬遮罩318之保護而免於蝕刻。
參看第49圖,閘極間隙壁320形成於虛擬閘極316之側壁上。閘極間隙壁320之形成包括沉積及異向性蝕刻(如乾式蝕刻),此形成在組成及形成方面類似於方法200之操作218中之閘極間隙壁320的形成。然而,在此情況下,間隙壁320並非形成於鰭特徵(104A及104B)之側壁上,因為間隙壁320被介電材料層348圍繞。閘極間隙壁320可用以偏移隨後形成之源極/汲極特徵,及可用以設計或修改源極/汲極結構(接面)輪廓。閘極間隙壁320可包括任何適當的介電材料,如半導體氧化物、半導體氮化物、半導體碳化物、半導體氮氧化物、其他適當材料,及/或上述組合。
參看第50圖,藉由蝕刻凹陷化鰭特徵(104A及104B),從而形成源極及汲極溝槽346。蝕刻製程經設計具有適當的蝕刻劑以選擇性地蝕刻第二半導體層304之第二半導體材料。蝕刻製程可為濕式蝕刻、乾式蝕刻或上述組 合。在一些實例中,蝕刻製程可類似於在操作206中用以圖案化第二半導體層304之蝕刻製程。在一些實例中,蝕刻製程包括利用蝕刻劑之濕式蝕刻,此蝕刻劑如KOH、HCl或HNO3/H2O/HF。由閘極堆疊316覆蓋之鰭特徵受保護而免於蝕刻。在本實施例中,源極及汲極區域內的第二半導體材料層304經控制以大體上被移除,而非全部被移除,以使得磊晶生長能夠藉由使用第二半導體材料的剩餘部分作為磊晶種晶層而進行。亦可移除密封層342,如藉由適當的蝕刻而從源極及汲極溝槽346之側壁移除,此蝕刻諸如濕式蝕刻。
參看第36圖及第51圖到第53圖,方法500繼續進行至操作506,以在源極及汲極溝槽346中形成源極及汲極特徵110。源極/汲極(S/D)特徵110由磊晶生長形成。介電材料層348、虛擬閘極316及/或閘極間隙壁320將源極/汲極特徵110限制在源極/汲極區域中。特定而言,界定在介電材料層348中之源極及汲極溝槽346約束磊晶生長,以使得所形成的源極及汲極特徵110具有所需形狀,如第51圖中所示。在諸多實施例中,源極/汲極特徵110係由一或多個磊晶或磊晶製程形成,藉此Si特徵、SiGe特徵、SiC特徵,及/或其他適當的特徵在鰭結構104上以晶態生長。
源極/汲極特徵110可在磊晶製程期間藉由引入摻雜物種而得以原位摻雜,此等物種包括;P型摻雜劑,如硼或BF2;N型摻雜劑,如磷或砷;及/或其他適當摻雜劑,包括上述組合。如若源極/汲極特徵110並非原位摻雜,則 執行植入製程(亦即接面植入製程)以摻雜源極/汲極特徵110。在一示例性實施例中,NMOS中之源極/汲極特徵110包括SiCP或SiP,而PMOS中之彼等源極/汲極特徵110包括GeSnB(錫可用以調控晶格常數)及/或SiGeSnB。可執行一或多個退火製程以活化源極/汲極特徵110。適當的退火製程包括快速熱退火(rapid thermal annealing;RTA)及/或雷射退火製程。
參看第52圖,介電材料層348係藉由蝕刻製程而凹陷。在凹陷之後,源極及汲極特徵110之側壁至少部分地曝露。用以凹陷化介電材料層348之蝕刻製程可使用一蝕刻製程,此蝕刻製程類似於用於操作216中之蝕刻製程。在一個實例中,氧化矽之介電材料層348可藉由HF溶液、使用插入於隔離特徵116與介電材料層348之間用作蝕刻停止層的密封層342而移除。
參看第53圖,矽化物特徵326可形成於源極及汲極特徵110上。矽化物特徵326可包括以下材料,如矽化鎳、矽化鈷、矽化鎢、矽化鉭、矽化鈦、矽化鉑、矽化鉺、矽化鈀,或上述組合。矽化物特徵326可藉由矽化形成,如其中沉積金屬之自對準矽化物,在退火製程期間與矽反應,然後藉由蝕刻移除未反應之金屬。
參看第36圖及第54圖,方法500繼續進行至操作222,即在基板102上形成ILD 328。特定而言,ILD 328形成於源極/汲極區域中之源極/汲極特徵110上。ILD 328可圍繞虛擬閘極316及/或閘極間隙壁320,允許此等特徵被 移除且替換閘極形成於所產生之空穴中。ILD 328可包括任何合適的介電材料,如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、其他適當材料,及/或上述組合。在一些實施例中,ILD 328之形成包括沉積及CMP。ILD層328亦可屬於電互連結構中之一部分,此電互連結構電互連工件之裝置。在此種實施例中,ILD 328作為絕緣體,此絕緣體支持及使導電路線絕緣。
參看第36圖及第54圖,方法500繼續進行至操作224,即移除虛擬閘極316。在沉積ILD層328之後,虛擬閘極316被移除,從而在ILD層328中產生閘極溝槽(腔體)330,如第34圖中所示。
參看第36圖及第55圖,於工件100上形成閘極堆疊114。閘極堆疊114形成於工件100上,捲繞鰭結構104之通道區域112。閘極堆疊114由一程序形成於閘極溝槽330中,如包括沉積及CMP之程序。在一些實施例中,閘極堆疊114是高介電常數的金屬閘極,此閘極包括閘極介電層及閘極電極層,其中每一層可包括數個子層。
第55A圖是依據一些實施例建構之半導體結構100的剖視圖。第一鰭特徵104A包括埋置式絕緣半導體特徵118,而第二特徵104B包括半導體特徵120。埋置式隔離特徵118自側壁起由隔離特徵116水平圍繞,及垂直插入於源極及汲極特徵110與基板102之間。同樣,半導體特徵120自側壁起由隔離特徵116水平圍繞,及垂直插入於源極及汲極特徵110與基板102之間。源極及汲極特徵110形成於埋 置式隔離特徵118(或半導體特徵120)上。基板102包括第一半導體材料;半導體特徵120包括第二半導體材料;及第一及第二鰭特徵包括第三半導體材料。埋置式隔離特徵118包括第二半導體材料之氧化物。第二半導體材料之組成不同於第三半導體材料之組成。在一些實施例中,第二半導體材料之組成不同於第一半導體材料之組成。例如,第一及第三半導體材料包括矽。在本實施例中,第二半導體材料是化合物半導體材料,如矽鍺。為促進此實施例,半導體特徵120包括矽鍺;及埋置式隔離特徵118包括矽鍺氧化物。此外,在方塊202處,藉由APT離子植入形成的APT特徵350經安置於基板102中且位於埋置式隔離特徵118下方。
埋置式隔離特徵118係藉由選擇性氧化製程由第二半導體材料之半導體材料形成,此選擇性氧化製程經調控以氧化第二半導體材料,而非氧化第三半導體材料。特定而言,埋置式隔離特徵118是由第二半導體材料之半導體層的一部分轉化而成,此第二半導體材料形成半導體特徵120。埋置式隔離特徵118具有第一厚度T1,而半導體特徵120具有不同於第一厚度T1之第二厚度T2。在本實施例中,第二厚度T2小於第一厚度T1。為促進此實施例,第一厚度T1約為第二厚度T2之兩倍,偏差小於30%,或在較佳情況下偏差小於10%。在一些實施例中,第一厚度T1之範圍為自10奈米至30奈米,及第二厚度T2之範圍為自5奈米至15奈米。
本揭示案提供一種半導體結構及製造此半導體結構之方法。半導體結構包括具有核心區域及非核心區域之基板。核心區域用於核心裝置,如FinFET,及非核心區域用於諸如BJT、拾取器特徵、二極體或上述組合的裝置。半導體特徵120形成於非核心區域102B中及將鰭特徵104B電耦接至基板102,從而減少磊晶生長中之缺陷及空隙。埋置式隔離特徵118形成於核心區域102A中及使鰭特徵102A電絕緣於基板102。因此形成之埋置式絕緣層118提供鰭結構104A與基板102之完全絕緣,從而有效降低(或消除)抗衝穿效應、減少漏電,及增強裝置效能。
由此,本揭示案提供依據一些實施例之一半導體結構。半導體結構包括具有第一區域及第二區域之基板;形成於基板上之第一區域內的第一鰭特徵;及形成於基板上第二區域內之第二鰭特徵。第一鰭特徵包括第一半導體材料之第一半導體特徵形成於介電特徵上,此介電特徵是第二半導體材料之氧化物。第二鰭特徵包括第一半導體材料之第二半導體特徵,此特徵形成於第二半導體材料之第三半導體特徵上。
本揭示案亦提供依據一些其他實施例之半導體結構。半導體結構包括形成於基板上之第一及第二鰭特徵;形成於第一鰭特徵上之場效電晶體(field effect transistor;FET)。FET包括形成於第一鰭特徵上方之閘極堆疊;位於基板上方及安置於閘極堆疊相對側之源極/汲極區域;在第一鰭特徵中界定及位於閘極堆疊下方的通道區 域;及垂直安置在通道區域與基板之間的埋置式隔離特徵,其中埋置式隔離特徵包括化合物半導體之氧化物。半導體結構進一步包括形成於第二鰭特徵上之半導體裝置,其中此半導體裝置選自由以下各者組成之群組:二極體、接觸拾取器、雙極接面電晶體,及上述組合,其中第二鰭特徵安置在化合物半導體材料之半導體特徵上。
本揭示案提供製造依據一些實施例之非平面電路裝置的一方法。此方法包括在基板上形成第一半導體材料之第一半導體層,及在第一半導體層上形成第二半導體材料之第二半導體層,其中第二半導體材料不同於第一半導體材料;圖案化第一及第二半導體層,從而形成第二半導體材料之第一鰭式半導體特徵,及在第一區域中形成第一半導體材料之第一半導體特徵,及在第二區域中形成第二半導體材料之第二鰭式半導體特徵及第一半導體材料之第二半導體特徵;形成硬遮罩,其中硬遮罩保護第一區域中之第二鰭式半導體特徵及第二半導體特徵,及此硬遮罩具有一開口,此開口曝露第一鰭式半導體特徵及第二半導體特徵;及對第一半導體層執行選擇性氧化製程,從而使第一半導體特徵轉化成為介電特徵。
前述事項概括數個實施例之特徵,以便熟習此項技術者可更佳地理解本揭示案之態樣。熟習此項技術者應瞭解,本揭示案可易於用作設計或修正其他製程及結構以實現與本案介紹之實施例相同目的及/或達到與其相同的優勢之基礎。熟習此項技術者亦應瞭解,此種同等構造不脫離本 揭示案之精神及範疇,及可在不脫離本揭示案之精神及範疇之情況下在本案中進行多種變更、取代及更動。
100‧‧‧工件
102‧‧‧基板
102A‧‧‧第一區域
102B‧‧‧第二區域
104A‧‧‧第一鰭特徵
104B‧‧‧第二鰭特徵
106‧‧‧鰭式場效電晶體
108‧‧‧非核心裝置
110‧‧‧源極/汲極區域
112‧‧‧通道區域
114‧‧‧閘極堆疊
116‧‧‧淺溝槽隔離特徵
118‧‧‧埋置式隔離特徵
120‧‧‧半導體特徵
122‧‧‧平面
124‧‧‧平面
T1‧‧‧第一厚度
T2‧‧‧第二厚度

Claims (1)

  1. 一種半導體結構,包含:一基板,具有一第一區域及一第二區域;一第一鰭特徵,形成於該基板上之該第一區域內;及一第二鰭特徵,形成於該基板上之該第二區域內,其中該第一鰭特徵包括一第一半導體材料之一第一半導體特徵形成於一介電特徵上,該介電特徵為一第二半導體材料之一氧化物;及該第二鰭特徵包括該第一半導體材料之一第二半導體特徵形成於該第二半導體材料之一第三半導體特徵上。
TW105136004A 2015-11-16 2016-11-04 半導體結構 TWI703707B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/942,740 2015-11-16
US14/942,740 US9960273B2 (en) 2015-11-16 2015-11-16 Integrated circuit structure with substrate isolation and un-doped channel

Publications (2)

Publication Number Publication Date
TW201729399A true TW201729399A (zh) 2017-08-16
TWI703707B TWI703707B (zh) 2020-09-01

Family

ID=58690293

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105136004A TWI703707B (zh) 2015-11-16 2016-11-04 半導體結構

Country Status (3)

Country Link
US (1) US9960273B2 (zh)
CN (1) CN106711222A (zh)
TW (1) TWI703707B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI775197B (zh) * 2017-12-29 2022-08-21 美商美光科技公司 用於形成高深寬比之開口之方法、用於形成高深寬比之特徵之方法、及相關半導體裝置

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10403714B2 (en) * 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices
US10679988B2 (en) 2017-09-18 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
US10680109B2 (en) * 2017-09-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS semiconductor device having fins and method of fabricating the same
US10037989B1 (en) * 2017-10-26 2018-07-31 International Business Machines Corporation III-V lateral bipolar integration with silicon
US10707333B2 (en) 2018-07-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11217484B2 (en) * 2018-10-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and related methods
US11031291B2 (en) 2018-11-28 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US10833079B2 (en) * 2019-01-02 2020-11-10 International Business Machines Corporation Dual transport orientation for stacked vertical transport field-effect transistors
EP3840035A1 (en) * 2019-12-19 2021-06-23 Imec VZW A method for semiconductor device processing
US11264470B2 (en) * 2020-02-27 2022-03-01 Globalfoundries U.S. Inc. Lateral bipolar junction transistor device and method of making such a device
US11380776B2 (en) 2020-09-29 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor device with gate spacer structure

Family Cites Families (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4421811B2 (ja) * 2002-06-25 2010-02-24 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
US6972466B1 (en) * 2004-02-23 2005-12-06 Altera Corporation Bipolar transistors with low base resistance for CMOS integrated circuits
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US8188551B2 (en) * 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
JP4256381B2 (ja) * 2005-11-09 2009-04-22 株式会社東芝 半導体装置
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8048723B2 (en) 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
US8776734B1 (en) 2008-05-19 2014-07-15 Innovative Environmental Solutions, Llc Remedial system: a pollution control device for utilizing and abating volatile organic compounds
US8232186B2 (en) * 2008-05-29 2012-07-31 International Business Machines Corporation Methods of integrating reverse eSiGe on NFET and SiGe channel on PFET, and related structure
US8310027B2 (en) * 2008-06-12 2012-11-13 Infineon Technologies Ag Electronic device and manufacturing method thereof
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8513723B2 (en) * 2010-01-19 2013-08-20 International Business Machines Corporation Method and structure for forming high performance MOS capacitor along with fully depleted semiconductor on insulator devices on the same chip
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8609495B2 (en) * 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8236634B1 (en) * 2011-03-17 2012-08-07 International Business Machines Corporation Integration of fin-based devices and ETSOI devices
US20160211346A1 (en) * 2011-05-11 2016-07-21 Mie Fujitsu Semiconductor Limited Epitaxial Channel Transistors and Die With Diffusion Doped Channels
US8618556B2 (en) 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8609518B2 (en) 2011-07-22 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing source/drain regions from un-relaxed silicon layer
KR101891373B1 (ko) * 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8278184B1 (en) * 2011-11-02 2012-10-02 United Microelectronics Corp. Fabrication method of a non-planar transistor
DE112011105972B4 (de) * 2011-12-20 2023-05-25 Google Llc III-V Schichten für N-Typ- und P-Typ-MOS-Source/Drain-Kontakte
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9035426B2 (en) * 2011-12-28 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like BJT
US8377779B1 (en) * 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8551841B2 (en) * 2012-01-06 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. IO ESD device and methods for forming the same
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
KR101912582B1 (ko) * 2012-04-25 2018-12-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9041115B2 (en) * 2012-05-03 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for FinFETs
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8610241B1 (en) * 2012-06-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Homo-junction diode structures using fin field effect transistor processing
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8883570B2 (en) * 2012-07-03 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate FETs and methods for forming the same
US9947773B2 (en) * 2012-08-24 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement with substrate isolation
US8633516B1 (en) 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US8497177B1 (en) 2012-10-04 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8802513B2 (en) * 2012-11-01 2014-08-12 International Business Machines Corporation Fin field effect transistors having a nitride containing spacer to reduce lateral growth of epitaxially deposited semiconductor materials
US8987823B2 (en) * 2012-11-07 2015-03-24 International Business Machines Corporation Method and structure for forming a localized SOI finFET
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
EP2741320B1 (en) * 2012-12-05 2020-06-17 IMEC vzw Manufacturing method of a finfet device with dual-strained channels
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9559181B2 (en) * 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US9209303B2 (en) * 2013-01-14 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US8901607B2 (en) * 2013-01-14 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9006786B2 (en) * 2013-07-03 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9318606B2 (en) * 2013-01-14 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same
US9257559B2 (en) * 2014-01-15 2016-02-09 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9735255B2 (en) * 2013-01-18 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a finFET device including a stem region of a fin element
KR102049774B1 (ko) * 2013-01-24 2019-11-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US9508716B2 (en) * 2013-03-14 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor device
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9006842B2 (en) * 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
US9685380B2 (en) * 2013-05-31 2017-06-20 Stmicroelectronics, Inc. Method to co-integrate SiGe and Si channels for finFET devices
US9035277B2 (en) * 2013-08-01 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US8872161B1 (en) * 2013-08-26 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate circuit with nanowires
US9553012B2 (en) * 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
US9236480B2 (en) * 2013-10-02 2016-01-12 Globalfoundries Inc. Methods of forming finFET semiconductor devices using a replacement gate technique and the resulting devices
US9263583B2 (en) * 2013-10-14 2016-02-16 Globalfoundries Inc. Integrated finFET-BJT replacement metal gate
US9159833B2 (en) * 2013-11-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9184087B2 (en) * 2013-12-27 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming FinFETs with different fin heights
US9142676B2 (en) * 2013-12-30 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor liner of semiconductor device
US9406778B2 (en) * 2014-01-15 2016-08-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9219116B2 (en) * 2014-01-15 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
JP6298307B2 (ja) * 2014-02-03 2018-03-20 エイブリック株式会社 半導体メモリ装置およびその製造方法
US9553171B2 (en) * 2014-02-14 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9548303B2 (en) * 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9209095B2 (en) * 2014-04-04 2015-12-08 International Business Machines Corporation III-V, Ge, or SiGe fin base lateral bipolar transistor structure and method
US9406804B2 (en) * 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9209185B2 (en) * 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US10468528B2 (en) * 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
US9443769B2 (en) * 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9257428B2 (en) * 2014-04-24 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9721955B2 (en) * 2014-04-25 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device having an oxide feature
US9178067B1 (en) * 2014-04-25 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9966471B2 (en) * 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
US9502565B2 (en) * 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
US9881993B2 (en) * 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
KR20160005550A (ko) * 2014-07-07 2016-01-15 삼성전자주식회사 반도체 소자
CN105261645B (zh) * 2014-07-16 2020-02-21 联华电子股份有限公司 半导体装置及其制作方法
CN105428238B (zh) * 2014-09-17 2019-01-22 中芯国际集成电路制造(上海)有限公司 一种FinFET器件及其制作方法和电子装置
US9484461B2 (en) * 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9583598B2 (en) * 2014-10-03 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9349866B2 (en) * 2014-10-10 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9548362B2 (en) * 2014-10-10 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. High mobility devices with anti-punch through layers and methods of forming same
US9508858B2 (en) * 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9508719B2 (en) * 2014-11-26 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same
US9780214B2 (en) * 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9685555B2 (en) * 2014-12-29 2017-06-20 Stmicroelectronics, Inc. High-reliability, low-resistance contacts for nanoscale transistors
US9881830B2 (en) * 2015-01-06 2018-01-30 Globalfoundries Inc. Electrically insulated fin structure(s) with alternative channel materials and fabrication methods
US9761723B2 (en) * 2015-01-08 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of finFET device
US9806070B2 (en) * 2015-01-16 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device layout, memory device layout, and method of manufacturing semiconductor device
US9953836B2 (en) * 2015-01-28 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer above anti-punch through (APT) implant region to improve mobility of channel region of fin field effect transistor (FinFET) device structure
US9324656B1 (en) * 2015-03-09 2016-04-26 Globalfoundries Inc. Methods of forming contacts on semiconductor devices and the resulting devices
US9711535B2 (en) * 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9577101B2 (en) * 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
CN106033725B (zh) * 2015-03-13 2020-10-16 联华电子股份有限公司 半导体元件及其制作工艺
US9418994B1 (en) * 2015-03-26 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (FinFET) device structure
KR102352155B1 (ko) * 2015-04-02 2022-01-17 삼성전자주식회사 반도체 소자 및 그 제조방법
US9590102B2 (en) * 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9461110B1 (en) * 2015-04-30 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9773786B2 (en) * 2015-04-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9590077B2 (en) * 2015-05-14 2017-03-07 International Business Machines Corporation Local SOI fins with multiple heights
KR102415327B1 (ko) * 2015-06-01 2022-06-30 삼성전자주식회사 비활성-핀을 갖는 반도체 소자 및 그 형성 방법
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
KR102449901B1 (ko) * 2015-06-23 2022-09-30 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9773705B2 (en) * 2015-06-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET channel on oxide structures and related methods
US10269651B2 (en) * 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US9953881B2 (en) * 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
US10147805B2 (en) * 2015-07-31 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of semiconductor device structure with a dummy fin structure
US9859279B2 (en) * 2015-08-17 2018-01-02 International Business Machines Corporation High-k gate dielectric and metal gate conductor stack for fin-type field effect transistors formed on type III-V semiconductor material and silicon germanium semiconductor material
US9666581B2 (en) * 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US20170084454A1 (en) * 2015-09-17 2017-03-23 International Business Machines Corporation Uniform height tall fins with varying silicon germanium concentrations
US10032678B2 (en) * 2015-10-15 2018-07-24 Qualcomm Incorporated Nanowire channel structures of continuously stacked nanowires for complementary metal oxide semiconductor (CMOS) devices
US9711533B2 (en) * 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9947658B2 (en) * 2015-10-28 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9449882B1 (en) * 2015-10-29 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9754840B2 (en) * 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9773871B2 (en) * 2015-11-16 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9887269B2 (en) * 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10164012B2 (en) * 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9935199B2 (en) * 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
US9847425B2 (en) * 2016-03-24 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with a semiconductor strip as a base
US9613949B1 (en) * 2016-06-27 2017-04-04 United Microelectronics Corp. Bipolar junction transistor and diode

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI775197B (zh) * 2017-12-29 2022-08-21 美商美光科技公司 用於形成高深寬比之開口之方法、用於形成高深寬比之特徵之方法、及相關半導體裝置

Also Published As

Publication number Publication date
CN106711222A (zh) 2017-05-24
US9960273B2 (en) 2018-05-01
US20170141220A1 (en) 2017-05-18
TWI703707B (zh) 2020-09-01

Similar Documents

Publication Publication Date Title
US10622464B2 (en) Integrated circuit structure with substrate isolation and un-doped channel
US10103264B2 (en) Channel strain control for nonplanar compound semiconductor devices
US11145553B2 (en) Nonplanar device and strain-generating channel dielectric
TWI703707B (zh) 半導體結構
US10468528B2 (en) FinFET device with high-k metal gate stack
US10818658B2 (en) Integrated circuit with a gate structure and method making the same
TW201541525A (zh) 鰭型場效電晶體元件及其製造方法
US20210305426A1 (en) Structure and Method for Integrated Circuit
KR20160007333A (ko) 게이트 산화물 층을 구비하는 finfet 디바이스