TW201712767A - 半導體元件及其製作方法 - Google Patents

半導體元件及其製作方法 Download PDF

Info

Publication number
TW201712767A
TW201712767A TW104131396A TW104131396A TW201712767A TW 201712767 A TW201712767 A TW 201712767A TW 104131396 A TW104131396 A TW 104131396A TW 104131396 A TW104131396 A TW 104131396A TW 201712767 A TW201712767 A TW 201712767A
Authority
TW
Taiwan
Prior art keywords
layer
forming
semiconductor device
opening
plug
Prior art date
Application number
TW104131396A
Other languages
English (en)
Other versions
TWI660438B (zh
Inventor
呂佳霖
陳俊隆
廖琨垣
張峰溢
黃偉豪
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Priority to TW104131396A priority Critical patent/TWI660438B/zh
Priority to US14/919,716 priority patent/US9773890B2/en
Publication of TW201712767A publication Critical patent/TW201712767A/zh
Priority to US15/677,029 priority patent/US10103250B2/en
Application granted granted Critical
Publication of TWI660438B publication Critical patent/TWI660438B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種半導體元件及其形成方法。其中,該半導體元件包含一鰭狀結構,一閘極結構,一磊晶層,一層間介電層、一第一插塞以及一保護層。該鰭狀結構設置在一基底上。該閘極結構橫跨該鰭狀結構。該磊晶層設置在該鰭狀結構內且鄰接該閘極結構。該層間介電層覆蓋在該基底及該鰭狀結構上。該第一插塞是設置在該層間介電層內,並且電連接該磊晶層。該保護層是設置在該閘極結構與該第一插塞之間。

Description

半導體元件及其製作方法
本發明是關於一種半導體元件及其形成方法,尤指一種具有插塞結構的半導體元件及其形成方法。
隨著半導體製程之線寬不斷縮小,半導體元件之尺寸不斷地朝微型化發展,然而,由於目前半導體製程之線寬微小化至一定程度後,具金屬閘極之半導體結構的整合製程亦浮現出更多挑戰與瓶頸。
其中,為了使微型化的半導體元件滿足高度集成及高速運作的效果,習用技術利用微型化的佈線通孔與層間介電層形成多層互聯的配線結構,以分別電性連接電晶體的金屬閘極以及源極/汲極,作為和對外電子訊號的輸入/輸出端。然而,習用技術在結合金屬閘極與接觸插塞等元件的製程時仍因光學的限制遇到一些瓶頸,例如所形成電連接源極/汲極的接觸插塞常因所設置的位置不佳而直接貫穿金屬閘極,影響元件的整體電性表現。有鑑於此,如何有效改良半導體元件製程與架構即為現今一重要課題。
本發明之一目的在於提供一種形成具有插塞結構的半導體元件的方法,以改善整體半導體元件的電性表現。
本發明之另一目的在於提供一種具有插塞結構的半導體元件,其設有保護層,有利於獲得優化的電性表現。
為達上述目的,本發明之一較佳實施例提供一種形成半導體元件的方法,其包含以下步驟。首先,提供一基底,該基底上形成有一鰭狀結構。接著,形成一閘極結構,該閘極結構橫跨該鰭狀結構。然後,於該鰭狀結構內形成一磊晶層,該磊晶層鄰接該閘極結構。之後,於該閘極結構及該鰭狀結構上形成一層間介電層,並且,於該層間介電層內形成一第一開口,以暴露出該磊晶層。最後,於該第一開口的側壁上形成一保護層,並且形成填滿該第一開口的第一插塞。
為達上述目的,本發明之一較佳實施例提供一種半導體元件。該半導體元件包含一鰭狀結構,一閘極結構,一磊晶層,一層間介電層、一第一插塞以及一保護層。該鰭狀結構設置在一基底上。該閘極結構橫跨該鰭狀結構。該磊晶層設置在該鰭狀結構內且鄰接該閘極結構。該層間介電層覆蓋在該基底及該鰭狀結構上。該第一插塞是設置在該層間介電層內,並且電連接該磊晶層。該保護層是設置在該閘極結構與該第一插塞之間。
本發明的半導體元件及其形成方法,其主要是在形成暴露出源極/汲極區的開口之後,依序於開口內形成鍺蓋層以及僅位在該開口側壁的保護層。藉此,在後續進行其他開口的蝕刻製程中,可利用該保護層遮蔽該開口,避免後續在利用蝕刻製程移除填入的犧牲材料時,直接接觸該開口的該側壁而導致損傷。
為使熟習本發明所屬技術領域之一般技藝者能更進一步了解本發明,下文特列舉本發明之數個較佳實施例,並配合所附圖式,詳細說明本發明的構成內容及所欲達成之功效。
請參照第1圖至第11圖,所繪示者為本發明第一較佳實施例中形成半導體裝置的製程示意圖,其中,第1圖、第6圖及第10圖為半導體元件形成階段的上視圖,第2圖、第7圖及第11圖則分別為第1圖、第6圖及第10圖沿剖面線A-A’的剖面示意圖。半導體元件形成階段的剖面示意圖。首先,提供一基底300,例如一矽基底(silicon substrate)、磊晶矽(epitaxial silicon substrate)、矽鍺半導體基底(silicon germanium substrate)、碳化矽基底(silicon carbide substrate)或矽覆絕緣(silicon on insulation, SOI)基底,且基底300上形成有至少一鰭狀結構301,以及橫跨基底300的至少一閘極結構340。本實施例雖是以形成三根鰭狀結構301為例,但其數量並不以此為限,可依據產品需求進行調整,例如可形成一根或一根以上的鰭狀結構301於基底300上。
具體來說,鰭狀結構301的形成方法一般可利用微影暨蝕刻(photolithography-etching process, PEP)製程或多重曝光(multi-patterning)等製程,較佳是利用間隙壁自對準雙圖案法(spacer self-aligned double-patterning, SADP),也就是側壁圖案轉移(sidewall image transfer,SIT)技術,以在基底300上形成複數個淺溝渠(shallow trench, 未繪示),並接著於該些淺溝渠中填入一絕緣層,形成淺溝隔離(shallow trench isolation, STI)302,同時使得突出於該淺溝隔離的基底300形成鰭狀結構301,如第1圖所示。藉此,淺溝隔離302可環繞鰭狀結構301。然而,鰭狀結構301的形成方法並不以前述製程為限,在另一實施例中,鰭狀結構301的形成方式也可選擇先形成一圖案化硬遮罩層(未繪示)於基底300上,再利用一磊晶製程於暴露於該圖案化遮罩層外的基底300上長出例如包含矽或矽鍺等的半導體層(未繪示),以作為相對應的鰭狀結構。或者,在其他實施例中,也可省略該鰭狀結構,直接在一平面(plannar)基底(未繪示)上形成閘極結構(未繪示)。
接著,於基底300上形成閘極結構340。於一實施例中,閘極結構340包含一閘極介電層(gate dielectric layer)341、一虛置閘極(dummy gate)342、一蓋層(capping layer)343、一襯墊層(liner layer)344、一側壁子(spacer)345以及一輕摻雜源極/汲極(LDD)346。其中,閘極介電層341例如可包含氧化矽(silicon oxide)或氮化矽(silicon nitride)。虛置閘極342則可包含不具有任何摻質多晶矽(undoped polysilicon)材料、具有摻質的多晶矽材料、非晶矽材料,或者也可以是上述材料的組合。蓋層343可具有一單層結構或一多層結構,如第2圖所示,例如可包含二氧化矽、氮化矽、碳化矽或氮氧化矽;襯墊層344包含氧化矽;側壁子345可具有一單層結構或多層結構,例如是包含高溫氧化矽層(high temperature oxide, HTO)、氮化矽、氧化矽或氮氧化矽或使用六氯二矽烷(hexachlorodisilane, Si2 Cl6 )形成的氮化矽(HCD-SiN),但不以此為限。
具體來說,在一實施例中,閘極結構340的形成步驟,例如包含先在基底300上形成依序堆疊的一介電材料層(未繪示)、一虛置閘極材料層(未繪示)、一帽蓋材料層(未繪示)後,再圖案化這些堆疊層,進而形成一閘極堆疊結構(未繪示)。接著,在該閘極堆疊結構的側壁形成襯墊層344,並在該閘極堆疊結構兩側的鰭狀結構301內形成輕摻雜源極/汲極346,最後在襯墊層344的側壁上形成側壁子345。
接著,在閘極結構340兩側的鰭狀結構301內形成鄰接該閘極結構340的一磊晶層347,作為源極/汲極區。具體來說,例如是先進行一蝕刻製程,例如是乾蝕刻、濕蝕刻或依序進行乾蝕刻及濕蝕刻,以在閘極結構340兩側的鰭狀結構301中形成至少一凹槽(recess)360,如第3圖所示。接著,進行一選擇性磊晶成長(selective epitaxial growth, SEG)製程,以於凹槽360中形成磊晶層347,如第4圖所示。
根據後續形成金氧半導體(MOS)電晶體的類型不同,磊晶層347可以具有不同的材質。舉例來說,若該金氧半導體電晶體為一P型電晶體(PMOS),磊晶層347可選擇包含矽化鍺(silicon germanium, SiGe)、矽化鍺硼(silicon-germanium-boron, SiGeB)或矽化鍺錫(silicon-germanium-tin, SiGeSn),並且可以用同步(in-situ)選擇性磊晶成長製程進行P型離子摻雜,形成P+的矽鍺磊晶層等,同時可省略後續該P型電晶體的源極/汲極佈植步驟。另一方面,若該金氧半導體電晶體為一N型電晶體(NMOS)時,則磊晶層347則可選擇包含碳化矽(silicon carbide, SiC)、碳磷化矽(silicon carbide-phosphate, SiCP)或磷化矽(silicon phosphate, SiP),且亦可以用同步選擇性磊晶成長製程進行N型離子摻雜,形成N+的碳化矽磊晶層等,但不以此為限。此外,在一實施例中,可選擇在形成磊晶層347之後或之前,再額外再進行一離子佈植製程以在磊晶層347中形成適當的摻質,形成該源極/汲極區;或是,在另一實施例中,也可選擇以漸層的方式形成摻質或異質原子(例如鍺原子或碳原子)等,或是直接省略磊晶層,直接於閘極結構340兩側的鰭狀結構301或基底300內進行離子佈植製程,形成源極/汲極區(未繪示)。
然後,在基底300上形成一層間介電層380,並進行一金屬閘極置換(replacement metal gate, RMG)製程,以將閘極結構340的虛置閘極342轉換為一金屬閘極。具體來說,該金屬閘極的形成方式例如包含先在基底300上全面性地形成一層間介電材料層(未繪示),例如是一氧化矽層,以覆蓋閘極結構340,透過一平坦化製程,如化學機械研磨製程、蝕刻製程或兩者之組合,部分移除該層間介電材料層至暴露閘極結構340,然後移除部份的襯墊層344、部份的側壁子345以及蓋層343,以形成層間介電層380。之後,再進行一選擇性的乾蝕刻或濕蝕刻製程,去除虛置閘極342及閘極介電層341,以形成一閘極溝渠(未繪示)。最後,依序於該閘極溝渠內填入一高介電常數(high dielectric constant, high-k)層(未繪示)、一功函數金屬材料層(未繪示)以及一低電阻值金屬材料層(未繪示),使其至少填入該閘極溝渠,再透過一化學機械研磨製程移除該閘極溝渠外的上述材料層,形成一閘極介電層(未繪示)、一功函數金屬層(未繪示)以及一金屬層(未繪示)。最後再進行一回蝕刻製程,移除一部分的該閘極介電層、該功函數金屬層該以及該金屬層,形成一閘極介電層348、一功函數金屬層349以及一金屬層350,如第5圖所示,並形成一蓋層351。
然而,本發明中該金屬閘極的形成方式並不以前述製程為限,還可包含其他步驟。舉例來說,在一實施例中,可選擇在該高介電常數層與該功函數金屬材料層之間進一步形成一底阻障材料層(未繪示),例如是包含鉭與氮化鉭(Ta/TaN)或鈦與氮化鈦(Ti/TiN)等金屬材質;或者是選擇在該功函數金屬材料層與該低電阻值金屬材料層之間額外形成一頂阻障材料層(未繪示),其大體上包含與該底阻障層相同的金屬材質。該底阻障材料層及/或該頂阻障材料層可透過後續的化學機械研磨製程而形成僅位在該閘極溝渠內的一底阻障層(未繪示)及/或一頂阻障層(未繪示)。
此外,在本發明的一實施例中,閘極介電層348例如是包含氧化鉿(hafnium oxide,HfO2 )、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO4 )或矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)等高介電常數材料;而功函數金屬層349較佳用以調整形成金屬閘極的功函數,其可視該金氧半導體電晶體的類型而做調整。舉例說明,若該金氧電晶體為N型電晶體,功函數金屬層349可選用功函數為3.9電子伏特(eV)~4.3 eV的金屬材料,如鋁化鈦(TiAl)、鋁化鋯(ZrAl)、鋁化鎢(WAl)、鋁化鉭(TaAl)、鋁化鉿(HfAl)或碳化鈦鋁(TiAlC)等,但不以此為限;若該金氧電晶體為P型電晶體,功函數金屬層349則可選用功函數為4.8 eV~5.2 eV的金屬材料,如氮化鈦(TiN)、氮化鉭(TaN)或碳化鉭(TaC)等,但不以此為限。金屬層350則例如是包含鋁(Al)、鈦、鉭或鎢(W)等,但不以此為限。
然而,本領域通常知識者亦應了解,閘極結構340的形成方式並不限於前述的製程,亦可能包含其他步驟。例如,在另一實施例中,還可選擇在形成該層間介電材料層之前,先於基底300上形成單層或多層的一接觸洞蝕刻停止層(contact etch stop layer, CESL, 未繪示),以進一步對閘極結構340的通道區施加所需的壓縮應力或是伸張應力。再者,前述實施例中的閘極結構340雖是採用「後閘極(gate-last)製程」並搭配「後高介電常數介電層(high-k last)製程」為實施樣態進行說明,但在其他實施例中,亦可選擇配合「前閘極(gate first)」、「前高介電常數層(high-k first)」或直接於該基底上形成一金屬閘極結構(未繪示),該金屬閘極結構至少包含一功函數金屬層(未繪示)及一金屬閘極(未繪示)。或者,若原始閘極結構340已能符合產品需求,也可選擇性省略此金屬閘極置換步驟。
後續,進行接觸孔蝕刻製程。具體來說,先在層間介電層380上形成一層間介電層400,其可包含相同於層間介電層380的材質或是其他適合的介電材質。接著在層間介電層400以及層間介電層380中形成至少一開口440以暴露下方的該源極/汲極區(即磊晶層347)。該接觸孔蝕刻製程,例如是利用微影蝕刻製程,其包含先在層間介電層400上形成一阻擋圖案(slot cut pattern)420,以及部分重疊阻擋圖案420的一圖案化光阻層(未繪示),接著進行一蝕刻製程,同時以阻擋圖案420及該圖案化光阻層為遮罩,去除部分層間介電層400與閘極結構340兩側的層間介電層380,以形成複數個開口440,如第6圖所示。值得說明的是,在本實施例中,阻擋圖案420恰好是橫跨在該圖案化光阻層的開口圖案的下方,並在一投影方向上與開口440部分重疊,因而可將開口440分隔成兩部分,如第6圖所示。再者,另需說明的是,本實施例的開口440較佳具有較大的孔徑,使得側壁子345以及磊晶層347(亦即該源極/汲極區)的頂表面347a可被完全暴露,如第7圖所示。也就是說,開口440是由側壁子345、層間介電層400共同定義而形成。
隨後,可選擇先進行一清洗製程,去除開口440內經由前述微影蝕刻製程後所剩餘的殘留物,再進行另一離子佈植製程,例如是一預非晶化離子佈植(pre-amorphous implantation, PAI)製程P,以在磊晶層347(亦即該源極/汲極區)的至少一部分植入適當摻質,較佳是晶格較大的摻質,如鍺離子。也就是說,本實施例是在磊晶層347(亦即該源極/汲極區)的至少一部份,較佳是在磊晶層347的至少上半部或全部,形成一帽蓋層,例如是一鍺蓋層(germanium cap layer)352,如第7圖所示。意即,於鍺層352下方形成帽蓋層352,以進一步保護磊晶層347(亦即該源極/汲極區),避免磊晶層347於後續製程中,如插塞製程等,受到損傷。此外,在一實施例中,該摻質亦可以選擇以漸層的方式形成。
然後,於基底300及鰭狀結構301上形成一保護材料層(protection material layer)353,其是全面地覆蓋在層間介電層400的上表面以及開口440的側壁與底部上,如第8圖所示。在一實施例中,保護材料層353可以具有一單層結構或是一多層結構,並較佳是包含一絕緣材料,如氮化矽或碳氮化矽(SiCN)等,但不以此為限。需特別注意的是,為避免影響後續元件的形成,如插塞結構等,保護材料層353較佳具有較小的厚度t,其大體上約介於10埃(angstroms, Å)至15埃之間,如第8圖所示,但不以此為限。
接著,進行一回蝕刻製程,例如是利用乾蝕刻、濕蝕刻或依序進行乾蝕刻及濕蝕刻製程,移除位在層間介電層400的上表面以及開口440底部的保護材料層353,形成僅位在開口440側壁上的保護層354,如第9圖所示。值得注意的是,保護層354直接接觸側壁子345。之後,則進行另一接觸孔製程,在層間介電層400中形成一開口480,以暴露下方的閘極結構340。具體來說,先在基底300的層間介電層400上形成一圖案化犧牲遮罩層460並填滿開口420,圖案化犧牲遮罩層460具有可定義開口480的圖案,接著進行一蝕刻製程,去除部分的層間介電層400以及閘極結構340的部分蓋層351,以形成開口480,如第9圖所示。
最後,完全移除圖案化犧牲遮罩層460,並可選擇性進行一清洗製程,例如以氬氣(Ar)對開口440、480的表面進行清洗,則可繼續進行插塞製程,以在開口440、480內分別形成直接電連接磊晶層347(即該源極/汲極區)及閘極結構340的插塞結構500、520。需說明的是,移除前述保護材料層353的方法,並不以前述回蝕刻製程為限,而可選擇包含其他製程。舉例來說,在另一實施例中,也可選擇省略前述的回蝕刻製程,而是直接在進行開口480的清洗製程時,一併利用氬氣洗去位在層間介電層400的上表面以及開口440底部的保護材料層353,而形成僅位在開口440側壁上的保護層354。
在該清洗製程後,則可於開口440內選擇性地進行金屬矽化物(silicidation)製程。之後,則可繼續進行插塞製程,以在開口440、480內分別形成直接電連接磊晶層347(即該源極/汲極區)及閘極結構340的插塞結構500、520。插塞結構500、520的形成方法,例如是先於開口520、580內依序形成一阻障材料層(未繪示)以及一金屬材料層(未繪示),並透過一平坦化製程,如化學機械拋光製程、蝕刻製程或兩者之組合,移除一部分的該金屬材料層及該阻障材料層,以同時形成插塞結構500、520。因此,插塞結構500、520可與層間介電層400的頂表面齊平,並分別包含一阻障層(barrier layer)501、521以及一接觸金屬層(contact metal layer)502、522,如第11圖所示。其中,插塞結構500可直接且完全接觸保護層354,而插塞結構520則是直接接觸層間介電層400。在本發明的一實施例中,阻障層501、521例如是鈦層、氮化鈦層、鉭層或氧化鉭層,而接觸金屬層502、522例如是鎢或其他低電阻之金屬,但不以此為限。
由此,即可完成本發明一實施例中提供的半導體元件。本發明主要是在形成暴露出源極/汲極區的開口之後,依序於開口內形成鍺蓋層以及僅位在該開口側壁的保護層。藉此,在後續進行其他開口的蝕刻製程中,可利用該保護層遮蔽該開口,避免後續在利用蝕刻製程移除填入的犧牲材料時,直接接觸該開口的該側壁而導致損傷。需注意的是,該保護層較佳是具有適當的厚度,例如是介於10埃(angstroms, Å)至15埃之間,以避免影響後續元件的形成,如插塞結構等元件。
然而,本領域者應可輕易了解,本發明的半導體元件亦可能以其他方式形成,並不限於前述的製作步驟。是以,下文將進一步針對本發明半導體元件及其形成方法的其他實施例或變化型進行說明。且為簡化說明,以下說明主要針對各實施例不同之處進行詳述,而不再對相同之處作重覆贅述。此外,本發明之各實施例中相同之元件係以相同之標號進行標示,以利於各實施例間互相對照。
請參照第12圖所示,其繪示本發明第二實施例中形成半導體元件之方法的步驟示意圖。本實施例的半導體元件的形成方法大體上和前述第一實施例相同,是依序在基底300上形成鰭狀結構301、閘極結構340、磊晶層347及開口420等。並且,本領域者應可清楚理解,在本實施例中鰭狀結構301、閘極結構340、磊晶層347及開口440等元件的具體形成方式及詳細材質大體上與前述第一實施例相同,或者應為本領域者所熟知,於此不再贅述。
本實施例與前述第一實施例的差異處在於形成開口440之後,先進行保護材料層355的製程。也就是說,本實施例是形成直接接觸磊晶層347(即該源極/汲極區)的保護材料層355,再進行預非晶化離子佈植(pre-amorphous implantation, PAI)製程P,利用保護材料層355作為一緩衝層,進行離子佈植,以在磊晶層347(亦即該源極/汲極區)的至少一部分植入適當摻質,如鍺離子,藉此,可直接在磊晶層347的上半部形成帽蓋層,例如是一鍺蓋層356,如第12圖所示。
後續,則可繼續進行如前述第一實施例的第8圖至第11圖所示製程。本實施例是在該鍺蓋層形成之前,先形成該保護材料層。並且在形成鍺蓋層後,再繼續移除位在層間介電層的上表面以及開口底部的保護材料層353,藉此達到保護該開口,避免後續在利用蝕刻製程移除填入的犧牲材料時,直接接觸該開口的該側壁而導致損傷的目的。   以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
300‧‧‧基底
301‧‧‧鰭狀結構
302‧‧‧淺溝隔離
340‧‧‧閘極結構
341‧‧‧閘極介電層
342‧‧‧虛置閘極
343‧‧‧蓋層
344‧‧‧襯墊層
345‧‧‧側壁子
346‧‧‧輕摻雜源極/汲極
347‧‧‧磊晶層
347a‧‧‧頂表面
348‧‧‧閘極介電層
349‧‧‧功函數金屬層
350‧‧‧金屬層
351‧‧‧蓋層
352、356‧‧‧鍺蓋層
353、355‧‧‧保護材料層
354‧‧‧保護層
360‧‧‧凹槽
380‧‧‧層間介電層
400‧‧‧層間介電層
420‧‧‧阻擋圖案
440、480‧‧‧開口
460‧‧‧圖案化犧牲遮罩層
500、520‧‧‧插塞結構
501、521‧‧‧阻障層
502、522‧‧‧接觸金屬層
P‧‧‧預非晶化離子佈植
t‧‧‧厚度
第1圖至第11圖繪示本發明第一實施例中形成半導體元件的步驟示意圖,其中,第1圖、第6圖及第10圖為半導體元件形成階段的上視圖,第2圖、第7圖及第11圖則分別為第1圖、第6圖及第10圖沿剖面線A-A’的剖面示意圖。 第12圖繪示本發明第二實施例中形成半導體元件的步驟示意圖。
300‧‧‧基底
301‧‧‧鰭狀結構
340‧‧‧閘極結構
344‧‧‧襯墊層
345‧‧‧側壁子
346‧‧‧輕摻雜源極/汲極
347‧‧‧磊晶層
347a‧‧‧頂表面
348‧‧‧閘極介電層
349‧‧‧功函數金屬層
350‧‧‧金屬層
351‧‧‧蓋層
352‧‧‧鍺蓋層
354‧‧‧保護層
400‧‧‧層間介電層
500、520‧‧‧插塞結構
501、521‧‧‧阻障層
502、522‧‧‧接觸金屬層

Claims (20)

  1. 一種形成半導體元件的方法,其包含以下步驟: 提供一基底,該基底上形成有一鰭狀結構; 形成一閘極結構,該閘極結構橫跨該鰭狀結構; 於該鰭狀結構內形成一磊晶層,該磊晶層鄰接該閘極結構; 於該閘極結構及該鰭狀結構上形成一層間介電層; 於該層間介電層內形成一第一開口,以暴露出該磊晶層; 於該第一開口的側壁上形成一保護層;以及 形成填滿該第一開口的第一插塞。
  2. 如申請專利範圍第1項所述之形成半導體元件的方法,其中於形成該保護層之前,更包含: 於該磊晶層上進行一離子佈植製程。
  3. 如申請專利範圍第1項所述之形成半導體元件的方法,其中於形成該保護層之後,更包含: 於該磊晶層上進行一離子佈植製程。
  4. 如申請專利範圍第1項所述之形成半導體元件的方法,其中該第一插塞直接接觸該保護層。
  5. 如申請專利範圍第1項所述之形成半導體元件的方法,其中形成於該保護層步驟更包含: 形成一保護材料層,覆蓋該第一開口的該側壁及底部;以及 移除覆蓋在該第一開口之該底部的保護材料層。
  6. 如申請專利範圍第5項所述之形成半導體元件的方法,更包含: 於該層間介電層內形成一第二開口,以暴露出該閘極結構,其中,覆蓋在該第一開口之該底部的該保護材料層是於該第二開口形成之後移除。
  7. 如申請專利範圍第6項所述之形成半導體元件的方法,更包含: 形成該第一插塞時,一併形成填滿該第二開口的第二插塞。
  8. 如申請專利範圍第7項所述之形成半導體元件的方法,其中,該第二插塞直接接觸該層間介電層。
  9. 如申請專利範圍第1項所述之形成半導體元件的方法,其中更包含: 形成一側壁子,該側壁子環繞該閘極結構,其中該第一開口更暴露出該側壁子且該保護層直接接觸該側壁子。
  10. 如申請專利範圍第1項所述之形成半導體元件的方法,其中更包含: 於該基底形成一淺溝隔離,該淺溝隔離環繞該鰭狀結構。
  11. 一種半導體元件,其包含: 一鰭狀結構,設置在一基底上; 一閘極結構,橫跨該鰭狀結構; 一磊晶層,設置在該鰭狀結構內且鄰接該閘極結構; 一層間介電層,覆蓋在該基底及該鰭狀結構上; 一第一插塞,設置在該層間介電層內,其中該第一插塞電連接該磊晶層;以及 一保護層,設置在該閘極結構與該第一插塞之間。
  12. 如申請專利範圍第11項所述之半導體元件,其中,該保護層包含一絕緣材料。
  13. 如申請專利範圍第11項所述之半導體元件,其中,該保護層包含氮化矽或碳氮化矽。
  14. 如申請專利範圍第11項所述之半導體元件,其中,該保護層具有約為10埃至15埃之厚度。
  15. 如申請專利範圍第11項所述之半導體元件,其中,該第一插塞包含一第一阻障層及一第一接觸金屬層。
  16. 如申請專利範圍第15項所述之半導體元件,其中,該第一阻障層直接接觸該保護層及磊晶層。
  17. 如申請專利範圍第11項所述之半導體元件,其中更包含: 一第二插塞,設置在該層間介電層內並接觸該閘極結構。
  18. 如申請專利範圍第17項所述之半導體元件,其中,該第二插塞包含一第二阻障層及一第二接觸金屬層,且該第二阻障層直接接觸該層間介電層。
  19. 如申請專利範圍第11項所述之半導體元件,其中,該磊晶層包含碳化矽、磷化矽、碳磷化矽、矽化鍺或鍺。
  20. 如申請專利範圍第11項所述之半導體元件,其中更包含: 一淺溝隔離,設置於該基底且環繞該鰭狀結構。
TW104131396A 2015-09-23 2015-09-23 半導體元件及其製作方法 TWI660438B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
TW104131396A TWI660438B (zh) 2015-09-23 2015-09-23 半導體元件及其製作方法
US14/919,716 US9773890B2 (en) 2015-09-23 2015-10-21 Semiconductor device and method of fabricating the same
US15/677,029 US10103250B2 (en) 2015-09-23 2017-08-15 Method of fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW104131396A TWI660438B (zh) 2015-09-23 2015-09-23 半導體元件及其製作方法

Publications (2)

Publication Number Publication Date
TW201712767A true TW201712767A (zh) 2017-04-01
TWI660438B TWI660438B (zh) 2019-05-21

Family

ID=58283326

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104131396A TWI660438B (zh) 2015-09-23 2015-09-23 半導體元件及其製作方法

Country Status (2)

Country Link
US (2) US9773890B2 (zh)
TW (1) TWI660438B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762070B (zh) * 2020-12-07 2022-04-21 力晶積成電子製造股份有限公司 半導體裝置及其製造方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
CN107492549A (zh) 2016-06-12 2017-12-19 中芯国际集成电路制造(上海)有限公司 晶体管及形成方法
TWI688042B (zh) * 2016-07-05 2020-03-11 聯華電子股份有限公司 半導體元件的製作方法
US10886268B2 (en) * 2016-11-29 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
US11024582B2 (en) * 2017-04-18 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10319832B2 (en) 2017-04-28 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10083863B1 (en) 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
US10700197B2 (en) * 2017-09-29 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102323733B1 (ko) * 2017-11-01 2021-11-09 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자 및 그 형성 방법
KR102501097B1 (ko) * 2018-06-21 2023-02-16 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10468500B1 (en) * 2018-06-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET fabrication methods
US10867860B2 (en) * 2018-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFET device
US10971627B2 (en) * 2018-09-27 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method
DE102019103699A1 (de) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Finnen-Feldeffekttransistor-Bauelement und Verfahren zu dessen Herstellung
KR20210054354A (ko) 2019-11-05 2021-05-13 삼성전자주식회사 반도체 소자

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW518719B (en) 2001-10-26 2003-01-21 Promos Technologies Inc Manufacturing method of contact plug
US8164141B2 (en) * 2005-10-06 2012-04-24 United Microelectronics Corp. Opening structure with sidewall of an opening covered with a dielectric thin film
US8236634B1 (en) * 2011-03-17 2012-08-07 International Business Machines Corporation Integration of fin-based devices and ETSOI devices
US20140264632A1 (en) * 2013-03-18 2014-09-18 Globalfoundries Inc. Semiconductor structure including a transistor having a layer of a stress-creating material and method for the formation thereof
US9368592B2 (en) * 2014-01-28 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure
US9236452B2 (en) * 2014-05-23 2016-01-12 Globalfoundries Inc. Raised source/drain EPI with suppressed lateral EPI overgrowth
US9577067B2 (en) * 2014-08-20 2017-02-21 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate and manufuacturing process thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762070B (zh) * 2020-12-07 2022-04-21 力晶積成電子製造股份有限公司 半導體裝置及其製造方法
US11335808B1 (en) 2020-12-07 2022-05-17 Powerchip Semiconductor Manufacturing Corporation Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US20170084722A1 (en) 2017-03-23
US10103250B2 (en) 2018-10-16
US9773890B2 (en) 2017-09-26
TWI660438B (zh) 2019-05-21
US20180012975A1 (en) 2018-01-11

Similar Documents

Publication Publication Date Title
TWI660438B (zh) 半導體元件及其製作方法
US8994116B2 (en) Hybrid gate process for fabricating FinFET device
US9640535B2 (en) Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques and the resulting semiconductor devices
US9805984B2 (en) FinFET device
US9997616B2 (en) Semiconductor device having a strained region
US9793382B2 (en) Manufacturing method of semiconductor device
CN104835838B (zh) 具有不同宽度的栅极结构及其制造方法
US9397097B2 (en) Gate structure for semiconductor device
US8704294B2 (en) Semiconductor device having metal gate and manufacturing method thereof
US9780199B2 (en) Method for forming semiconductor device
TWI382498B (zh) 半導體元件的製造方法
TWI393218B (zh) 半導體裝置之製造方法
US10262894B2 (en) FinFET device and method for forming the same
TW201822263A (zh) 半導體元件及其製作方法
CN105633083A (zh) 具有可控端到端临界尺寸的鳍式场效应晶体管(FinFET)器件及其形成方法
US20170103981A1 (en) Method for fabricating contacts to non-planar mos transistors in semiconductor device
KR20130027982A (ko) 개선된 실리사이드 형성 및 연관된 장치
US9070710B2 (en) Semiconductor process
CN106206714B (zh) 半导体器件
TWI612666B (zh) 一種製作鰭狀場效電晶體的方法