TW201635471A - 使通孔自對準至緊密間距金屬互連層的頂部及底部的結構及方法 - Google Patents

使通孔自對準至緊密間距金屬互連層的頂部及底部的結構及方法 Download PDF

Info

Publication number
TW201635471A
TW201635471A TW104138812A TW104138812A TW201635471A TW 201635471 A TW201635471 A TW 201635471A TW 104138812 A TW104138812 A TW 104138812A TW 104138812 A TW104138812 A TW 104138812A TW 201635471 A TW201635471 A TW 201635471A
Authority
TW
Taiwan
Prior art keywords
interconnect
ild
self
top surface
forming
Prior art date
Application number
TW104138812A
Other languages
English (en)
Other versions
TWI697993B (zh
Inventor
理查 史肯克
錢霍克 曼尼許
羅伯特 布里斯托
莫羅 科布林斯基
啟文 林
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201635471A publication Critical patent/TW201635471A/zh
Application granted granted Critical
Publication of TWI697993B publication Critical patent/TWI697993B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明之實施例包含具有與互連線自對準之頂上通孔及貫穿通孔之互連結構,以及形成此結構之方法。在一實施例中,一互連結構係形成在一層間介電質(ILD)中。一或多個第一互連線可被形成在該ILD中。該互連結構亦可在該ILD中包含一或多個第二互連線,其配置成與該第一互連線呈交替圖案。該第一及第二互連線之各者之頂部表面可凹陷於該ILD之頂部表面下方。該互連結構亦可包含形成於該第一互連線之一或多者之上或該第二互連線之一或多者之上的自對準頂上通孔。在一實施例中,該自對準頂上通孔之頂部表面係與該ILD之頂部表面大致上共平面。

Description

使通孔自對準至緊密間距金屬互連層的頂部及底部的結構及方法
本發明之實施例整體而言係關於半導體裝置之製造。特定言之,本發明之實施例係關於用於半導體裝置之互連結構以及用於製造此裝置之方法。
現代積體電路使用傳導互連層以連接在一晶片上之個別裝置,及/或發送及/或接收該裝置外部之信號。互連層之常見類型係包含耦合至個別裝置之銅與銅合金互連線,包含藉由互連貫穿通孔之其他互連線。對於一積體電路,具有多個互連層級係並不罕見。例如,兩個或更多個互連層可藉由介電質材料而彼此分離。分離互連層級之介電質層通常被稱為一層間介電質(ILD)。
由於這些互連層被製造成具有更小間距之互連線以配合更小晶片之需求,欲正確地與該所要的互連層對準該通孔變得更加困難。特定言之,在製造期間,通孔邊緣相對 於其欲接觸之互連層或互連線之位置可能由於自然的製造差異而不對準。然而,一通孔必須允許將互連層之互連線連接至該所要的襯底層或襯底線而不會錯誤地連接至不同之互連層或互連線。若該通孔不對準且接觸該錯誤之金屬特徵,則該晶片可能短路而導致降低電氣性能。解決此問題之一解決方案係要縮減該通孔尺寸,例如,藉由使該通孔變得較窄。然而,縮減該通孔尺寸將導致電阻增加且減少在製造期間的產量。
100‧‧‧互連結構
103‧‧‧層間介電質
104‧‧‧蝕刻中止層
117‧‧‧第一頂上通孔
118‧‧‧第二頂上通孔
121‧‧‧第一互連線
122‧‧‧第二互連線
123‧‧‧第一貫穿通孔
124‧‧‧第二貫穿通孔
125‧‧‧第一介電質罩
126‧‧‧第二介電質罩
180‧‧‧第二互連層
200‧‧‧互連結構
203‧‧‧ILD層
221‧‧‧第一互連線
222‧‧‧第二互連線
297‧‧‧ILD填充材料
299‧‧‧氣隙
303‧‧‧ILD層
304‧‧‧蝕刻中止層
305‧‧‧第一硬遮罩層
309‧‧‧間隔物
316‧‧‧主幹
317‧‧‧第一頂上通孔
318‧‧‧第二頂上通孔
321‧‧‧第一互連線
322‧‧‧第二互連線
323‧‧‧第一貫穿通孔
324‧‧‧第二貫穿通孔
325‧‧‧第一介電質罩
326‧‧‧第二介電質罩
327‧‧‧頂部表面
330‧‧‧開口
332‧‧‧頂部表面
335‧‧‧碳硬遮罩
341‧‧‧第一溝槽
342‧‧‧第一貫穿通孔開口
344‧‧‧第二溝槽
345‧‧‧第二貫通開口
371‧‧‧凹孔
375‧‧‧硬遮罩層
380‧‧‧第二ILD層
385‧‧‧凹孔
386‧‧‧凹孔
403‧‧‧ILD
404‧‧‧蝕刻中止層
417‧‧‧第一頂上通孔
418‧‧‧相鄰接點
421‧‧‧第一互連線
423‧‧‧第一貫穿通孔
480‧‧‧第二ILD
481‧‧‧電接點
483‧‧‧ILD填充材料
495‧‧‧溝槽
498‧‧‧凹口
503‧‧‧ILD層
504‧‧‧蝕刻中止層
517‧‧‧第一頂上通孔
518‧‧‧第二頂上通孔
521‧‧‧第一互連線
522‧‧‧第二互連線
525‧‧‧第一介電質罩
526‧‧‧第二介電質罩
580‧‧‧第二ILD層
596‧‧‧溝槽
597‧‧‧填充ILD材料
599‧‧‧氣隙
1000‧‧‧中介層
1002‧‧‧第一基板
1004‧‧‧第二基板
1006‧‧‧球狀柵格陣列(BGA)
1008‧‧‧金屬互連部
1010‧‧‧通孔
1012‧‧‧穿矽通孔
1014‧‧‧嵌入裝置
1200‧‧‧計算裝置
1202‧‧‧積體電路晶粒
1204‧‧‧處理器
1206‧‧‧晶粒上記憶體
1208‧‧‧通信晶片
1210‧‧‧揮發性記憶體
1212‧‧‧非揮發性記憶體
1214‧‧‧圖形處理單元
1216‧‧‧數位信號處理器
1220‧‧‧晶片組
1222‧‧‧天線
1224‧‧‧觸控螢幕顯示器
1226‧‧‧觸控螢幕控制器
1228‧‧‧電池
1230‧‧‧羅盤
1232‧‧‧運動協處理器或感測器
1234‧‧‧揚聲器
1236‧‧‧相機
1238‧‧‧使用者輸入裝置
1240‧‧‧大量儲存裝置
1242‧‧‧加密處理器
圖1係依照一實施例之一互連結構之橫截面繪示圖,該互連結構包含自對準頂上通孔與自對準貫穿通孔。
圖2係依照一實施例之一互連結構之橫截面繪示圖,該互連結構包含一位於互連線之間之氣隙。
圖3A-3P係依照一實施例之截面繪示圖,其繪示形成一互連結構之方法,該互連結構係包含自對準頂上通孔以及自對準貫穿通孔。
圖4A-4C係依照一實施例之截面繪示圖,其繪示改良位在一頂上通孔與一相鄰接點之間之短路邊際之方法。
圖5A-5D係依照一實施例之截面繪示圖,其繪示形成一互連結構之方法,該互連結構包含位在互連線之間之氣隙。
圖6係實施本發明之一或多個實施例之中介層之橫截面繪示圖。
圖7係依照本發明之實施例所建構之計算裝置之示意圖。
【發明內容與實施方式】
本文所述係包含一互連結構之系統以及形成此裝置之方法,該互連結構允許形成接觸至包含自對準頂上通孔與自對準貫穿通孔之緊密間距互連線。在以下的說明中,該例示性實施方案之各個態樣將使用由熟習此項技術者通常所採用之術語來進行描述,以將該工作之實質性傳達給其他熟習此項技術者。然而,對於熟習此項技術者顯而易見的是,本發明可能將僅以所述之一些態樣來實施。出於解釋之目的,將闡述特定的數字、材料與構形,以便提供對於該例示性實施方案徹底之瞭解。然而,對於熟習此項技術者顯而易見的是,本發明可能將不以該特定細節來實施。在其他情況下,習知之特徵將被省略或簡化,以免模糊例示性實施方案。
各種操作將以多個分立之操作依序地以一種最能幫助瞭解本發明之方式來進行描述,然而,該描述之順序不應被解釋為暗示與這些操作是必然順序相關的。特定言之,這些操作不需依展示之順序來執行。
本發明之實施方案可被形成或實施於一基板上,諸如一半導體基板。在一實施方案中,半導體基板可以係使用本體矽或絕緣體上矽次結構而形成之結晶基板。在其他實施方案中,該半導體基板可使用任選之材料來形成,其可 以或可以不與矽結合,其包含(但不限於)鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、砷化銦鎵、碲化鎵、或III-V族或IV族材料之其他組合。儘管在此描述一些可以形成該基板之材料之實例,但任何可以作為可在其上建構半導體裝置之基礎的材料皆可落於本發明之範圍內。
圖1係依照本發明之一實施例之一互連結構100之橫截面繪示圖。互連結構100可結合利用一或多個互連層之任何半導體裝置(諸如一IC電路等等)來使用。互連結構100係形成於一層間介電質(ILD)103中。本發明之實施例係利用典型業界習知的用以作為ILD之低k介電質材料,諸如二氧化矽。根據本發明之實施例,適合形成該ILD 103之低k介電質材料亦可包含(但不限於)諸如摻雜碳之二氧化矽、多孔二氧化矽或氮化矽之材料。本發明之額外實施例可包含由具有k值小於5之一介電質材料所形成之ILD 103。實施例亦可包含具有k值小於2之一ILD。根據本發明之實施例,ILD 103可以小於100奈米厚。根據額外之實施例,該ILD 103可以小於40奈米厚。本發明之一額外之實施例可進一步包含具有厚度介於40奈米與80奈米之間之一ILD 103。額外之實施例包含係大約60奈米厚之一ILD 103。
在一實施例中,一蝕刻中止層104係形成在ILD 103之頂部表面之上。舉例來說,蝕刻中止層104可以係諸如氮化物或氧化物之介電質材料。根據一實施例,蝕刻中止層104係可抵抗一蝕刻製程,該蝕刻製程可被用以蝕刻穿 過第二互連層180,諸如用以形成互連件之一額外的ILD層,其可形成於該蝕刻中止層104之上方。本發明之實施例係包含介於3奈米與10奈米之間之厚度的蝕刻中止層。
根據一實施例,如在圖1中所示,互連結構100係包含以一交替圖案形成於該ILD 103中之第一及第二互連線121、122。第一及第二互連線121、122係由導電材料來形成。舉例來說,且非以限制的方式,用以形成互連線之導電材料可包含,Cu、Co、W、NiSi、TiN、Mo、Ni、Ru、Au、Ag或Pt。在一實施例中,相同之導電材料係被使用以形成該第一及第二互連線121、122。根據一替代之實施例,該第一及第二互連線121、122係利用不同之導電材料來形成。
該互連線121、122係由一個間距P而與彼此間隔開。本發明之實施例包含具有小於60奈米之間距P之一高密度互連線。本發明之進一步之實施例係包含小於30奈米之一間距P。本發明之實施例包含小於30奈米之互連線寬度W。本發明之額外實施例係包含小於15奈米之互連線寬度W。
在一實施例中,該第一及第二互連線121、122被凹陷而使得該ILD 103之頂部表面係形成於該互連線121、122之頂部表面上方。依照本發明之一實施例,凹陷該互連線121、122可在該ILD 103中提供空間,用以在該互連線121、122之各者上方形成介電質罩125、126或一頂 上通孔117、118。根據一實施例,第一與第二頂上通孔117、118係分別地形成於與第一及第二互連線121、122相同之溝槽中,且因此在本文中被稱為與該互連線121、122「自對準」。由於自對準,使得在互連線與頂上通孔之間之對準係大致上無誤差。
繪示於該第一頂上通孔117與該第一互連線121之間以及於該第二頂上通孔118與該第二互連線122之間之虛線更清楚地指示出互連線結束以及貫穿通孔起始之處。然而,應理解的是,該兩個特徵可以利用相同之材料來形成,且當在觀看繪示於圖1中之橫截面圖時,在兩個特徵之間可能沒有明顯之接面。簡要地參考圖4A,其提供正交於圖1之視圖之橫截面圖以便更清楚地繪示出在互連線與頂上通孔之間的差異。如在圖4A中所繪示,第一互連線421具有之長度大於第一頂上通孔417之長度。圖4A之其餘部分將於下文中更詳細地討論。
如在圖1中所繪示,第一介電質罩125可形成於第一互連線121上方。在一實施例中,第一介電質罩125之頂部表面可與ILD層103之頂部表面大致上共平面。本發明之實施例進一步包含形成於第二互連線122上方之第二介電質罩126。在一實施例中,第二介電質罩126之頂部表面可與該ILD層103之頂部表面大致上共平面。
本發明之實施例係包含第一與第二介電質罩125、126,其可由介電質材料(諸如SiOxCyNz)、非導電性金屬氧化物與氮化物(諸如(但不限於)TiO、ZrO、 TiAlZrO、AlO)或有機材料來製造。根據一實施例,第一與第二介電質罩係由相同之材料所製造。根據一額外之實施例,第一介電質罩125與第二介電質罩126係由不同之材料所製造。
本發明之實施例亦可包含一或多個第一貫穿通孔123以及第二貫穿通孔124。根據本發明之實施例,第一及第二貫穿通孔123、124被整合至該第一及第二互連線121、122之交替圖案中。因此,在本發明之實施例中,第一貫穿通孔123係形成於第一互連線121下方而第二貫穿通孔124係形成於第二互連線122下方。根據一實施例,第一及第二貫穿通孔123、124提供了製造一個穿過該ILD 103至較低層級之電連接部之能力。例如,至較低層級之電連接部可被製造為一導線、一電晶體裝置之S/D接點或是任何其他需要一個電連接部之半導體裝置之特徵。在本發明之附圖中所呈現之繪示圖,省略了可被該第一及第二貫穿通孔所接觸之較低層級之結構,以避免不必要地模糊本發明。
相似於第一與第二頂上通孔117與118,第一及第二貫穿通孔123、124係分別地被形成於與第一及第二互連線121、122相同之溝槽中,且因此在本文中被稱為與該互連線121、122「自對準」。由於自對準,使得在互連線與貫穿通孔之間之對準係大致上無誤差。
繪示於第一貫穿通孔123與第一互連線121之間以及於第二貫穿通孔124與第二互連線122之間之虛線更清楚 地指示出互連線結束以及貫穿通孔起始之處。然而,應理解的是,兩個特徵可以利用相同之材料來形成,且當在觀看繪示於圖1中之橫截面圖時,在兩個特徵之間可能沒有明顯之接面。簡要地參考圖4A,其提供正交於圖1之視圖之橫截面圖以便更清楚地繪示出在互連線與貫穿通孔之間的差異。如在圖4A中所繪示,第一互連線421具有之長度係大於第一貫穿通孔423之長度。圖4A之其餘部分將於下文中更詳細地討論。
現請參考圖2,其繪示依照本發明之一額外之實施例之一互連結構200。互連結構200係大致上相似於互連結構100,除了於該互連線121、122之各者之間提供氣隙299以外。當互連線之間的間距減小時,在線之間之電容式耦合會成為一個更加難以克服的問題。典型地,藉由選擇低k介電質材料用於ILD層203,可以極小化電容式耦合。然而,某些應用可能需要之k值係低於目前被使用以形成ILD層之材料之k值。因此,本發明之實施例利用氣隙299以極小化電容式耦合。例如,一氣隙具有大約是1之k值。
根據一實施例,氣隙599之底部表面係由ILD層203所形成。在一實施例中,氣隙299可具有位於該第一及第二互連線221、222之底部表面下方之一底部表面。根據一額外之實施例,氣隙可以不延伸至第一及第二互連線221、222之底部表面下方。本發明之實施例可利用ILD填充材料297以形成氣隙299之上方表面。舉例來說, ILD填充材料297可係一ILD材料,其具有填充特性而不允許形成於相鄰之互連線之間之溝槽被該材料所填充。舉例來說,ILD填充材料可以係二氧化矽、摻雜碳之二氧化矽、多孔二氧化矽、氮化矽等等。在一實施例中,該填充ILD材料297之底部表面可延伸至第一及第二互連線221、222之頂部表面下方。根據一額外之實施例,該填充ILD材料297之底部表面可不延伸至該第一及第二互連線221、222之頂部表面下方。
由於互連線221、222定位於該ILD層203中,本發明之實施例係大幅地受益於氣隙299之使用。因為第一及第二互連線221、222之頂部表面被凹陷於ILD層203之頂部表面下方,氣隙299係被定位於靠近至互連線之側壁。根據一實施例,氣隙299可以沿著互連線之側壁長度之至少一半來延伸。在某些實施例中,氣隙299可至少沿著大致上整個互連線之側壁之長度來延伸。相反地,傳統互連線係被形成以使得其頂部表面與ILD層103之頂部表面大致上共平面。因此,當嘗試將一氣隙形成於這些傳統互連結構中時,該填充ILD係沿著互連線之側壁之實質部分來形成。因此,該低k值之益處未能如依照本發明之實施例般被完全實現。
依照本發明之實施例之互連結構係可依照相對於圖3A至圖3P所述之製程來製造。現請參考圖3A,示出一ILD層303。舉例來說,ILD層303可以為任何業界習知的ILD材料,諸如摻雜碳之二氧化矽、多孔二氧化矽或矽 氮化物。根據一實施例,第一硬遮罩層305(諸如氮化物或氧化物材料)可被形成於該ILD層303之上。根據實施例,ILD 303可被形成於一或多個額外的互連結構(未圖示)之上,或ILD 303可被形成於一裝置基板之上,諸如其上形成有電路之半導體基板(未圖示)。
一主幹層316可被形成於第一硬遮罩層305上方。主幹316可以為任何適合形成一硬遮罩層之材料,諸如非晶矽、多晶矽、非晶碳、氮化矽、碳化矽、鍺等等。主幹316可以利用任何習知的圖案化製程來圖案化,諸如微影、蝕刻以及濕式清潔。在一特定實施例中,主幹316可以利用多個圖案化之製程來形成,以獲得所要的間距。
現請參考圖3B,間隔物309可沿著主幹316之側壁來形成。可使用一間隔物形成沉積與蝕刻製程來形成間隔物309。例如,一間隔物材料之保形層可以被毯覆沉積於主幹316以及第一硬遮罩層305之表面之上。在該毯覆沉積之後,便可以實施一間隔物形成蝕刻。實施例係包含一各向異性乾蝕刻製程,該乾蝕刻製程係選擇性移除形成於水平表面上之該沉積層之部分,從而沿著主幹316之側壁留下間隔物309。根據一實施例,該間隔物309可以為保形材料,諸如(但不限於)SiO2、SiN、HfO2、TiO、ZrO、AlN、AlO及其組合。根據本發明之一實施例,在給定的蝕刻製程期間,被使用以形成該主幹316之材料可具有高於被使用以形成間隔物309之材料的蝕刻選擇性。根據此實施例,該間隔物309係可抵抗會輕易地蝕除該主 幹316之蝕刻製程。舉例來說,當主幹316係由非晶矽所製成時,則該間隔物309可由鈦氧化物來製成。
現請參考圖3C,第一溝槽蝕刻製程係被使用以形成穿過該第一硬遮罩層305且深入至該ILD 303中之第一溝槽341。該第一溝槽蝕刻製程利用該間隔物309作為一遮罩,以提供在該第一溝槽341之間之適當間距並且形成具有所要的寬度W之第一溝槽341。根據本發明之一實施例,寬度W係小於大約30奈米。本發明之一額外之實施例係包含小於15奈米之寬度W。在一實施例中,第一溝槽341可具有介於大約20奈米與大約60奈米之間之深度。本發明之額外的實施例係包含形成第一溝槽341至大約40奈米之深度。
現請參考圖3D,可以依照本發明之一實施例來實施一貫穿通孔遮蔽製程。一碳硬遮罩335係被形成於溝槽341中且於該間隔物309上方。如在圖3D中所繪示,碳硬遮罩335可被圖案化以在第一溝槽341之一者上方形成一開口330。根據一實施例,由於僅該第一溝槽341已被形成,用於定義該碳硬遮罩之邊緣之容許誤差邊際係大約為間距P之四分之三。因此,碳硬遮罩335之邊緣可被標定以定位於該主幹316之中心上,其係緊鄰於所要的通孔開口330之位置。例如,若將於隨後被形成於主幹316下方之第二溝槽344已經形成,則接著該碳硬遮罩335之邊緣將需要被置中在該最近的相鄰間隔物309上,而非在該最近之相鄰主幹316之中心之上。因此,本發明之實施例 允許在硬遮罩335中之開口之尺寸變化,且硬遮罩335之開口之相對定位係大約為習知技術的三倍大。具體而言,本發明之實施例允許在圖案化碳硬遮罩335之側壁之對準誤差係大約為該間距P之四分之三,然而習知技術係允許該間距P之僅四分之一的對準誤差。
現請參考圖3E,一貫穿通孔蝕刻製程蝕刻穿過在該第一溝槽之底部下方之ILD 303的剩餘部分以形成第一貫通開口342。雖然該第一貫通開口342係穿過第一溝槽之底部而形成,但應理解的是,該貫通開口係與第一溝槽341自對準。因此,位於第一互連線與第一貫穿通孔之間之對準將大致上無誤差。第一貫通開口342可以提供一連接部至在ILD 303下方之層或特徵。在一實施例中,該通孔蝕刻製程亦可蝕刻穿過一較低互連層級之一或多個層,諸如(例如)一蝕刻中止層(未圖示)。儘管展示一單一第一貫通開口342,但實施例亦可包含具有多於一個之第一貫通開口342的互連層級。
現請參考圖3F,依照一實施例,該碳硬遮罩層335之剩餘部分被移除且一傳導層係可被形成於第一溝槽341中以形成第一互連線321,並且被形成於貫通開口342中以形成第一貫穿通孔323與一第一互連線321。應理解的是,第一貫穿通孔323係與以上所形成之第一互連線自對準。繪示一虛線以更清楚地指示第一互連線321之結束處與該第一貫穿通孔323之起始處,然而,應理解的是,該兩個特徵可以利用相同的材料來形成,並且當觀看繪示 在圖3F中之橫截面圖時,可能在其之間並沒有可識別的接面。簡要地參考圖4A,其提供正交至圖3F之視圖之一橫截面圖以更清楚地繪示在該第一互連線321與該第一貫穿通孔323之間之差異。如在圖4A中所繪示,第一互連線421具有之長度係大於第一貫穿通孔423之長度。
本發明之實施例包含利用導電材料而形成之第一互連線321與第一貫穿通孔323,該導電材料可以係任何使用於互連線之導電金屬,諸如銅、鈷、鎢等等。實施例係包含利用一業界習知的沉積製程(諸如(但不限於)化學汽相沉積(CVD)、原子層沉積(ALD)或電鍍)而將該導電材料安置深入至第一溝槽341與貫通開口342中。根據一實施例,第一互連線321之頂部表面332可與間隔物309之頂部表面被平坦化,以從金屬沉積中移除溢流之材料。根據一實施例,該平坦化可以利用一製程(諸如化學機械研磨(CMP)或蝕刻製程)來執行。
現請參考圖3G,第一互連線321之頂部表面332係利用一蝕刻製程被凹陷,以形成位於該間隔物309之間之凹孔371。根據一實施例,該頂部表面332係被凹陷以使得其係位在該第一硬遮罩層305之頂部表面327下方。根據一實施例,該第一互連線321之凹陷可利用一蝕刻製程來實施。舉例來說,該蝕刻製程可以係一濕或乾蝕刻製程。在利用銅或鈷材料以形成該第一互連線321之一特定實施例中,可以使用一利用檸檬酸之濕蝕刻製程。在利用鎢或釕材料以形成該第一互連線321之另一個實施例中, 可以使用一乾蝕刻製程。
在圖3H與3I中,其依照一實施例繪示一頂上通孔圖案化製程。如圖所示,第一互連線321之一或多者之上方部分可被視為第一頂上通孔317。因此,繪示於圖3H中之裝置可在任何可能需要通孔之位置處包含第一頂上通孔317。雖然第一頂上通孔317係形成於與第一互連線321相同之溝槽中,但應理解的是,該第一頂上通孔317係與該第一互連線321自對準。因此,位於該第一互連線321與該第一頂上通孔317之間之對準將大致上無誤差。在一實施例中,在不需要第一頂上通孔317之位置可以利用圖案化與蝕刻製程而予以選擇性地移除。
在圖3H中,一硬遮罩層375可以被安置至凹孔371中而位在該第一互連線上方與該主幹316以及間隔物309之上。開口可接著被圖案化於該硬遮罩375中而位在選定的第一互連線321上方。該選定的第一互連線321係將不會從上方被第一頂上通孔317所接觸的線。如圖所示,在該硬遮罩375中之開口之邊緣可以被定位成靠近至緊鄰於該選定的第一互連線321之主幹316的中心。因此,依照一實施例,針對在任一方向中之邊緣定位誤差之邊際係大約為該間距P之四分之三。
之後,在圖3I中,該曝露的第一頂上通孔係被移除以形成凹孔385。根據一實施例,該第一頂上通孔317可以利用一蝕刻製程被移除。舉例來說,該蝕刻製程可以係一濕或乾蝕刻製程。在利用銅或鈷材料以形成該第一頂上 通孔317之一特定之實施例中,可以使用一利用檸檬酸之濕蝕刻製程。在利用鎢或釕材料以形成該第一頂上通孔317之另一個實施例中,可以使用一乾蝕刻製程。根據一實施例,該剩餘第一互連線321具有一基於該互連線所要的電阻而選擇之高度對寬度之深寬比。舉例來說,該第一互連線321之高寬比可以係大約2:1或更大。
根據一額外之實施例,一或多個第一頂上通孔317係利用一金屬生長操作來形成,而非上述之相對於圖3H與3I中之金屬蝕刻操作。在此一實施例中,每個金屬填充第一溝槽可被蝕刻以移除所有之第一頂上通孔。之後,一硬遮罩係沉積於第一互連線317之各者上方。接著,硬遮罩可被圖案化以曝露僅在需要第一頂上通孔317之第一互連線321之頂部表面332。之後,第一互連件317可以生長回到該曝露第一互連線321之上。在一實施例中,第一頂上通孔可利用一鑲嵌製程來生長。例如,一晶種層可以首先被沉積,接著可以利用無電電鍍或是沉積製程(諸如一ALD製程)。
請參考圖3J,第一介電質罩325可被沉積至在該第一頂上通孔317上方之凹孔385中與在該第一互連線321上方之凹孔371中。例如,第一介電質罩325可利用一CVD、PVD或旋塗製程來沉積。根據一實施例,任何覆蓋材料可利用一平坦化製程(諸如一CMP製程)被凹陷。本發明之實施例可利用諸如SiOxCyNz、非傳導金屬氧化物或金屬氮化物之材料用於該第一介電質罩325。本發明之 額外實施例可選擇具有高於第一硬遮罩層305之蝕刻選擇性之材料以用於該第一介電質罩325。任何來自介電質罩325沉積之覆蓋材料可與間隔物309之頂部表面以及該主幹316被平坦化,例如,利用一蝕刻或CMP製程。
現請參考圖3K,主幹316被蝕除而第二溝槽344以及第二貫通開口345可以被製造至該ILD 303中。根據一實施例,間隔物309之剩餘部分係提供一遮蔽層以用於蝕刻第二溝槽344以及第二貫通開口345。根據一實施例,第二溝槽344之深度可以大致上相似於該第一溝槽341之深度。根據一替代之實施例,第二溝槽344之深度可以大於或小於第一溝槽341之深度。根據一實施例,用於形成第二貫通開口345之製程係大致上相似於上述相對於圖3C與3E中所使用以形成第一貫通開口342之製程,因此在此將不再重複贅述。
第二貫通開口345可提供一連接部至在ILD 303下方之層或特徵。在一實施例中,通孔蝕刻製程亦可蝕刻穿過一較低互連層級之一或多個層,諸如(例如)一蝕刻中止層(未圖示)。儘管展示一單一第二貫通開口345,但實施例亦可包含具有多於一個之第二貫通開口345的互連結構。雖然該第二貫通開口345係穿過第二溝槽344之該底部而形成,但應當理解的是,第二貫通開口345係與第二溝槽344自對準。因此,在第二互連線與第二貫穿通孔之間之對準將大致上無誤差。
現請參考圖3L,該第二溝槽344與該第二貫通開口 345係利用一導電材料來填充且任何多餘填充物可以被回拋光以形成該第二互連件322以及該第二頂上通孔318。在一實施例中,該導電材料可以係與被使用以形成該第一互連件322相同之導電材料。在一額外之實施例中,導電材料可以係與被使用於該第一互連件322不相同之金屬。舉例來說,導電材料可以係任何通常被使用於互連線之金屬,諸如銅、鈷、鎢、釕等等。如在圖3L中所繪示,用以移除該多餘填充物之拋光製程亦可移除間隔物309之一部分與第一介電質罩325之一部分。
在圖3M與3N中,其繪示依照一實施例之一頂上通孔圖案化製程。如圖所示,第二互連線322之一或多者之上方部分可被視為第二頂上通孔318。因此,繪示於圖3M中之該裝置在可能需要通孔處之任何位置係可以包含第二頂上通孔318。雖然第二頂上通孔318係形成於與第二互連線322相同之溝槽中,但應理解的是,第二頂上通孔318係與第二互連線322自對準。因此,位於第二互連線322與第二頂上通孔318之間之對準將大致上無誤差。在一實施例中,在不需要第二頂上通孔318之位置可以利用一圖案化與蝕刻製程而被選擇性地移除。
在圖3M中,一硬遮罩層335可被安置於該裝置之曝露頂部表面之上。一或多個開口可接著被圖案化於選定的第二互連線322上方之該硬遮罩335中。該選定的第二互連線322係將不會從上方被第二頂上通孔322所接觸之線。如圖所示,該硬遮罩層335之邊緣可以被定位靠近至 緊鄰至該選定的第二互連線322之第一介電質罩325之中心。因此,依照一實施例,在任一方向上針對邊緣定位誤差之邊際係大約為間距P之四分之三。
之後,在圖3N中,該曝露第二頂上通孔被移除以形成凹孔386。根據一實施例,第二頂上通孔318可利用一蝕刻製程被移除。舉例來說,該蝕刻製程可以係一濕或乾蝕刻製程。在利用銅或鈷材料以形成該第一頂上通孔318之一特定實施例中,可以使用一利用檸檬酸之濕蝕刻製程。在利用鎢或釕材料以形成該第一頂上通孔318之另一個實施例中,可以使用一乾蝕刻製程。
根據一額外之實施例,一或多個第二頂上通孔318可以利用一金屬生長操作來形成,而非上述之相對於圖3M與3N中之金屬蝕刻操作。在此一實施例中,每個金屬填充第二溝槽可被蝕刻以移除所有的第二頂上通孔。之後,一硬遮罩係沉積於第一互連線317之各者上方。接著,硬遮罩可被圖案化以曝露僅在需要第二頂上通孔之第二互連線322之頂部表面332。之後,該第二互連件317可以生長回到曝露第二互連線321之上。在一實施例中,第二頂上通孔可利用一鑲嵌製程來生長。例如,一晶種層可以首先被沉積,接著可使用無電電鍍或是沉積製程(諸如一ALD製程)。
請參考圖3O,第二介電質罩326可被沉積至該凹孔386中而位在第一互連線321上方。例如,該第二介電質罩326可利用一CVD、PVD或旋塗製程來沉積。本發明 之實施例可利用諸如SiOxCyNz、非導電金屬氧化物或金屬氮化物材料以用於該第二介電質罩326。在一實施例中,使用於該第二介電質罩326之材料可以與使用於該第一介電質罩325之材料相同。或者,該第二介電質罩326可以係與該第一介電質罩325不同之材料。根據一實施例,任何覆蓋材料可利用一平坦化製程(諸如一CMP製程)被凹陷。依照一實施例,該平坦化製程亦可移除該間隔物309之剩餘部分以及該第一硬遮罩層305。
根據一實施例,該所得之結構包含呈交替圖案形成於該ILD層303內部之複數個第一與第二互連線321以及322。頂上通孔317及318係被曝露且大致上無疊對誤差地被對準於對應之互連線321、322上方。此外,貫穿通孔323、324係大致上無疊對誤差地被對準於對應之互連線321、322下方。不需要頂上通孔之互連線321、322係藉由第一或第二介電質罩與該頂部表面電隔離。
現請參考圖3P,依照一實施例,一蝕刻中止層304可被沉積於ILD層303之頂部表面、第一與第二介電質罩325、326及第一與第二頂上通孔317、318之上。在一實施例中,第二ILD層380可接著被沉積於該蝕刻中止層304之頂部表面之上。因此,一或多個接觸或一額外的互連層可接著被圖案化在該第二ILD層380中。
現請參考圖4A-4C,依照一實施例,其中展示沿著一互連線421之長度之互連層100之截面繪示圖。繪示於圖4A-4C中之視圖係與繪示於圖3A-3P之橫截面圖正交(例 如,旋轉90度)。如在圖4A中所繪示,一互連線421係以大致上與上述相對於圖3A-3P中相同之方式形成於一ILD中。在所繪示之實施例中,一貫穿通孔423與一頂上通孔417亦沿著該互連線421而形成。電接點481已經形成於該第二ILD 480中。根據一實施例,該第二接點481可以利用用於製造電接點481之習知之金屬沉積與微影製程來形成。
儘管依照本發明之實施例所形成之頂上通孔417係與互連線421自對準,但其可能未被完全地與形成於該第二ILD 480中之該電接點481對準。如圖所示,於該頂上通孔417上方之電接點481之長度係小於頂上通孔417之長度。因此,在本發明之一實施例中,頂上通孔417之邊緣被形成靠近至一相鄰接點481。因此,如於圖4B與4C中所繪示,為了減少該頂上通孔417至一相鄰接點418短路之可能性,本發明之實施例係可包含一頂上通孔蝕刻製程。
現請參考圖4B,溝槽495係形成於相鄰之接點481之間。在一實施例中,溝槽係利用移除形成於該接點481之間之ILD 480與該蝕刻中止層404之蝕刻製程而形成。該蝕刻製程亦可蝕刻穿過頂上通孔417之一部分與該ILD 403。如圖所示,一凹口498係形成於該頂上通孔417中。該凹口之存在增加該頂上通孔417與該相鄰之接點481之間之間隔。在一實施例中,相對於被用以形成該接點481之金屬,該蝕刻製程對於被使用以形成該頂上通孔 之金屬可具有選擇性。舉例來說,頂上通孔可以與被用以形成該接點之材料係不同之材料。根據一額外之實施例,該接點481可利用一光阻劑或硬遮罩材料(未圖示)而被保護,且可以使用一直接之蝕刻以移除頂上通孔417之曝露部分而不致蝕刻掉該接點481。因此,本發明之實施例允許更大之邊際以防止該頂上通孔417與該錯誤之接點481短路。如在圖4C中所繪示,在形成溝槽495之後,該溝槽可利用一ILD填充材料483來填充以電隔離該頂上通孔417。舉例來說,該ILD填充物483係可利用一典型之製程(諸如CVD)被沉積。
現請參考圖5A至5D,其中繪示用於形成一互連層200之一製程,其係大致上相似於繪示於圖2中之製程。現請參考圖5A,所繪示之互連層係大致上相似於上述相對於圖3O中之互連層。因此,該互連層可以利用大致上相似於那些上述相對於圖3A-3O中之處理操作來形成,且因此在此將不再重複贅述。
現請參考圖5B,執行一ILD之凹陷操作以形成溝槽596至該ILD 503中。根據一實施例,該凹陷操作可利用一選擇性移除ILD層503之濕或乾蝕刻製程來執行。例如,一乾蝕刻製程可使用一CF4蝕刻化學品,而一溼蝕刻可以使用一稀釋氫氟酸(dHf)蝕刻。在一實施例中,溝槽597可以形成至該第一及第二互連線521、522之底部表面下方之深度。
根據一額外之實施例,溝槽596可不延伸至該第一及 第二互連線521、522之底部表面下方。現請參考圖5C,一填充ILD材料597可被沉積,其部分地填充該溝槽596。舉例來說,該填充ILD材料597可能具有較不佳之填充特性,且由於介於相鄰互連線之間之狹窄的間距而因此可能無法填充該溝槽。在一實施例中,該填充ILD材料597之底部表面可延伸於該第一及第二互連線521、522之頂部表面下方。根據一額外之實施例,該填充ILD材料597之底部表面可不延伸至第一及第二互連線521、522之頂部表面下方。因此,氣隙599係形成於各第一與第二互連線521、522之間。如上述,該氣隙599之存在係提供一極低之介電質常數(例如,大約為1之k值),且因此允許降低在相鄰互連件之間的電容式耦合。
現請參考圖5D,依照一實施例,一蝕刻中止層504係可被沉積於ILD填充材料597之頂部表面、第一與第二介電質罩525、526以及第一與第二頂上通孔517、518之上。在一實施例中,第二ILD層580可接著被沉積於蝕刻中止層504之頂部表面之上。因此,一或多個接點或一額外之互連層可接著被圖案化在該第二ILD層580中。
圖6繪示一中介層1000,其包含一或多個本發明之實施例。該中介層1000係一中介基板,用以橋接第一基板1002至第二基板1004。第一基板1002可以係例如一積體電路晶粒。該第二基板1004可以係例如一記憶體模組、一電腦主機板或另一積體電路晶粒。通常,中介層1000之目的係在於散佈一連接部至一更寬的間距或係路 由一連接部至一不同的連接部。例如,一中介層1000可耦合一積體電路晶粒至一球狀柵格陣列(BGA)1006,其隨後可被耦合至第二基板1004。在一些實施例中,第一及第二基板1002/1004係被附接至中介層1000之相對兩側邊。在其他的實施例中,第一及第二基板1002/1004係被附接至中介層1000之相同側邊。而在進一步之實施例中,三個或更多個基板係藉由中介層1000而相互連接。
該中介層1000可由一環氧樹脂、一玻璃纖維強化環氧樹脂、一陶瓷材料或一聚合物材料(諸如聚醯亞胺)來形成。在進一步之實施方案中,中介層可以由任選之剛性或撓性材料來形成,其可以包含上述與使用於半導體基板相同之材料,諸如矽、鍺與其他III-V族以及IV族材料。
中介層可包含金屬互連部1008與通孔1010,其包含(但不限於)穿矽通孔(TSV)1012。中介層1000可進一步包含嵌入裝置1014,其包含被動與主動裝置兩者。此裝置包含(但不限於)電容器、解耦電容器、電阻器、電感器、熔絲、二極體、變壓器、感測器以及靜電放電(ESD)裝置。更多的複雜裝置,諸如射頻(RF)裝置、功率放大器、電力管理裝置、天線、陣列、感測器與MEMS裝置亦可形成於中介層1000上。
根據本發明之實施例,揭示於此之裝置或製程可被使用於中介層1000或裝置1014之製造中。
圖6繪示依照本發明之一實施例之一計算裝置1200。該計算裝置1200可以包含若干組件。在一實施例 中,這些組件係被附接至一或多個母板。在一替代實施例中,這些組件係被製造至一單一系統單晶片(SoC)之晶粒上,而不是在一個母板上。在計算裝置1200中之組件係包含(但不限於)一積體電路晶粒1202及至少一通信晶片1208。在一些實施方案中,通信晶片1208係被製造以作為積體電路晶粒1202之部分。積體電路晶粒1202可包含一CPU 1204以及晶粒上記憶體1206,其經常被用作為快取記憶體,其可以藉由諸如嵌入式DRAM(eDRAM)或旋轉式傳輸轉矩記憶體(STTM或STTM-RAM)之技術來提供。
計算裝置1200可包含其他組件,其可或可不被實體地及電性地耦合至母板或製造於一SoC晶粒中。這些其他組件包含(但不限於)揮發性記憶體1210(例如,DRAM)、非揮發性記憶體1212(例如,ROM或快閃記憶體)、圖形處理單元1214(GPU)、數位信號處理器1216、加密處理器1242(其係在硬體內部執行加密演算法之專用處理器)、晶片組1220、天線1222、顯示或觸控螢幕顯示器1224、觸控螢幕控制器1226、電池1228或其他電源、功率放大器(未圖示)、全球定位系統(GPS)裝置1228、羅盤1230、運動協處理器或感測器1232(其可包含加速計、迴轉儀與羅盤)、揚聲器1234、相機1236、使用者輸入裝置1238(諸如鍵盤、滑鼠、手寫筆與觸控板)以及大量儲存裝置1240(諸如硬碟機、光碟(CD)、數位多功能光碟(DVD)等等)。
該通信晶片1208致能用於傳輸往返於該計算裝置1200之資料之無線通信。該術語「無線」與其之衍生物係可被使以描述可藉由使用通過一非固態媒體之調變電磁輻射來進行資料通信之電路、裝置、系統、方法、技術、通信通道等等。該術語不暗示該相關裝置不含有任何導線,儘管在一些實施例中其可能並未含有。該通信晶片1208可以實施任何若干無線標準或協定,其包含(但不限於)無線網路Wi-Fi(IEEE802.11系列)、WiMAX(IEEE802.16系列)、IEEE802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽、其之衍生物以及任何其他的被指稱為3G、4G、5G與更先進之無線協定。該計算裝置1200可包含複數個通信晶片1208。例如,第一通信晶片1208可專用於較短距離之無線通信,諸如無線網路Wi-Fi與藍芽,而第二通信晶片1208可專用於較長距離之無線通信,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO與其他。
依照本發明之實施例,計算裝置1200之處理器1204係包含一或多個裝置,諸如被耦合至一或多個形成於一互連結構中之互連線之電晶體,該互連結構係包含自對準頂上通孔與自對準貫穿通孔。該術語“處理器”係指一裝置之任何裝置或部分,該裝置可處理來自暫存器及/或記憶體之電子資料,以轉換該電子資料成為其他可以被儲存於暫存器及/或記憶體中之電子資料。
依照本發明之實施例,該通信晶片1208亦可包含一或多個裝置,諸如被耦合至一或多個形成於一互連結構中之互連線之電晶體,該互連結構係包含自對準頂上通孔與自對準貫穿通孔。
依照本發明之實施例,在進一步之實施例中,另外一個容納於該計算裝置1200內部之組件可以含有一或多個裝置,諸如被耦合至一或多個形成於一互連結構中之互連線之電晶體,該互連結構係包含自對準頂上通孔與自對準貫穿通孔。
在各個實施例中,該計算裝置1200可以係膝上型電腦、小型筆記型電腦、筆記型電腦、超輕薄筆記型電腦、智慧型電話、平板電腦、個人數位助理(PDA)、超行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器或數位視訊記錄器。在進一步之實施方案中,計算裝置1200可以係任何其他處理資料的電子裝置。
以上對於所繪示之本發明之實施方案之描述,包含在該發明摘要中所描述之內容,並不旨在以窮舉或限制本發明於所揭示之精確形式中。儘管在本文中所述之本發明之特定實施方案以及實例係用於闡釋性之目的,然而如同那些熟習該相關技術者所認知的,各種在本發明之範圍內之等同修改係可能的。
這些針對本發明之修改可以鑑於以上之詳細說明來進行。在以下申請專利範圍中所使用之術語,不應被解釋為 限制本發明於該說明書與該申請專利範圍中所揭示之特定實施方案。相反地,本發明之範圍係完全地由以下之申請專利範圍來決定,其係依照申請專利範圍闡釋之確立原則來進行解釋。
本發明之實施例係包含一種互連結構,其包括:層間介電質(ILD);在該ILD中之一或多個第一互連線,其中,該第一互連線之各者之頂部表面係凹陷於該ILD之頂部表面下方;在該ILD中配置成與該第一互連線呈交替圖案之一或多個第二互連線,其中,該第二互連線之各者之頂部表面係凹陷於該ILD之頂部表面下方;及在該第一互連線之一或多者或在該第二互連線之一或多者之上的自對準頂上通孔,其中,該自對準頂上通孔包含與該ILD之頂部表面大致上共平面的頂部表面。一額外之實施例係包含一種互連結構,其進一步包括一或多個自對準貫穿通孔,其位在該第一互連線之一或多者下方或該第二互連線之一或多者下方。一額外之實施例係包含一互連結構,其中,介電質罩係形成於未具有自對準頂上通孔形成於其之上的第一及第二互連線之上。一額外之實施例係包含一互連結構,其中,該介電質罩係SiOxCyNz材料、金屬氧化物材料或金屬氮化物材料。一額外之實施例係包含一互連結構,其中,該自對準頂上通孔包含凹口。一額外之實施例係包含一互連結構,其進一步包括形成於該頂上通孔之該頂部表面之上的接點金屬。一額外之實施例係包含一互連結構,其中,該接點金屬係與該頂上通孔不同的材料。一 額外之實施例係包含一互連結構,其中,氣隙係形成在該第一互連線與該第二互連線之一或多者之間的空間中。一額外之實施例係包含一互連結構,其中,該氣隙沿著該第一及第二互連線之該側壁之至少一半高度延伸。一額外之實施例係包含一互連結構,其中,該氣隙沿著該第一及第二互連線之該側壁的整個高度延伸。一額外之實施例係包含一互連結構,其中,該第一及第二互連線具有2:1或更大之高寬比。一額外之實施例係包含一互連結構,其中,該第一互連線係與該第二互連線間隔小於30奈米。
一額外之實施例係包含一種形成互連結構之方法,其包括:在層間介電質(ILD)中形成複數個第一溝槽;將第一金屬安置在該第一溝槽中以形成第一互連線及位在該第一互連線之上的第一自對準頂上通孔;移除該第一自對準通孔之一或多者以曝露該第一互連線之一或多者之頂部表面;於該第一互連線之該曝露頂部表面上方形成第一介電質罩;在該ILD中形成一或多個第二溝槽,該第二溝槽係與該第一溝槽呈交替圖案;將第二金屬安置至該一或多個第二溝槽中以形成第二互連線及第二自對準頂上通孔;移除該第二自對準頂上通孔之一或多者以曝露該第二互連線之一或多者之頂部表面;以及於該第二互連線之該曝露頂部表面上方形成第二介電質罩。一額外之實施例係包含一種形成互連結構之方法,其中,形成該第一溝槽包括:在形成於該ILD之上之第一硬遮罩層上方形成主幹層;在該主幹層上形成間隔物,其中,該第一硬遮罩層之一部分 保持曝露在該間隔物之間;以及蝕刻穿過該第一硬遮罩層之該曝露部分且深入至該第一硬遮罩層之曝露部分下面之該ILD中。一額外之實施例係包含一種形成互連結構之方法,其中,形成該第二溝槽包括:蝕刻穿過該主幹層;並且蝕刻穿過該第一硬遮罩層之部分且深入至該ILD中。一額外之實施例係包含一種形成互連結構之方法,其進一步包括:在安置該第一金屬至該第一溝槽中之前,蝕刻穿過該ILD在該第一溝槽之一或多者下面之部分以形成一或多個第一貫通開口,且其中,將該第一金屬安置至該第一溝槽中進一步包含在該第一貫通開口中形成第一自對準貫穿通孔。一額外之實施例係包含一種形成互連結構之方法,其進一步包括:在安置該第二金屬至該第二溝槽中之前,蝕刻穿過該ILD在該第二溝槽之一或多者下面之部分以形成第二貫通開口,且其中,將該第二金屬安置至該第二溝槽中進一步包含在該第二貫通開口中形成第二自對準貫穿通孔。一額外之實施例係包含一種形成互連結構之方法,其中,該第一及第二互連線具有2:1或更大之高寬比。一額外之實施例係包含一種形成互連結構之方法,其進一步包括:從該第一互連線及該第二互連線之一或多者之間移除該ILD;在未填充靠近該第一互連線與該第二互連線之側壁的空間的該互連結構上安置ILD填充材料。一額外之實施例係包含一種形成互連結構之方法,其中,該第一互連線及該第二互連線之該側壁並未與該ILD或該ILD填充材料接觸。一額外之實施例係包含一種形成互連結構 之方法,其中,該第一一額外實施例包含一種形成互連結構之方法,其中,該介電質罩係SiOxCyNz材料、金屬氧化物材料或金屬氮化物材料。
一額外之實施例係包含一種形成互連結構之方法,包括:在形成於該ILD之上之第一硬遮罩層上方形成主幹層;在該主幹層上形成間隔物,其中,該第一硬遮罩層之一部分保持曝露在該間隔物之間;蝕刻穿過該第一硬遮罩層之該曝露部分且深入至該第一硬遮罩層之曝露部分下面之該ILD中以在該層間介電質(ILD)中形成複數個第一溝槽;將第一金屬安置在該第一溝槽中以形成第一互連線及位在該第一互連線之上的第一自對準頂上通孔;移除該第一自對準通孔之一或多者以曝露該第一互連線之一或多者之頂部表面;於該第一互連線之該曝露頂部表面上方形成第一介電質罩;蝕刻穿過該主幹層;蝕刻穿過該第一硬遮罩層之部分且深入至該ILD中以在該ILD中形成與該第一溝槽呈交替圖案之一或多個第二溝槽,其中,該第一溝槽與該第二溝槽間隔開小於30奈米;將第二金屬安置至該一或多個第二溝槽中以形成第二互連線及第二自對準頂上通孔;移除該第二自對準頂上通孔之一或多者以曝露該第二互連線之一或多者之頂部表面;且於該第二互連線之該曝露頂部表面上方形成第二介電質罩。一額外之實施例係包含形成一互連結構之方法,其中,該第一及第二互連線具有2:1或更大之高寬比。一額外之實施例係包含一種形成互連結構之方法,其進一步包括:從該第一互連 線及該第二互連線之一或多者之間移除該ILD;在未填充靠近該第一互連線與該第二互連線之側壁的空間的該互連結構上安置ILD填充材料。
100‧‧‧互連結構
103‧‧‧層間介電質
104‧‧‧蝕刻中止層
117‧‧‧第一頂上通孔
118‧‧‧第二頂上通孔
121‧‧‧第一互連線
122‧‧‧第二互連線
123‧‧‧第一貫穿通孔
124‧‧‧第二貫穿通孔
125‧‧‧第一介電質罩
126‧‧‧第二介電質罩
180‧‧‧第二互連層

Claims (25)

  1. 一種互連結構,包括:層間介電質(ILD);在該ILD中之一或多個第一互連線,其中,該第一互連線之各者之頂部表面係凹陷於該ILD之頂部表面下方;在該ILD中配置成與該第一互連線呈交替圖案之一或多個第二互連線,其中,該第二互連線之各者之頂部表面係凹陷於該ILD之頂部表面下方;及在該第一互連線之一或多者或在該第二互連線之一或多者之上的自對準頂上通孔,其中,該自對準頂上通孔包含與該ILD之頂部表面大致上共平面的頂部表面。
  2. 如申請專利範圍第1項之互連結構,其進一步包括一或多個自對準貫穿通孔,其位在該第一互連線之一或多者下方或該第二互連線之一或多者下方。
  3. 如申請專利範圍第1項之互連結構,其中,介電質罩係形成於未具有自對準頂上通孔形成於其之上的第一及第二互連線之上。
  4. 如申請專利範圍第3項之互連結構,其中,該介電質罩係SiOxCyNz材料、金屬氧化物材料或金屬氮化物材料。
  5. 如申請專利範圍第1項之互連結構,其中,該自對準頂上通孔包含凹口。
  6. 如申請專利範圍第5項之互連結構,其進一步包括形成於該頂上通孔之該頂部表面之上的接點金屬。
  7. 如申請專利範圍第6項之互連結構,其中,該接點金屬係與該頂上通孔不同的材料。
  8. 如申請專利範圍第1項之互連結構,其中,氣隙係形成在該第一互連線與該第二互連線之一或多者之間的空間中。
  9. 如申請專利範圍第8項之互連結構,其中,該氣隙沿著該第一及第二互連線之該側壁之至少一半高度延伸。
  10. 如申請專利範圍第8項之互連結構,其中,該氣隙沿著該第一及第二互連線之該側壁的整個高度延伸。
  11. 如申請專利範圍第1項之互連結構,其中,該第一及第二互連線具有2:1或更大之高寬比。
  12. 如申請專利範圍第1項之互連結構,其中,該第一互連線係與該第二互連線間隔小於30nm。
  13. 一種形成互連結構之方法,包括:在層間介電質(ILD)中形成複數個第一溝槽;將第一金屬安置在該第一溝槽中以形成第一互連線及位在該第一互連線之上的第一自對準頂上通孔;移除該第一自對準通孔之一或多者以曝露該第一互連線之一或多者之頂部表面;於該第一互連線之該曝露頂部表面上方形成第一介電質罩;在該ILD中形成一或多個第二溝槽,該第二溝槽係與該第一溝槽呈交替圖案; 將第二金屬安置至該一或多個第二溝槽中以形成第二互連線及第二自對準頂上通孔;移除該第二自對準頂上通孔之一或多者以曝露該第二互連線之一或多者之頂部表面;及於該第二互連線之該曝露頂部表面上方形成第二介電質罩。
  14. 如申請專利範圍第13項之方法,其中,形成該第一溝槽包括:在形成於該ILD之上之第一硬遮罩層上方形成主幹層;在該主幹層上形成間隔物,其中,該第一硬遮罩層之一部分保持曝露在該間隔物之間;及蝕刻穿過該第一硬遮罩層之該曝露部分且深入至該第一硬遮罩層之曝露部分下面之該ILD中。
  15. 如申請專利範圍第14項之方法,其中,形成該第二溝槽包括:蝕刻穿過該主幹層;及蝕刻穿過該第一硬遮罩層之部分且深入至該ILD中。
  16. 如申請專利範圍第15項之方法,其進一步包括:在安置該第一金屬至該第一溝槽中之前,蝕刻穿過該ILD在該第一溝槽之一或多者下面之部分以形成一或多個第一貫通開口,且其中,將該第一金屬安置至該第一溝槽中進一步包含在該第一貫通開口中形成第一自對準貫穿通 孔。
  17. 如申請專利範圍第15項之方法,其進一步包括:在將該第二金屬安置至該第二溝槽中之前,蝕刻穿過該ILD在該第二溝槽之一或多者下面的部分以形成第二貫通開口,且其中,將該第二金屬安置至該第二溝槽進一步包含在該第二貫通開口中形成第二自對準貫穿通孔。
  18. 如申請專利範圍第13項之方法,其中,該第一及第二互連線具有2:1或更大之高寬比。
  19. 如申請專利範圍第13項之方法,其進一步包括:從該第一互連線及該第二互連線之一或多者之間移除該ILD;且在未填充靠近該第一互連線與該第二互連線之側壁的空間的該互連結構上安置ILD填充材料。
  20. 如申請專利範圍第19項之方法,其中,該第一互連線及該第二互連線之該側壁並未與該ILD或該ILD填充材料接觸。
  21. 如申請專利範圍第13項之方法,其中,該第一互連線係與該第二互連線間隔開小於30nm。
  22. 如申請專利範圍第13項之方法,其中,該介電質罩係SiOxCyNz材料、金屬氧化物材料或金屬氮化物材料。
  23. 一種形成互連結構之方法,包括: 在形成於ILD之上之第一硬遮罩層上方形成主幹層;在該主幹層上形成間隔物,其中,該第一硬遮罩層之一部分保持曝露在該間隔物之間;蝕刻穿過該第一硬遮罩層之該曝露部分且深入至在該第一硬遮罩層之曝露部分下面之該ILD中,以在該層間介電質(ILD)中形成複數個第一溝槽;將第一金屬安置在該第一溝槽中以形成第一互連線及位在該第一互連線之上的第一自對準頂上通孔;移除該第一自對準通孔之一或多者以曝露該第一互連線之一或多者之頂部表面;於該第一互連線之該曝露頂部表面上方形成第一介電質罩;蝕刻穿過該主幹層;蝕刻穿過該第一硬遮罩層之部分且深入至該ILD中以在該ILD中形成與該第一溝槽呈交替圖案之一或多個第二溝槽,其中,該第一溝槽與該第二溝槽間隔開小於30nm;將第二金屬安置至該一或多個第二溝槽中以形成第二互連線及第二自對準頂上通孔;移除該第二自對準頂上通孔之一或多者以曝露該第二互連線之一或多者之頂部表面;及於該第二互連線之該曝露頂部表面上方形成第二介電質罩。
  24. 如申請專利範圍第23項之方法,其中,該第一 及第二互連線具有2:1或更大之高寬比。
  25. 如申請專利範圍第23項之方法,其進一步包括:從該第一互連線及該第二互連線之一或多者之間移除該ILD;且在未填充靠近該第一互連線與該第二互連線之側壁的空間的該互連結構上安置ILD填充材料。
TW104138812A 2014-12-24 2015-11-23 使通孔自對準至緊密間距金屬互連層的頂部及底部的結構及方法 TWI697993B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/US2014/072392 WO2016105422A1 (en) 2014-12-24 2014-12-24 Structure and method to self align via to top and bottom of tight pitch metal interconnect layers
WOPCT/US14/72392 2014-12-24

Publications (2)

Publication Number Publication Date
TW201635471A true TW201635471A (zh) 2016-10-01
TWI697993B TWI697993B (zh) 2020-07-01

Family

ID=56151218

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104138812A TWI697993B (zh) 2014-12-24 2015-11-23 使通孔自對準至緊密間距金屬互連層的頂部及底部的結構及方法

Country Status (6)

Country Link
US (1) US10553532B2 (zh)
EP (1) EP3238246A4 (zh)
KR (1) KR102312732B1 (zh)
CN (1) CN107112277B (zh)
TW (1) TWI697993B (zh)
WO (1) WO2016105422A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110192271A (zh) * 2017-01-19 2019-08-30 高通股份有限公司 减小集成电路(ic)的互连层中形成的金属线的端部部分之间的尖端到尖端距离
TWI718323B (zh) * 2016-12-13 2021-02-11 台灣積體電路製造股份有限公司 具互連結構半導體裝置與其製作方法

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3238244A4 (en) * 2014-12-22 2018-08-15 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias using alternating hardmasks and encapsulating etchstop liner scheme
CN107004634B (zh) * 2014-12-24 2020-10-30 英特尔公司 互连结构及其形成方法
US9837355B2 (en) * 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
KR102594413B1 (ko) 2018-03-30 2023-10-27 삼성전자주식회사 반도체 장치
US11145509B2 (en) * 2019-05-24 2021-10-12 Applied Materials, Inc. Method for forming and patterning a layer and/or substrate
US11824002B2 (en) * 2019-06-28 2023-11-21 Intel Corporation Variable pitch and stack height for high performance interconnects
KR20210024384A (ko) 2019-08-23 2021-03-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11244860B2 (en) 2019-10-22 2022-02-08 International Business Machines Corporation Double patterning interconnect integration scheme with SAV
US11264276B2 (en) 2019-10-22 2022-03-01 International Business Machines Corporation Interconnect integration scheme with fully self-aligned vias
US11152261B2 (en) 2019-10-26 2021-10-19 International Business Machines Corporation Self-aligned top via formation at line ends
US11239278B2 (en) 2020-02-04 2022-02-01 International Business Machines Corporation Bottom conductive structure with a limited top contact area
US11482454B2 (en) 2021-02-17 2022-10-25 Tokyo Electron Limited Methods for forming self-aligned contacts using spin-on silicon carbide
US11749532B2 (en) 2021-05-04 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate
US20230062967A1 (en) * 2021-08-31 2023-03-02 Nanya Technology Corporation Semiconductor device with contacts having different dimensions and method for fabricating the same
US11837499B2 (en) * 2021-10-01 2023-12-05 Nanya Technology Corporation Method for preparing fine metal lines with high aspect ratio

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5394772A (en) 1977-01-31 1978-08-19 Cho Lsi Gijutsu Kenkyu Kumiai System for compressing data in charged beam exposing device
US5294800A (en) 1992-07-31 1994-03-15 International Business Machines Corporation E-beam control data compaction system and method
US5691238A (en) 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Subtractive dual damascene
US5929454A (en) 1996-06-12 1999-07-27 Canon Kabushiki Kaisha Position detection apparatus, electron beam exposure apparatus, and methods associated with them
US6352916B1 (en) * 1999-11-02 2002-03-05 Micron Technology, Inc. Method of forming plugs in multi-level interconnect structures by partially removing conductive material from a trench
US6352917B1 (en) * 2000-06-21 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Reversed damascene process for multiple level metal interconnects
US6426558B1 (en) * 2001-05-14 2002-07-30 International Business Machines Corporation Metallurgy for semiconductor devices
US6838354B2 (en) * 2002-12-20 2005-01-04 Freescale Semiconductor, Inc. Method for forming a passivation layer for air gap formation
JP4356542B2 (ja) 2003-08-27 2009-11-04 日本電気株式会社 半導体装置
US7704653B2 (en) 2006-10-25 2010-04-27 Kla-Tencor Corporation Method of data encoding, compression, and transmission enabling maskless lithography
US8026605B2 (en) * 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US7939445B1 (en) * 2007-03-16 2011-05-10 Marvell International Ltd. High density via and metal interconnect structures, and methods of forming the same
JP2008235704A (ja) 2007-03-22 2008-10-02 Toshiba Corp 半導体素子および半導体集積回路
JP5402630B2 (ja) 2007-06-07 2014-01-29 日本電気株式会社 スイッチ回路および半導体集積回路
WO2009066500A1 (ja) 2007-11-21 2009-05-28 Nec Corporation 半導体装置のコンフィギュレーション方法
US20090200668A1 (en) 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
WO2009127914A1 (en) * 2008-04-17 2009-10-22 Freescale Semiconductor, Inc. Method of sealing an air gap in a layer of a semiconductor structure and semiconductor structure
WO2010151844A2 (en) 2009-06-25 2010-12-29 Georgia Tech Research Corporation Metal oxide structures, devices, & fabrication methods
US8299365B2 (en) * 2010-01-07 2012-10-30 International Business Machines Corporation Self-aligned composite M-MOx/dielectric cap for Cu interconnect structures
US8987862B2 (en) * 2011-01-12 2015-03-24 Freescale Semiconductor, Inc. Methods of forming semiconductor devices having conductors with different dimensions
JP5963139B2 (ja) 2011-10-03 2016-08-03 株式会社Param 電子ビーム描画方法および描画装置
KR102306796B1 (ko) * 2011-11-04 2021-09-30 인텔 코포레이션 자기 정렬 캡의 형성 방법 및 장치
US20130302989A1 (en) * 2012-05-08 2013-11-14 Globalfoundries Inc. Reducing line edge roughness in hardmask integration schemes
US20130323930A1 (en) * 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9245799B2 (en) * 2012-05-31 2016-01-26 Intel Deutschland Gmbh Semiconductor device and method of manufacturing thereof
US8803321B2 (en) 2012-06-07 2014-08-12 International Business Machines Corporation Dual damascene dual alignment interconnect scheme
US8916472B2 (en) * 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
KR102001417B1 (ko) * 2012-10-23 2019-07-19 삼성전자주식회사 반도체 장치
US9312222B2 (en) * 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning approach for improved via landing profile
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
CN105518837B (zh) * 2013-09-27 2019-04-16 英特尔公司 用于后段(beol)互连的自对准过孔及插塞图案化
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI718323B (zh) * 2016-12-13 2021-02-11 台灣積體電路製造股份有限公司 具互連結構半導體裝置與其製作方法
US11422475B2 (en) 2016-12-13 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-metal fill with self-aligned patterning and dielectric with voids
US11860550B2 (en) 2016-12-13 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-metal fill with self-aligned patterning and dielectric with voids
CN110192271A (zh) * 2017-01-19 2019-08-30 高通股份有限公司 减小集成电路(ic)的互连层中形成的金属线的端部部分之间的尖端到尖端距离

Also Published As

Publication number Publication date
US20170263553A1 (en) 2017-09-14
KR20170095836A (ko) 2017-08-23
WO2016105422A1 (en) 2016-06-30
US10553532B2 (en) 2020-02-04
CN107112277A (zh) 2017-08-29
EP3238246A1 (en) 2017-11-01
CN107112277B (zh) 2021-03-12
TWI697993B (zh) 2020-07-01
EP3238246A4 (en) 2018-08-22
KR102312732B1 (ko) 2021-10-15

Similar Documents

Publication Publication Date Title
TWI697993B (zh) 使通孔自對準至緊密間距金屬互連層的頂部及底部的結構及方法
CN107004633B (zh) 使用交替硬掩模和密闭性蚀刻停止衬垫方案使紧密间距导电层与引导通孔接触的方法和结构
US10109583B2 (en) Method for creating alternate hardmask cap interconnect structure with increased overlay margin
US10546772B2 (en) Self-aligned via below subtractively patterned interconnect
TWI515858B (zh) 用於矽穿孔之連接結構
US9153489B2 (en) Microelectronic devices having conductive through via electrodes insulated by gap regions
US10636700B2 (en) Metal via processing schemes with via critical dimension (CD) control for back end of line (BEOL) interconnects and the resulting structures
US10811351B2 (en) Preformed interlayer connections for integrated circuit devices
US10971394B2 (en) Maskless air gap to prevent via punch through