TW201623598A - 具有高wn/w蝕刻選擇性的剝除組合物 - Google Patents

具有高wn/w蝕刻選擇性的剝除組合物 Download PDF

Info

Publication number
TW201623598A
TW201623598A TW104143648A TW104143648A TW201623598A TW 201623598 A TW201623598 A TW 201623598A TW 104143648 A TW104143648 A TW 104143648A TW 104143648 A TW104143648 A TW 104143648A TW 201623598 A TW201623598 A TW 201623598A
Authority
TW
Taiwan
Prior art keywords
acid
composition
ammonium
group
tetramethylammonium
Prior art date
Application number
TW104143648A
Other languages
English (en)
Other versions
TWI573867B (zh
Inventor
劉文達
李翊嘉
天牛 陳
威廉 傑克 加斯特爾
稻岡誠二
郡 艾韋拉德 沛瑞斯
Original Assignee
氣體產品及化學品股份公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 氣體產品及化學品股份公司 filed Critical 氣體產品及化學品股份公司
Publication of TW201623598A publication Critical patent/TW201623598A/zh
Application granted granted Critical
Publication of TWI573867B publication Critical patent/TWI573867B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • C11D3/3956Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

本發明關於一種用於清潔積體電路基材的組合物,該組合物包含:水;包含氧化性物種銨鹽的氧化劑;包含一級烷基胺的腐蝕抑制劑,該一級烷基胺具有以下通式:R'NH2,其中R'係含有至多約150個碳原子的烷基而且更常為含有約4至約30個碳原子的脂肪族烷基;任意地,水可混溶性有機溶劑;任意地,有機酸;任意地,緩衝物種;任意地,氟離子源;及任意地,金屬螯合劑。

Description

具有高WN/W蝕刻選擇性的剝除組合物 相關申請案之交互參照
本發明請求2014年,12月30日申請的早先申請的美國專利案序號第62/097,647號在35 U.S.C.§ 119(e)保護之下的優先權,其揭示內容在此係以引用的方式將其全文完全併入本文。
本發明關於可用於清潔半導體晶圓基材的微電子裝置產業的組合物。特別是,本發明關於含有浴安定劑的鹼性剝除或清潔組合物,該等浴安定劑係藉由去除污染物而不損及積體電路而清潔具有鎢金屬線及通孔的晶圓。
半導體電路中的互連電路線路由絕緣介電材料圍繞的導電金屬電路線路組成。過去,由四乙基原矽酸鹽(TEOS)氣相沉積而成的矽酸鹽玻璃被廣泛用作該介電材料,而鋁合金係用於金屬互連件。
對較高處理速度的需求導致製成較小尺寸的電 路元件,連同藉由較高性能材料來替換TEOS及鋁合金。由於銅的較高導電度使鋁合金已經被銅或銅合金替代。TEOS及氟化矽酸鹽玻璃(FSG)已經被所謂的低-k介電質替代,該低-k介電質包括低極性材料例如有機聚合物、混合有機/無機材料、有機矽酸鹽玻璃(OSG)及摻碳氧化物(CDO)玻璃。孔隙率,亦即,充滿空氣的細孔,加入這些材料將進一步降低該材料的介電常數。
在積體電路的雙鑲嵌加工的期間,用光微影術將圖案造影於裝置晶圓上。光微影術包含塗佈、曝光及顯影的步驟。晶圓用正向或負向光阻劑物質來塗佈而且其後覆以遮罩,該遮罩定義出於後繼製程中留下來或去除的圖案。在該遮罩適當定位之後,使單波輻射(monochromatic radiation)束,例如紫外(UV)光或深UV(DUV)光(約250nm或193nm)通過該遮罩,以製造在選定沖洗溶液中有些可溶性的經曝光光阻劑材料。接著將該可溶性光阻劑材料去除,或"顯影",藉以留下與該遮罩一致的圖案。
其後,利用氣相電漿蝕刻將該經顯影的塗層的圖案轉移至下方層,該等下方層可包括硬罩、層間介電質(ILD)及/或蝕刻阻擋層。電漿蝕刻後殘餘物通常沉積在線背端(back-end-of-the-line;BEOL)結構上而且若沒被去除,可能被矽化或接點形成干擾。電漿蝕刻後殘餘物通常包括存於該基材上及該等電漿氣體中的化學元素。舉例來說,若使用WN硬罩,例如,當ILD上面的頂蓋層,該等電漿蝕刻後殘餘物包括含鎢物種,該等含鎢物種難以利用習用濕式清潔化學藥 品來去除。再者,習用清潔化學藥品常常損及該ILD,吸收至該ILD的細孔中,藉以使該介電常數提高,及/或腐蝕該等金屬結構。舉例來說,緩衝性氟化物及以溶劑為基礎的化學藥品無法完全去除WN及含鎢殘餘物,而含羥胺和過氧化銨的化學藥品將腐蝕金屬線例如,舉例來說,銅或鎢。
除了希望去除含鎢硬罩及/或含鎢電漿蝕刻後殘餘物以外,較佳也去除該電漿蝕刻後製程期間沉積的其他材料例如該圖案化裝置側壁上的聚合性殘餘物及開口通孔結構中的含銅殘餘物。迄今,還沒有任何單一濕式清潔組合物曾順利地去除所有殘餘物及/或硬罩材料,同時能與該ILD、其他低-k介電材料及金屬互連件材料相容。
新材料,例如低-k介電質,集積於微電子裝置對清潔性能加諸了新的要求。同時,縮小裝置尺寸將降低關鍵尺寸變化的容忍度並且損及裝置元件。為了符合該等新材料的要求,能更改蝕刻條件。同樣地,電漿蝕刻後清潔組合物也必須更換。重要的是,該清潔劑不得損及下方的介電材料或腐蝕該裝置上的金屬互連件材料,例如,銅、鎢、鈷、鋁、釕及其矽化物。
朝向該終點,本發明的目的在於提供從微電子裝置選擇性且有效性去除含鎢電漿蝕刻後殘餘物、聚合性側壁殘餘物、含銅通孔殘餘物及/或含鎢硬罩層的改良型水性組合物,前述組合物能與ILD及金屬互連件材料相容。
本發明的另一目的在於提供相對於習用含過氧化物的清潔組合物具有延長浴壽命的改良型水性組合物。
本發明大體上關於清潔組合物及其使用方法。本發明之一態様關於用於從微電子裝置清潔電漿蝕刻後殘餘物及/或含鎢硬罩的氧化性水性組合物及製程,該等微電子裝置具有前述殘餘物及/或其上的硬罩,同時不會危及該微電子裝置表面上的金屬材料及ILD材料。
本發明的氧化性水性清潔組合物包括水;包含氧化性物種銨鹽的氧化劑;包含一級烷基胺的腐蝕抑制劑,該一級烷基胺具有以下通式:R'NH2,其中R'係含有至多約150個碳原子的烷基而且更常為含有約4至約30個碳原子的脂肪族烷基;任意地,水可混溶性有機溶劑;任意地,有機酸;任意地,緩衝物種;任意地,氟離子源;及任意地,金屬螯合劑。
在另一態樣中,本發明提供一種從微電子裝置去除WN硬罩材料之方法,該微電子裝置具有在其上面的前述WN硬罩及W金屬,前述方法包含使該微電子裝置與水性組合物接觸足夠時間以從該微電子裝置至少部分清潔前述WN硬罩,其中該水性組合物包含水;包含氧化性物種銨鹽的氧化劑;包含一級烷基胺的腐蝕抑制劑,該一級烷基胺具有以下通式:R'NH2,其中R'係含有至多約150個碳原子的烷基而且更常為含有約4至約30個碳原子的脂肪族烷基;任意地,水可混溶性有機溶劑;任意地,有機酸;任意地,緩衝物種;任意地,氟離子源;及任意地,金屬螯合劑,其中該方法達成>6.0的WN/W選擇性。
之後本發明將關聯隨附圖式描述,其中類似數字表示類似元件。
圖1係顯示以蝕刻WN勝過W的選擇性來表示之本發明組合物的功效之角度來看的浴壽命圖表;及 圖2係顯示圖1所示的浴之pH穩定度的圖表。
隨後的詳細描述僅提供較佳示範具體實施例,而且並非意圖限制本發明的範疇、適用性或組態。而是,該等較佳示範具體實施例在隨後的詳細描述將提供熟悉此技藝者關於實施本發明的較佳示範具體實施例的授權說明。元件的功能和配置可以完成不同變更而不會悖離附加申請專利範圍提出的發明精神及範疇。
一方面本發明關於包含氧化性物種銨鹽當氧化劑及正辛胺當腐蝕刻劑的清潔組合物。較佳地,本發明關於從具有前述殘餘物及/或其上的層微電子裝置清潔含鎢蝕刻後殘餘物、聚合性側壁殘餘物、含金屬通孔和線殘餘物及/或硬罩層的氧化性水性組合物,前述組合物與該微電子裝置表面上超低-k(ULK)介電材料,例如OSG和多孔性-CDO,及金屬互連件材料,例如,銅和鎢,相容。
為易於參照,"微電子裝置"相當於為供微電子、積體電路或電腦晶片應用使用而製造的半導體基材、平板顯示器及微電機系統(MEMS)。咸了解該措辭"微電子裝置"並不 意指依任何方式限制而且包括最終將變成微電子裝置或微電子組合件的任何基材。
用於本文時,"蝕刻後殘餘物"及"電漿蝕刻後殘餘物"表示在氣相電漿蝕刻製程,例如,BEOL雙鑲嵌加工,之後留下來的材料。該蝕刻後殘餘物性質上可為有機性、有機金屬性、有機矽質或無機性,舉例來說,含矽材料、硬罩頂蓋層材料(例如,含鎢材料)、含氮材料、含氧材料、聚合性殘餘材料、含銅殘餘材料、蝕刻氣體殘餘物例如氯和氟及其組合。
用於本文時,"低-k介電材料"表示用作成層微電子裝置中的介電材料的任何材料,其中該材料具有小於約3.5的介電常數。較佳地,該等低-k介電材料包括低孔隙率材料例如含矽有機聚合物、含矽混合有機/無機材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽及摻碳氧化物(CDO)玻璃。咸明白該等底-k介電材料可具有變化的密度及變化的孔隙率。
如本文定義的,該措辭"聚合性側壁殘餘物"表示在電漿蝕刻後製程之後仍留在該圖案化裝置側壁上的殘餘物。該殘餘物在性質方面實質上為聚合性,但是咸應明白無機性物種,例如,含有鎢、矽及/或銅的物種同樣可能存於該殘餘物中。
用於本文時,"約"意欲表示標準值±5%。
用於本文時,關於從具有前述殘餘物及/或其上的材料之微電子裝置清潔含鎢蝕刻後殘餘物,聚合性側壁殘餘 物、含銅通孔及線殘餘物及/或硬罩層的"適當性"表示從該微電子裝置至少部分去除前述殘餘物及/或材料。較佳地,用本發明的組合物從該微電子裝置去除至少約90%的一或更多材料,更佳地至少95%的一或更多材料,而且最佳地至少99%的一或更多材料。
用於本文時,該措辭“硬罩”或“硬罩頂蓋層"表示沉積於介電材料上面以在該電漿蝕刻步驟期間保護該介電材料的材料。硬罩頂蓋層傳統上為氮化矽、氧氮化矽及其他類似化合物。本文另外考慮的硬罩頂蓋層包括氮化鎢。
誠如後文更徹底描述的,本發明的組合物可以各式各樣的特定配方具體實現。
在所有這樣的組合物中,其中該組合物的特定組分係引用包括0下限的重量百分比範圍來討論,咸了解此類組分可能存在或不在該組合物的不同特定具體實施例中,而且在此類組分存在的例子中,以使用此類組分的組合物總重量為基準,其可能存有至少0.001重量百分比。
氮化鎢化合物眾所周知地難以使用先前技藝的含過氧化物的組合物來蝕刻。發明人發現不含過氧化氫的清潔組合物能從微電子裝置表面以選擇性勝過金屬(例如,舉例來說,鎢)的方式有效地而且選擇性地去除其上面的含鎢殘餘物、含鎢硬罩材料(例如,氮化鎢)或二者。除此之外,該組合物具有相較於先前技藝的過氧化氫組合物實質上較長的浴壽命而且將實質上去除聚合性側壁殘餘物及含銅殘餘物。如本文詳細描述的,本發明的組合物可經調配以從該微電子裝置 表面實質上去除該硬罩層而不會實質上損及下方的低-k介電質及金屬互連件材料。
在一態樣中,本發明關於一種用於清潔硬罩層及/或電漿蝕刻後殘餘物的氧化性清潔組合物,該等殘餘物係選自由含鎢殘餘物、聚合性殘餘物、含銅殘餘物及其組合所組成的群組,前述組合物包含水;包含氧化性物種銨鹽的氧化劑;包含一級烷基胺的腐蝕抑制劑,該一級烷基胺具有以下通式:R'NH2,其中R'係含有至多約150個碳原子的烷基而且更常為含有約4至約30個碳原子的脂肪族烷基;任意地,水可混溶性有機溶劑;任意地,有機酸;任意地,緩衝物種;任意地,氟離子源;及任意地,金屬螯合劑。
在廣泛實行本發明時,本發明的清潔組合物可包含,由以下組成,或基本上由以下組成:水;包含氧化性物種銨鹽的氧化劑;包含一級烷基胺的腐蝕抑制劑,該一級烷基胺具有以下通式:R'NH2,其中R'係含有至多約150個碳原子的烷基而且更常為含有約4至約30個碳原子的脂肪族烷基;任意地,水可混溶性有機溶劑;任意地,有機酸;任意地,緩衝物種;任意地,氟離子源;及任意地,金屬螯合劑。
本發明的清潔組合物係以水性為主,從而包含水。在本發明中,水以不同方式起作用例如,舉例來說,以溶解該組合物的一或更多組分,當該等組分的載劑,當去除該殘餘物的助劑,當該組合物的黏度改質劑,及當稀釋劑。較佳地,用於該清潔組合物的水係去離子(DI)水。
咸相信,對於大部分應用,水能包含,舉例來說,約10至約90重量%的水。本發明的其他較佳具體實施例可能包含約18至約90重量%的水。本發明又其他較佳具體實施例可能包含約35至約60重量%的水。本發明還有其他較佳具體實施例可能包含約12至約25重量%的水。本發明還有其他較佳具體實施例可能包括能達成預期重量百分比的其他成分的水量。
氧化劑
本發明的清潔組合物較佳包含包含氧化性物種銨鹽的氧化劑。本文考慮的氧化劑包括,但不限於,亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過碘酸銨(NH4IO3)、過硫酸銨((NH4)2S2O8)、亞氯酸四甲基銨((N(CH3)4)ClO2)、氯酸四甲基銨((N(CH3)4)ClO3)、碘酸四甲基銨((N(CH3)4IO3)、過硼酸四甲基銨((N(CH3)4)BO3)、過氯酸四甲基銨((N(CH3)4)ClO4)、過碘酸四甲基銨((N(CH3)4)IO4)、過硫酸四甲基銨((N(CH3)4)S2O8)及其組合。在較佳具體實施例中,該氧化劑係或包含過硫酸銨。
本發明的清潔組合物中的氧化劑濃度可介於該清潔組合物總重量的約0.1重量%至約5重量%。在另一具體實施例中,該氧化劑濃度可介於該清潔組合物總重量的約0.5重量%至約2重量%。在又另一具體實施例中,該氧化劑濃度可介於該清潔組合物總重量的約0.5重量%至約1.5重量%。
本文考慮的是該氧化劑可直接加於該清潔組合 物。本發明之一較佳態樣關於包含過硫酸銨而且可在使用之前儲存至少6小時,更佳地至少12小時,又更佳地至少24小時的組合物。
根據本發明的清潔組合物實質上不含過氧化氫。
腐蝕抑制劑
本發明的清潔組合物較佳包含腐蝕抑制劑,該腐蝕抑制劑包含聚胺及/或具有以下通式的一級烷基胺:R'NH2,其中R'係含有至多約150個碳原子的烷基而且更常為含有約4至約30個碳原子的脂肪族烷基。在一特定具體實施例中,該一級烷基胺含有於該烷基中的約4至約30個碳原子,而且更佳地於該烷基中的約8至約20個碳原子。該烷基可能未經取代或經取代,例如被上述與該烴基有關及其所提及的取代基取代。
一級烷基胺的代表性實例包括脂肪族一級脂肪胺類。典型脂肪胺類包括烷基胺類例如正己胺、正辛胺、正癸胺、正十二胺、正十四胺、正十五胺、正十六胺及正十八胺(硬脂胺)等。較佳的一級烷基胺腐蝕抑制劑係正辛胺。
聚胺類的代表性實例包括3,3’-亞胺基雙(N,N-二甲基丙胺)、雙(3-胺丙基)胺、五甲基二伸乙三胺(PMDETA)及聚乙烯亞胺(PEI)等。較佳的聚胺係聚乙烯亞胺(PEI)。
在本發明的某些具體實施例中,第二(亦即,輔助)腐蝕抑制劑存在以進一步降低鎢金屬的腐蝕。在這樣的具體實施例中該第二腐蝕抑制劑較佳為含羧基有機酸及/或其酸酐,其包括甲酸、乙酸、丙酸、丁酸、異丁酸、草酸、丙二 酸、丁二酸、戊二酸、順丁烯二酸、反丁烯二酸、苯甲酸、苯二甲酸、1,2,3-苯三羧酸、乙醇酸、乳酸、順丁烯二酸、檸檬酸、乙酸酐及水楊酸。
咸相信對於大部分應用,該腐蝕抑制劑能佔該組合物的約0.01至約5重量%;較佳地其包含約0.01至約4重量%,最佳地,該組合物的約0.01至約3重量%。
水可混溶性溶劑
本發明的清潔組合物任意地包括一或更多水可混溶性有機溶劑。在本發明的不同具體實施例中,該基材上的金屬線通常指示使用水可混溶性有機溶劑與否。舉例來說,當鋁線存於基材上時,水和氟離子的組合通常將易於蝕刻該鋁。在這樣的具體實施例中,使用水可混溶性有機溶劑能顯著降低,即使沒消除,鋁的蝕刻。
能用的水可混溶性有機溶劑的實例係乙二醇、丙二醇、1,4-丁二醇、三伸丙二醇甲基醚、丙二醇丙基醚、二伸乙二醇正丁基醚(例如能以註冊商標Dowanol DB自市面上購得)、己氧基丙胺、聚(環氧乙烷)二胺、二甲基亞碸、四氫呋喃甲醇、甘油、醇類、亞碸類或其混合物。較佳的溶劑係醇類、二醇類或其混合物。最佳的溶劑係二醇類例如,舉例來說,伸丙二醇。
咸相信,對於大部分應用,該水可混溶性有機溶劑的量,當存在時,能佔該組合物的約1至75重量%。較佳地,該溶劑佔的5至約70重量%而且,最佳地,該組合物的約5%至約60重量%。
緩衝物種
除此之外,本發明的清潔組合物任意地包括緩衝物種以控制該組合物的pH,通常控制於約3至約7的範圍而且,最常地,約3.5至約6.5。
該任選的緩衝物種可能為了pH穩定化而被包括在內。較佳地,該緩衝劑包括弱酸的四烷基銨鹽類,其中該四烷基銨鹽包括[NR1R2R3R4]+所示的四烷基銨陽離子,其中R1、R2、R3及R4可為彼此相同或不同而且係選自由C1-C6直鏈或支鏈烷基(例如,甲基、乙基、丙基、丁基、戊基、己基)或C6-C10經取代或未經取代的芳基(例如,苯甲基)所組成的群組,而且該弱酸包括硼酸;及羧酸例如乳酸、順丁烯二酸、抗壞血酸、蘋果酸、苯甲酸、反丁烯二酸、丁二酸、草酸、丙二酸、苯乙醇酸、順丁烯二酸酐、乙酸、丙酸、檸檬酸、苯二甲酸、其他脂肪族和芳香族羧酸及前述酸類的組合。較佳地,該緩衝物種包括檸檬酸的四甲基銨鹽或硼酸的四甲基銨鹽類。市售可得的氫氧化四烷基銨類可用於製造該較佳的弱酸四烷基銨鹽與前述酸物種的組合。舉例來說,氫氧化四乙銨(TEAH)、氫氧化四甲銨(TMAH)、氫氧化四丙銨(TPAH)及氫氧化四丁銨(TBAH)皆可使用。無法自市面上購得的氫氧化四烷基銨類可以普通熟悉此技藝者已知之用於製備TMAH、TEAH、TPAH和TBAH的公開合成方法的類似方式來製備。最佳地,當金屬螯合劑存在以使該組合物的pH穩定化時該緩衝物種便被包括在內以致於該金屬不會腐蝕而且該過氧化物不會迅速分解。
在本發明的上下文關聯中,較佳為保持穩定的pH,因為其於達成延長浴壽命方面,亦即,大於6.0小時,很重要。
咸相信對於大部分應用,該緩衝劑能佔該組合物的約0.05至約20重量%;較佳地,其佔該組合物的約0.1至約15重量%;最佳地,約0.2至約10重量%。
其他任意組分
能用於該清潔組合物的另一任意成分係金屬螯合劑;其能擔任提升該組合物保有溶液中的金屬及增進金屬性殘餘物溶解的能力之工作。可用於此目的的螯合劑典型實例係下列有機酸類及其異構物和鹽類:(伸乙二腈基)四醋酸(EDTA)、伸丁二胺四醋酸、(1,2-伸環己二腈基)四醋酸(CyDTA)、二伸乙三胺五醋酸(DETPA)、伸乙二胺四丙酸、(羥乙基)伸乙二胺三醋酸(HEDTA)、N,N,N',N'-伸乙二胺肆(亞甲基膦)酸(EDTMP)、三伸乙四胺六醋酸(TTHA)、1,3-二胺基-2-羥丙烷-N,N,N',N'-四醋酸(DHPTA)、甲基亞胺基二醋酸、伸丙二胺四醋酸、腈基三醋酸(NTA)、檸檬酸、酒石酸、葡萄糖醛酸、糖酸、甘油酸、草酸、苯二甲酸、順丁烯二酸、苯乙醇酸、丙二酸、乳酸、水楊酸、苯磷二酚、沒食子酸、沒食子酸丙酯、焦性沒食子酸、8-羥基喹啉及半胱胺酸。較佳的螯合劑係胺基羧酸類例如EDTA、CyDTA及胺基膦酸類例如EDTMP。
咸相信,對於大部分應用,該螯合劑能以該組合物的0至約5重量%的量,較佳地約0.1 to 2重量%的量存於 該組合物中。
本發明的清潔組合物也任意包含一或更多氟離子源。氟離子原則上擔任提高該WN硬罩蝕刻速率的工作。提供根據本發明的氟離子源的典型化合物係氫氟酸及其鹽類、氟化銨、氟化季銨類例如,舉例來說,氟化四甲基銨及氟化四丁基銨、氟硼酸鹽類、氟硼酸、四氟硼酸四丁基銨及六氟化鋁。
在一較佳具體實施例中,該氟離子源係氟化銨;然而,當使用氟化銨時,較佳為從該系統去除銨離子。儘管這能藉由讓製備而成的清潔組合物保持於室溫下經過長時期完成,但是銨離子也能藉由加熱該溶液加以去除。
在挑選該氟離子的來源時,應該考慮該來源是否可能易於釋出可能易於對在清潔的表面發生不利影響的離子。舉例來說,在清潔半導體元件時,鈉或鈣離子出現於該清潔組合物中對該元件表面會有不利的影響。
咸相信用作該清潔組合物中的氟離子源的化合物量能,對於大部分應用,佔約0.1至約5重量%。較佳地,該化合物佔約0.1至約3重量%而且,最佳地,約0.1至約2.5重量%。咸應能理解該氟離子的用量通常將取決於究竟是何特定基材在清潔。舉例來說,在某些清潔應用中,當清潔包含耐氟離子蝕刻性高的介電材料之基材時,該氟離子的量可能較高。相反地,在其他應用中,該氟離子的量應該較低,舉例來說,在清潔包含耐氟離子蝕刻性低的介電材料之基材的情形中。
其他眾所周知的組分例如染料、殺生物劑等等皆能依傳統用量被包括在該清潔組合物中,舉例來說,總計為該組合物的約5重量%。
本發明的清潔組合物通常藉由於容器中於室溫下將該等組分一起混合直到所有固體皆溶於該水性為主的介質。
濃縮的氧化性水性清潔組合物可在必要時(於製造室等等)藉由將水加於該清潔組合物濃縮液而被稀釋。該清潔組合物較佳為在約0.1:1至約20:1,較佳地約1:1至約10:1的範圍中稀釋(水對清潔組合物)。
該氧化性水性本發明的清潔組合物具有較先前技藝的含過氧化物的浴延長的浴壽命。較佳地,該浴壽命係於45℃下大於9小時。用於本文時,該措辭“浴壽命”一般表示與新鮮浴的性能相比時於特定製程溫度下保持浴中剝除劑的性能(例如,pH、蝕刻速率、殘餘物去除能力)。
再者,前述清潔組合物較佳地從該微電子裝置的頂表面、側壁及通孔和線選擇性地去除WN硬罩及/或電漿蝕刻後殘餘物而不會損及存於該裝置上的ILD及/或金屬互連件層。與應用本發明相關的另一優點是不需要清潔後烘烤步驟以去除可能吸入該等ILD材料細孔中的揮發性材料。
本發明的氧化性水性清潔組合物係藉由添加個別成分並且混合成均質狀態而輕易地調配出來。再者,該等氧化性水性清潔組合物可被輕易地調配成單包配方或在使用點處混合的多部分配方,較佳為多部分配方。多部分配方的 個別部分可於設備處或於該設備上游的儲槽中混合。在廣泛實行本發明時個別成分的濃度可依該氧化性水性清潔組合物的特定倍數廣泛地變化,亦即,更稀或更濃,而且咸能明白本發明的氧化性水性清潔組合物能變化地且供選擇地包含與本文揭示內容一致的任何成分組合,由該組合組成或基本上由該組合組成。
性能
當應用於包括W金屬線及WN硬罩的基材上時本發明的組合物令人驚訝顯現優良的WN/N選擇性。該措辭"選擇性"通常係用以表示二材料的蝕刻速率比率。根據本發明的組合物較佳地顯現>6:1的濕式蝕刻速率WN/W選擇性,更佳地顯現>10:1的濕式蝕刻速率WN/W選擇性,而且更佳地顯現>50:1的濕式蝕刻速率WN/W選擇性。
本發明的組合物顯現下列性能特徵:WN蝕刻速率>50Å/min
W蝕刻速率<5Å/min
氧化物蝕刻速率<1Å/min
WN/W蝕刻速率選擇性>6:1
製程溫度<70℃
浴壽命>6小時
相應地,在另一具體實施例中,本發明提供一種從微電子裝置去除WN硬罩材料之方法,該微電子裝置具有在其上面的前述WN硬罩及W金屬,前述方法包含使該微電子裝置與水性組合物接觸足夠時間以從該微電子裝置至少部 分清潔前述WN硬罩,其中該水性組合物包括水;包含過硫酸銨的氧化劑;包含一級烷基胺的腐蝕抑制劑,該一級烷基胺具有以下通式:R'NH2,其中R'係含有至多約150個碳原子的烷基而且更常為含有約4至約30個碳原子的脂肪族烷基;任意地,水可混溶性有機溶劑;任意地,有機酸;任意地,緩衝物種;任意地,氟離子源;及任意地,金屬螯合劑,其中達成了>6.0的WN/W選擇性。
在電漿蝕刻後清潔及/或含鎢硬罩去除應用中,該氧化性水性清潔組合物係依任何適當方式施於該要被清潔的裝置,例如,藉由將該氧化性水性清潔組合物噴灑於該要被清潔的裝置表面上;藉由將該要被清潔的裝置浸於一靜態或動態容量的氧化性水性清潔組合物中;藉由使該要被清潔的裝置表面與使該氧化性水性清潔組合物被吸附於上面的另一材料(例如,墊子或纖維質吸附物塗佈器元件)接觸;或藉由使該氧化性水性清潔組合物與該要被清潔的裝置進行移除性接觸的任何其他適合手段、方式或技術。
在使用本發明的組合物從上面有電漿蝕刻後殘餘物的微電子裝置去除電漿蝕刻後殘餘物時,該氧化性水性清潔組合物通常與該裝置於約25℃至約70℃,較佳地約30℃至約60℃範圍中的溫度下接觸約1分鐘至約60分鐘的時間。這樣的接觸時間及溫度係例示性,而且在本發明的廣大實行範圍內,能有效從該裝置至少部分去除該蝕刻後殘餘物材料及/或硬罩層的任何其他適合時間及溫度條件皆可使用。從該微電子裝置"至少部分去除"該殘餘物材料及/或硬罩層表示去 除至少90%材料,較佳地去除至少95%。最佳地,使用本發明的組合物去除至少99%的前述殘餘物材料及/或硬罩層。
在達成預期的去除作用之後,接著端視本發明組合物的特定最終用途可能期望的及有效性而從先前已經被施加該氧化性水性清潔組合物,其較佳為水可混溶性,的裝置輕易地去除該氧化性水性清潔組合物,例如,藉由沖洗、清洗或其他去除步驟。舉例來說,該裝置可利用包括去離子水的沖洗溶液來沖洗及/或乾燥(例如,旋乾、N2、蒸氣乾燥等等)。
本發明的另一態樣關於一種從該微電子裝置表面去除電漿蝕刻後殘餘物材料的二步驟方法。該第一步驟涉及使本發明的氧化性水性清潔組合物與該裝置於約25℃至約70℃,較佳地約30℃至約60℃範圍中的溫度下接觸約1分鐘至約60分鐘的時間。其後,使該裝置與稀氫氟酸組合物於約20℃至約25℃範圍中的溫度下接觸15秒至約60秒。該稀氫氟酸組合物可具有於約100:1至約1000:1(水對HF)範圍中的稀釋度,較佳為約400:1至約600:1。較佳地,該裝置係利用沖洗組合物,例如,去離子水,來沖洗,隨後在與該稀HF接觸之前與該氧化性水性清潔組合物接觸。
實施例 用於製備該等清潔組合物的通用程序
所有屬於當前實施例的題目之組合物皆藉由於600mL燒杯中藉著1”塗佈鐵氟龍的攪拌子來混合500g材料製 備而成。接著能依任何順序添加該等組分。
蝕刻速率量測
關於下列實施例,按下列方式測定蝕刻速率測量值:該等蝕刻速率係於3分鐘時段內從40℃測量到65℃。該等W和WN蝕刻速率係藉由4點探針來測量而且TEOS膜係藉由橢圓儀來測量。該等蝕刻速率係藉由處理前後的厚度差值除以浸泡時間算出來。
示範組合物
藉著有機酸類,可以使W蝕刻速率進一步降低。於是,有機酸類可被用作輔助性W腐蝕抑制劑。
較佳組合物
較佳為下列組合物。
配方165K
參照圖1和2,能見到對浴壽命延長來說保持穩定的pH是重要的事。配方165K顯現有希望的WN蝕刻能力並且保持高WN/W選擇性。該165K的浴壽命於45℃下超過9小時。
氟離子的影響
下列數據顯示將氟離子加於包含過硫酸銨的組合物向上推升該WN蝕刻速率。
儘管本發明的原理已經關聯較佳具體實施例描述於上文,但是咸能清楚了解到此說明僅藉由示範方式完成而且不得視為本發明範疇的限制。

Claims (33)

  1. 一種用於清潔積體電路基材之組合物,該組合物包含:a.水;b.包含氧化性物種銨鹽的氧化劑;c.包含一級烷基胺的腐蝕抑制劑,該一級烷基胺具有以下通式:R'NH2,其中R'係含有至多約150個碳原子的烷基而且更常為含有約4至約30個碳原子的脂肪族烷基;d.任意地,水可混溶性有機溶劑;e.任意地,有機酸;f.任意地,緩衝物種;g.任意地,氟離子源;及h.任意地,金屬螯合劑。
  2. 如申請專利範圍第1項之組合物,其具有3.0至6.5的pH。
  3. 如申請專利範圍第1項之組合物,其中該氧化性物種銨鹽係選自由以下所組成的群組:亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過碘酸銨(NH4IO3)、過硫酸銨((NH4)2S2O8)、亞氯酸四甲基銨((N(CH3)4)ClO2)、氯酸四甲基銨((N(CH3)4)ClO3)、碘酸四甲基銨((N(CH3)4IO3)、過硼酸四甲基銨((N(CH3)4)BO3)、過氯酸四甲基銨((N(CH3)4)ClO4)、過碘酸四甲基銨((N(CH3)4)IO4)、過硫酸四甲基銨((N(CH3)4)S2O8)及其組合。
  4. 如申請專利範圍第3項之組合物,其中該氧化性物種銨鹽係過硫酸銨。
  5. 如申請專利範圍第1項之組合物,其中該緩衝物種存在而且包含弱酸的四烷基銨鹽,其中該四烷基銨鹽包含[NR1R2R3R4]+所示的四烷基銨陽離子,其中R1、R2、R3及R4可為彼此相同或不同而且係選自由C1-C6直鏈或支鏈烷基或C6-C10經取代或未經取代的芳基所組成的群組,及該弱酸係選自由硼酸、乳酸、順丁烯二酸、抗壞血酸、蘋果酸、苯甲酸、反丁烯二酸、丁二酸、草酸、丙二酸、苯乙醇酸(mandelic acid)、順丁烯二酸酐、乙酸、丙酸、檸檬酸、苯二甲酸、芳香族羧酸及其組合所組成的群組。
  6. 如申請專利範圍第5項之組合物,其中該緩衝物種係檸檬酸的四甲基銨鹽或硼酸的四甲基銨鹽。
  7. 如申請專利範圍第1項之組合物,其中該腐蝕抑制劑係選自由正己胺、正辛胺、正癸胺、正十二胺、正十四胺、正十五胺、正十六胺及正十八胺(硬脂胺)所組成的群組。
  8. 如申請專利範圍第7項之組合物,其中該腐蝕抑制劑係正辛胺。
  9. 如申請專利範圍第1項之組合物,其中該腐蝕抑制劑係選自由3,3’-亞胺基雙(N,N-二甲基丙胺)、雙(3-胺丙基)胺、五甲基二伸乙三胺(PMDETA)及聚乙烯亞胺(PEI)所組成的群組。
  10. 如申請專利範圍第9項之組合物,其中該腐蝕抑制劑係聚乙烯亞胺。
  11. 如申請專利範圍第1項之組合物,其中該水可混溶性有機溶劑存在而且係選自由乙二醇、丙二醇、1,4-丁二醇、三伸丙二醇甲基醚、丙二醇丙基醚、二伸乙二醇正丁基醚、己氧基丙胺、聚(環氧乙烷)二胺、二甲基亞碸、四氫呋喃甲醇、甘油、醇類、亞碸類或其混合物所組成的群組。
  12. 如申請專利範圍第11項之組合物,其中該水可混溶性有機溶劑係二醇。
  13. 如申請專利範圍第1項之組合物,其中該金屬螯合劑存在而且係選自由以下所組成的群組:(伸乙二腈基)四醋酸(EDTA)、伸丁二胺四醋酸、(1,2-伸環己二腈基)四醋酸(CyDTA)、二伸乙三胺五醋酸(DETPA)、伸乙二胺四丙酸、(羥乙基)伸乙二胺三醋酸(HEDTA)、N,N,N',N'-伸乙二胺肆(亞甲基膦)酸(EDTMP)、三伸乙四胺六醋酸(TTHA)、1,3-二胺基-2-羥丙烷-N,N,N',N'-四醋酸(DHPTA)、甲基亞胺基二醋酸、伸丙 二胺四醋酸、腈基三醋酸(NTA)、檸檬酸、酒石酸、葡萄糖醛酸、糖酸、甘油酸、草酸、苯二甲酸、順丁烯二酸、苯乙醇酸、丙二酸、乳酸、水楊酸、苯磷二酚、沒食子酸、沒食子酸丙酯、焦性沒食子酸、8-羥基喹啉及半胱胺酸。
  14. 如申請專利範圍第13項之組合物,其中該金屬螯合劑係選自由EDTA、CyDTA及EDTMP所組成的群組。
  15. 如申請專利範圍第1項之組合物,其中該氟離子源存在而且係選自由氫氟酸及/或其鹽類、氟化銨、氟化四甲基銨、氟化四丁基胺、氟硼酸鹽類、氟硼酸、四氟硼酸四丁基銨及六氟化鋁所組成的群組。
  16. 一種用於清潔積體電路基材之組合物,該組合物由以下組成:約12至約25重量%的水;約0.50至約2.0重量%的包含氧化性物種銨鹽的氧化劑;約0.01至約3.0重量%的包含一級烷基胺的腐蝕抑制劑,該一級烷基胺具有以下通式:R'NH2,其中R'係含有至多約150個碳原子的烷基而且更常為含有約4至約30個碳原子的脂肪族烷基;約5至約60重量%的水可混溶性有機溶劑;約0.2至約10重量%的緩衝物種;及約0.1至約3重量%的氟離子源。
  17. 如申請專利範圍第16項之組合物,其具有3.0至6.5的pH。
  18. 如申請專利範圍第16項之組合物,其中該氧化性物種銨鹽係選自由以下所組成的群組:亞氯酸銨(NH4ClO2)、氯酸銨(NH4ClO3)、碘酸銨(NH4IO3)、過硼酸銨(NH4BO3)、過氯酸銨(NH4ClO4)、過碘酸銨(NH4IO3)、過硫酸銨((NH4)2S2O8)、亞氯酸四甲基銨((N(CH3)4)ClO2)、氯酸四甲基銨((N(CH3)4)ClO3)、碘酸四甲基銨((N(CH3)4IO3)、過硼酸四甲基銨((N(CH3)4)BO3)、過氯酸四甲基銨((N(CH3)4)ClO4)、過碘酸四甲基銨((N(CH3)4)IO4)、過硫酸四甲基銨((N(CH3)4)S2O8)及其組合。
  19. 如申請專利範圍第18項之組合物,其中該氧化性物種銨鹽係過硫酸銨。
  20. 如申請專利範圍第16項之組合物,其中該緩衝物種存在而且包含弱酸的四烷基銨鹽,其中該四烷基銨鹽包含[NR1R2R3R4]+所示的四烷基銨陽離子,其中R1、R2、R3及R4可為彼此相同或不同而且係選自由C1-C6直鏈或支鏈烷基或C6-C10經取代或未經取代的芳基所組成的群組,及該弱酸係選自由硼酸、乳酸、順丁烯二酸、抗壞血酸、蘋果酸、苯甲酸、反丁烯二酸、丁二酸、草酸、丙二酸、苯乙 醇酸、順丁烯二酸酐、乙酸、丙酸、檸檬酸、苯二甲酸、芳香族羧酸及其組合所組成的群組。
  21. 如申請專利範圍第20項之組合物,其中該緩衝物種係檸檬酸的四甲基銨鹽或硼酸的四甲基銨鹽。
  22. 如申請專利範圍第16項之組合物,其中該腐蝕抑制劑係選自由正己胺、正辛胺、正癸胺、正十二胺、正十四胺、正十五胺、正十六胺及正十八胺(硬脂胺)所組成的群組。
  23. 如申請專利範圍第22項之組合物,其中該腐蝕抑制劑係正辛胺。
  24. 如申請專利範圍第16項之組合物,其中該腐蝕抑制劑係選自由3,3’-亞胺基雙(N,N-二甲基丙胺)、雙(3-胺丙基)胺、五甲基二伸乙三胺(PMDETA)及聚乙烯亞胺(PEI)所組成的群組。
  25. 如申請專利範圍第24項之組合物,其中該腐蝕抑制劑係聚乙烯亞胺。
  26. 如申請專利範圍第16項之組合物,其中該水可混溶性有機溶劑存在而且係選自由乙二醇、丙二醇、1,4-丁二醇、三伸丙二醇甲基醚、丙二醇丙基醚、二伸乙二醇正丁基醚、己氧基丙胺、聚(環氧乙烷)二胺、二甲基亞碸、四氫呋喃甲醇、甘油、 醇類、亞碸類或其混合物所組成的群組。
  27. 如申請專利範圍第26項之組合物,其中該水可混溶性有機溶劑係二醇。
  28. 如申請專利範圍第16項之組合物,其中該氟離子源係選自由氫氟酸及/或其鹽類、氟化銨、氟化四甲基銨、氟化四丁基胺、氟硼酸鹽類、氟硼酸、四氟硼酸四丁基銨及六氟化鋁所組成的群組。
  29. 一種從微電子裝置去除WN硬罩材料之方法,該微電子裝置具有在其上面的前述WN硬罩及W金屬,前述方法包含使該微電子裝置與水性組合物接觸足夠時間以從該微電子裝置至少部分清潔前述WN硬罩,其中該水性組合物包含水;包含氧化性物種銨鹽的氧化劑;包含一級烷基胺的腐蝕抑制劑,該一級烷基胺具有以下通式:R'NH2,其中R'係含有至多約150個碳原子的烷基而且更常為含有約4至約30個碳原子的脂肪族烷基;任意地,水可混溶性有機溶劑;任意地,有機酸;任意地,緩衝物種;任意地,氟離子源;及任意地,金屬螯合劑,其中該方法達成>6.0的WN/W選擇性。
  30. 如申請專利範圍第29項之方法,其中該接觸作業包含選自由以下所組成的製程:將該水性組合物噴灑於該微電子裝置表面上;將該微電子裝置浸於足量的水性組合物中;使該微 電子裝置表面與充滿該水性組合物的另一材料接觸;及使該微電子裝置與循環的水性組合物接觸。
  31. 如申請專利範圍第29項之方法,其另外包含在與該水性組合物接觸之後接著以去離子水沖洗該微電子裝置。
  32. 如申請專利範圍第31項之方法,其另外包含使該微電子裝置與稀氫氟酸接觸。
  33. 如申請專利範圍第29項之方法,其中該水性組合物具有3.0至6.5的pH。
TW104143648A 2014-12-30 2015-12-24 具有高wn/w蝕刻選擇性的剝除組合物 TWI573867B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462097647P 2014-12-30 2014-12-30
US14/976,737 US10301580B2 (en) 2014-12-30 2015-12-21 Stripping compositions having high WN/W etching selectivity

Publications (2)

Publication Number Publication Date
TW201623598A true TW201623598A (zh) 2016-07-01
TWI573867B TWI573867B (zh) 2017-03-11

Family

ID=55409658

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104143648A TWI573867B (zh) 2014-12-30 2015-12-24 具有高wn/w蝕刻選擇性的剝除組合物

Country Status (9)

Country Link
US (1) US10301580B2 (zh)
EP (1) EP3040409B1 (zh)
JP (1) JP6339555B2 (zh)
KR (1) KR101884367B1 (zh)
CN (1) CN105739251B (zh)
MY (1) MY173068A (zh)
PH (1) PH12016000003B1 (zh)
SG (1) SG10201510714XA (zh)
TW (1) TWI573867B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI683038B (zh) * 2017-08-25 2020-01-21 美商慧盛材料美國責任有限公司 於製造一半導體裝置時用於從一矽-鍺/矽堆疊選擇性移除矽-鍺合金的蝕刻組合物
TWI804194B (zh) * 2017-10-23 2023-06-01 日商Mec股份有限公司 表面處理劑
TWI820006B (zh) * 2016-09-29 2023-11-01 日商富士軟片股份有限公司 處理液及積層體的處理方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106350308B (zh) * 2016-08-25 2019-02-26 重庆合川盐化工业有限公司 一种用于清洁金属和瓷器的盐复合物及对金属和瓷器进行清洁的方法
CN106479696A (zh) * 2016-08-31 2017-03-08 惠晶显示科技(苏州)有限公司 用于液晶显示面板玻璃酸刻所生结垢物的清洗液及其制备方法
US10312073B2 (en) * 2017-04-28 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Selective removal of carbon-containing and nitrogen-containing silicon residues
CN107357143B (zh) 2017-07-25 2018-06-19 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
CN107229193B (zh) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 一种清洗剂、其制备方法和应用
SG11202001854VA (en) * 2017-09-06 2020-03-30 Entegris Inc Compositions and methods for etching silicon nitride-containing substrates
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
SG11202005387XA (en) * 2018-02-14 2020-07-29 Merck Patent Gmbh Photoresist remover compositions
CN111837218A (zh) * 2018-03-14 2020-10-27 三菱瓦斯化学株式会社 用于去除干蚀刻残渣的清洗液及使用其的半导体基板的制造方法
US11499236B2 (en) * 2018-03-16 2022-11-15 Versum Materials Us, Llc Etching solution for tungsten word line recess
CN112384597A (zh) * 2018-07-06 2021-02-19 恩特格里斯公司 选择性蚀刻材料的改进
US11053440B2 (en) * 2018-11-15 2021-07-06 Entegris, Inc. Silicon nitride etching composition and method
CN109295466A (zh) * 2018-11-15 2019-02-01 济南大学 一种用于桥梁钢表面除锈剂的制备方法
US11437250B2 (en) * 2018-11-15 2022-09-06 Tokyo Electron Limited Processing system and platform for wet atomic layer etching using self-limiting and solubility-limited reactions
CN109267079A (zh) * 2018-11-15 2019-01-25 济南大学 一种中性金属表面除锈清洗剂的制备方法
CN109136948A (zh) * 2018-11-15 2019-01-04 济南大学 一种复合型金属表面除锈清洗剂的制备方法
WO2020146748A1 (en) 2019-01-11 2020-07-16 Versum Materials Us, Llc Hafnium oxide corrosion inhibitor
FR3093001B1 (fr) * 2019-02-22 2022-06-10 Prevor Int Composition pour enlever des residus chimiques et ses utilisations
US11268025B2 (en) * 2019-06-13 2022-03-08 Fujifilm Electronic Materials U.S.A., Inc. Etching compositions
CN113950520B (zh) * 2019-06-13 2024-03-01 弗萨姆材料美国有限责任公司 在半导体器件制造期间相对于p-掺杂硅和硅-锗选择性去除多晶硅的液体组合物
EP4045978A4 (en) * 2019-10-17 2023-11-15 Versum Materials US, LLC ETCHING COMPOSITION AND METHOD FOR AN EUV MASK PROTECTION STRUCTURE
US20220243128A1 (en) * 2020-01-30 2022-08-04 Showa Denko K.K. Method for removing metal compound
US20210317389A1 (en) * 2020-04-14 2021-10-14 William Quan Chemical product for rapid removal of food burned on to the surfaces of cooktops

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09279189A (ja) 1996-04-08 1997-10-28 Nippon Steel Corp 半導体基板用洗浄液
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6551935B1 (en) 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
JP4159944B2 (ja) 2003-07-31 2008-10-01 花王株式会社 レジスト用剥離剤組成物
US7022255B2 (en) * 2003-10-10 2006-04-04 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US7087564B2 (en) * 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
JP2006210857A (ja) 2005-01-24 2006-08-10 Lee Kigen 不純物除去用洗浄液組成物及びこれを用いた不純物除去方法
KR101444468B1 (ko) * 2005-10-05 2014-10-30 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
EP1946358A4 (en) * 2005-11-09 2009-03-04 Advanced Tech Materials COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS WITH LOW DIELECTRICITY CONSTANT MATERIALS
KR100678482B1 (ko) 2006-01-17 2007-02-02 삼성전자주식회사 실리콘 표면의 세정용액 및 이를 사용하는 반도체 소자의제조방법들
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
CN101290482A (zh) * 2007-04-19 2008-10-22 安集微电子(上海)有限公司 一种清洗等离子刻蚀残留物的清洗液
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US8404626B2 (en) * 2007-12-21 2013-03-26 Lam Research Corporation Post-deposition cleaning methods and formulations for substrates with cap layers
WO2010039936A2 (en) * 2008-10-02 2010-04-08 Advanced Technology Materials, Inc. Use of surfactant/defoamer mixtures for enhanced metals loading and surface passivation of silicon substrates
TWI568859B (zh) * 2010-04-15 2017-02-01 恩特葛瑞斯股份有限公司 廢棄印刷電路板之回收利用方法
JP5508130B2 (ja) * 2010-05-14 2014-05-28 富士フイルム株式会社 洗浄組成物、半導体装置の製造方法及び洗浄方法
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) * 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820006B (zh) * 2016-09-29 2023-11-01 日商富士軟片股份有限公司 處理液及積層體的處理方法
TWI683038B (zh) * 2017-08-25 2020-01-21 美商慧盛材料美國責任有限公司 於製造一半導體裝置時用於從一矽-鍺/矽堆疊選擇性移除矽-鍺合金的蝕刻組合物
TWI804194B (zh) * 2017-10-23 2023-06-01 日商Mec股份有限公司 表面處理劑

Also Published As

Publication number Publication date
SG10201510714XA (en) 2016-07-28
CN105739251A (zh) 2016-07-06
CN105739251B (zh) 2020-12-25
JP2016127291A (ja) 2016-07-11
US10301580B2 (en) 2019-05-28
KR101884367B1 (ko) 2018-08-01
PH12016000003A1 (en) 2017-07-17
MY173068A (en) 2019-12-24
KR20160082231A (ko) 2016-07-08
US20160186105A1 (en) 2016-06-30
JP6339555B2 (ja) 2018-06-06
PH12016000003B1 (en) 2017-07-17
EP3040409A1 (en) 2016-07-06
EP3040409B1 (en) 2017-12-27
TWI573867B (zh) 2017-03-11

Similar Documents

Publication Publication Date Title
TWI573867B (zh) 具有高wn/w蝕刻選擇性的剝除組合物
KR102266832B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
JP6329909B2 (ja) 窒化チタンを選択的にエッチングするための組成物および方法
KR100963374B1 (ko) 반도체 기판용 세정 조성물
WO2006110645A2 (en) Fluoride liquid cleaners with polar and non-polar solvent mixtures for cleaning low-k-containing microelectronic devices
WO2013123317A1 (en) Post-cmp removal using compositions and method of use
JP2008532289A (ja) 銅とlow−k誘電材料を有する基板からレジスト、エッチング残渣、及び酸化銅を除去する方法
KR20020001863A (ko) 반도체 장치용의 유기 및 플라즈마 에칭된 잔사의 세척조성물
US20160351388A1 (en) Non-amine post-cmp compositions and method of use
TW201435083A (zh) 半導體元件用清洗液及利用此清洗液之清洗方法
TWI743026B (zh) 無胺之化學機械研磨後(post cmp)組成物及其使用方法
JP2009289774A (ja) 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法