TW201600930A - 半導體裝置的製造方法及半導體裝置 - Google Patents

半導體裝置的製造方法及半導體裝置 Download PDF

Info

Publication number
TW201600930A
TW201600930A TW104109458A TW104109458A TW201600930A TW 201600930 A TW201600930 A TW 201600930A TW 104109458 A TW104109458 A TW 104109458A TW 104109458 A TW104109458 A TW 104109458A TW 201600930 A TW201600930 A TW 201600930A
Authority
TW
Taiwan
Prior art keywords
pattern
acid
substrate
group
semiconductor device
Prior art date
Application number
TW104109458A
Other languages
English (en)
Chinese (zh)
Inventor
谷垣勇剛
藤原健典
Original Assignee
東麗股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東麗股份有限公司 filed Critical 東麗股份有限公司
Publication of TW201600930A publication Critical patent/TW201600930A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/022Quinonediazides
    • G03F7/023Macromolecular quinonediazides; Macromolecular additives, e.g. binders
    • G03F7/0233Macromolecular quinonediazides; Macromolecular additives, e.g. binders characterised by the polymeric binders or the macromolecular additives other than the macromolecular quinonediazides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Silicon Polymers (AREA)
  • Paints Or Removers (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
TW104109458A 2014-03-26 2015-03-25 半導體裝置的製造方法及半導體裝置 TW201600930A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014063391 2014-03-26
JP2014063390 2014-03-26

Publications (1)

Publication Number Publication Date
TW201600930A true TW201600930A (zh) 2016-01-01

Family

ID=54195270

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104109458A TW201600930A (zh) 2014-03-26 2015-03-25 半導體裝置的製造方法及半導體裝置

Country Status (7)

Country Link
US (1) US20170243737A1 (de)
EP (1) EP3125274B1 (de)
JP (1) JP5846335B1 (de)
KR (1) KR20160136303A (de)
CN (1) CN106133876A (de)
TW (1) TW201600930A (de)
WO (1) WO2015146749A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI743243B (zh) * 2016-11-07 2021-10-21 美商康寧公司 於基板上創造流體組裝結構之系統及方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10409163B2 (en) 2014-09-30 2019-09-10 Toray Industries, Inc. Photosensitive resin composition, cured film, element provided with cured film, and method for manufacturing semiconductor device
JP2017097240A (ja) * 2015-11-26 2017-06-01 Jsr株式会社 ケイ素含有膜形成用材料及びパターン形成方法
TW201800860A (zh) * 2015-12-17 2018-01-01 陶氏全球科技責任有限公司 具有高介電常數之光可成像薄膜
JP6715597B2 (ja) * 2015-12-29 2020-07-01 帝人株式会社 感光性樹脂組成物及び半導体デバイス製造方法
US10221201B2 (en) * 2015-12-31 2019-03-05 Praxair Technology, Inc. Tin-containing dopant compositions, systems and methods for use in ION implantation systems
JP6842841B2 (ja) * 2016-04-28 2021-03-17 帝人株式会社 イオン注入用マスクの形成方法及び半導体デバイス製造方法
JP6842864B2 (ja) * 2016-02-25 2021-03-17 帝人株式会社 イオン注入マスク形成用分散体及び半導体デバイス製造方法
CN108701595A (zh) * 2016-02-25 2018-10-23 帝人株式会社 离子注入掩模形成用分散体、离子注入掩模的形成方法以及半导体器件制造方法
WO2017146110A1 (ja) * 2016-02-25 2017-08-31 帝人株式会社 イオン注入マスク形成用分散体、イオン注入マスクの形成方法及び半導体デバイス製造方法
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR102571242B1 (ko) * 2016-07-11 2023-08-25 삼성디스플레이 주식회사 고경도 플라스틱 기판 및 이를 포함하는 표시장치
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
EP3561595B1 (de) * 2016-12-26 2021-07-07 Toray Industries, Inc. Lichtempfindliche harzzusammensetzung für einen lichtempfindlichen harzdruckplattenvorläufer
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) * 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10468243B2 (en) 2017-11-22 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device and method of cleaning substrate
DE102018106643B4 (de) * 2017-11-22 2020-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen einer halbleitervorrichtung und verfahren zum reinigen eines substrats
JP7024744B2 (ja) * 2018-02-22 2022-02-24 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
KR102650216B1 (ko) * 2018-03-09 2024-03-21 삼성전자주식회사 산화물층의 형성 방법 및 반도체 소자의 제조 방법
US11789363B2 (en) * 2018-03-30 2023-10-17 Toray Industries, Inc. Positive photosensitive resin composition, cured film therefrom, and solid state image sensor comprising the same
JP7219947B2 (ja) * 2018-05-22 2023-02-09 国立大学法人九州工業大学 表面処理方法
KR102638639B1 (ko) * 2018-05-28 2024-02-22 삼성전자주식회사 반도체 소자의 제조 방법
JP7219606B2 (ja) * 2018-12-21 2023-02-08 東京応化工業株式会社 半導体基板の製造方法
KR102031251B1 (ko) * 2019-03-06 2019-10-11 영창케미칼 주식회사 실리콘질화막 식각 조성물
WO2021011525A1 (en) * 2019-07-18 2021-01-21 Mattson Technology, Inc. Processing of workpieces using hydrogen radicals and ozone gas
CN110544721A (zh) * 2019-08-21 2019-12-06 大同新成新材料股份有限公司 一种半导体材料的制备方法
CN113636533B (zh) * 2021-08-17 2023-11-10 湖南法恩莱特新能源科技有限公司 一种二氟磷酸锂的制备方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT977622B (it) * 1972-01-18 1974-09-20 Philips Nv Procedimento per produrre su un substrato uno strato a disegno di vetro fosfolicico particolarmente in applicazione a dispositivi semi conduttori
US3928082A (en) * 1973-12-28 1975-12-23 Texas Instruments Inc Self-aligned transistor process
JPS61216429A (ja) * 1985-03-22 1986-09-26 Fujitsu Ltd レジスト膜の剥離方法
US5114826A (en) * 1989-12-28 1992-05-19 Ibm Corporation Photosensitive polyimide compositions
JP4523094B2 (ja) * 1999-10-19 2010-08-11 東京エレクトロン株式会社 プラズマ処理方法
US6531260B2 (en) * 2000-04-07 2003-03-11 Jsr Corporation Polysiloxane, method of manufacturing same, silicon-containing alicyclic compound, and radiation-sensitive resin composition
KR100499630B1 (ko) * 2002-10-08 2005-07-05 주식회사 하이닉스반도체 반도체소자의 제조방법
JP2006013190A (ja) * 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
JP2006041166A (ja) * 2004-07-27 2006-02-09 Matsushita Electric Ind Co Ltd イオン注入マスクの形成方法及び炭化珪素デバイス
JP4853228B2 (ja) * 2006-10-25 2012-01-11 東レ株式会社 感光性シロキサン組成物、それから形成された硬化膜、および硬化膜を有する素子、並びにパターン形成方法
US8895962B2 (en) * 2010-06-29 2014-11-25 Nanogram Corporation Silicon/germanium nanoparticle inks, laser pyrolysis reactors for the synthesis of nanoparticles and associated methods
US9196484B2 (en) * 2010-09-21 2015-11-24 Nissan Chemical Industries, Ltd. Silicon-containing composition for formation of resist underlayer film, which contains organic group containing protected aliphatic alcohol
JP6241035B2 (ja) * 2011-12-26 2017-12-06 東レ株式会社 感光性樹脂組成物および半導体素子の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI743243B (zh) * 2016-11-07 2021-10-21 美商康寧公司 於基板上創造流體組裝結構之系統及方法

Also Published As

Publication number Publication date
JPWO2015146749A1 (ja) 2017-04-13
CN106133876A (zh) 2016-11-16
EP3125274A1 (de) 2017-02-01
KR20160136303A (ko) 2016-11-29
US20170243737A1 (en) 2017-08-24
EP3125274A4 (de) 2017-09-13
JP5846335B1 (ja) 2016-01-20
EP3125274B1 (de) 2018-09-12
WO2015146749A1 (ja) 2015-10-01

Similar Documents

Publication Publication Date Title
TW201600930A (zh) 半導體裝置的製造方法及半導體裝置
KR102443985B1 (ko) 감광성 수지 조성물, 경화막, 경화막을 구비하는 소자 및 반도체 장치의 제조 방법
TWI559091B (zh) 感光性樹脂組成物及半導體元件的製造方法
JP5939399B2 (ja) フッ素系添加剤を有するシリコン含有レジスト下層膜形成組成物
KR102614196B1 (ko) 포지티브형 감광성 실록산 조성물 및 이를 사용하는 경화 막
JP2015108116A (ja) ケイ素含有熱または光硬化性組成物
JP6569211B2 (ja) 感光性樹脂組成物、それを硬化させてなる硬化膜ならびにそれを具備する発光素子および固体撮像素子
TWI795478B (zh) 聚矽氧烷、包含其而成之組成物、及使用其之硬化膜、以及硬化膜之製造方法
CN111607089B (zh) 官能性聚氢倍半硅氧烷树脂组成物、产生其的方法及其用途
TWI842839B (zh) 官能性聚氫倍半矽氧烷樹脂組成物、產生其的方法及其用途
KR20230118902A (ko) 수지 조성물, 경화막, 경화막의 제조 방법, 다층막을갖는 기판, 패턴을 갖는 기판의 제조 방법, 감광성 수지 조성물, 패턴 경화막의 제조 방법, 중합체의 제조 방법 및 수지 조성물의 제조 방법
JP2011100974A (ja) 発光素子及び発光素子保護層形成用組成物