TW201539665A - 環繞式接點 - Google Patents

環繞式接點 Download PDF

Info

Publication number
TW201539665A
TW201539665A TW103146486A TW103146486A TW201539665A TW 201539665 A TW201539665 A TW 201539665A TW 103146486 A TW103146486 A TW 103146486A TW 103146486 A TW103146486 A TW 103146486A TW 201539665 A TW201539665 A TW 201539665A
Authority
TW
Taiwan
Prior art keywords
source
diamond
drain region
germanium
section
Prior art date
Application number
TW103146486A
Other languages
English (en)
Other versions
TWI545695B (zh
Inventor
Chan-Syun David Yang
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201539665A publication Critical patent/TW201539665A/zh
Application granted granted Critical
Publication of TWI545695B publication Critical patent/TWI545695B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26533Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically inactive species in silicon to make buried insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本揭示的一些實施例是關於接點形成至鰭式場效電晶體(FinFET)的源極或汲極區。外延材料形成於源極或汲極區之上,並包含有頂部及底部表面的鑽石形截面。覆蓋層形成於頂部及底部表面之上。源極或汲極區遭受到第一蝕刻,以移除在鑽石形截面頂部表面周圍的覆蓋層。保護層形成於頂部表面中。進行覆蓋層的第二蝕刻以移除在鑽石形截面底部表面周圍的覆蓋層,並且使用保護層防止頂部表面被第二蝕刻蝕刻。接點形成至源極或汲極區,其圍繞在頂部及底部表面上的源極或汲極區。

Description

環繞式接點
本揭示是關於半導體製造方法。尤其,本揭示是關於接點形成至半導體裝置與形成接點的方法。
非平面半導體裝置如鰭式場效電晶體(FinFET)形成於矽基底上,其包括矽鰭片以形成鰭式場效電晶體的源極和汲極區。源極和汲極區藉由通道區分開,且閘極以環繞包覆通道區的上表面及側壁。通道區的鰭式結構增加鰭式場效電晶體的有效閘極寬度超過平面式場效電晶體,其允許增強通道區的閘極控制。
本揭示的各方面根據以下的詳細說明並配合所附圖式可以理解。應注意的是,根據本產業的一般作業,圖式的各種特徵並未必按照比例繪製。事實上,各種特徵的尺寸可能任意的放大或縮小,以做清楚的說明。
第1A-1C圖說明具環繞式源極或汲極區接點的鰭式場效電晶體(FinFET)的一些實施例;第2圖說明形成環繞式接點至鰭式場效電晶體的源極或汲極區的方法的一些實施例;第3A-3B、4A-4B、5A-5B、6A-6B、7A-7B及8A-8B圖說明一系列截面圖,其共同描繪形成環繞式接點至鰭式場效電晶體 的源極或汲極區的一些實施例;第9圖說明對於環繞式接點形成至鰭式場效電晶體的源極或汲極區,移除覆蓋層的方法的一些實施例。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化本揭示說明。當然,這些特定的範例並非用以限定。例如,在本揭示中第一特徵形成於第二特徵之上或上方,即表示其可能包含第一特徵與第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於第一特徵與第二特徵之間,而使第一特徵與第二特徵可能未直接接觸的實施例。另外,本揭示於不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰之目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,在此可使用與空間相關用詞,例如“在...之上”、“在...上”、“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,其係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此作相同解釋。
環繞式接點形成至鰭式場效電晶體(FinFET)的源極或汲極,允許增加接點面積來降低鰭式場效電晶體的接點阻 抗及增加效能並超越平面式場效電晶體。一些鰭式場效電晶體採用外延材料層來產生通道區中的應變以增加載子移動率,並因此進一步增加鰭式場效電晶體效能。外延材料具有鑽石形截面,在其頂部及底部表面被覆蓋層覆蓋著,覆蓋層在接點形成前必須被移除。
移除在鑽石形外延材料周圍的覆蓋層的一些傳統方法包括以乾式蝕刻從鑽石形的頂部表面移除覆蓋層材料,暴露出在頂部表面上的外延材料。然而,底部表面未暴露於乾蝕刻劑。結果,乾式蝕刻不會移除在底部表面上的覆蓋層材料。從表面底部移除覆蓋層材料可以增加環繞式接點的接點面積。故一些傳統方法也使用濕式蝕刻從表面底部移除覆蓋層材料。
在一些實施例中,乾式蝕刻包括氧氣電漿,其造成外延材料的氧化。此氧化的外延材料會在濕式蝕刻步驟中與濕式蝕刻劑反應,其造成外延材料從源極或汲極區中嚴重損失,並且降低在通道區內的應變,因此減少載子移動率。外延材料的損失也減少了環繞式接點的接點面積。這些效應都會降低鰭式場效電晶體的效能。
因此,本揭示的一些實施例係有關於環繞式接點形成至鰭式場效電晶體的源極或汲極區。外延材料形成於源極或汲極區之上,其包含帶有頂部及底部表面的鑽石形截面。覆蓋層形成於頂部及底部表面之上。然後源極或汲極區受到第一蝕刻,以移除圍繞在鑽石形截面的頂部表面的覆蓋層。保護層形成於頂部表面中。進行覆蓋層的第二蝕刻以移除圍繞在鑽石 形截面底部表面的覆蓋層,並且使用保護層防止頂部表面被第二蝕刻蝕刻。環繞式接點形成至源極或汲極區,其圍繞在鑽石形截面的頂部及底部表面上的源極或汲極區。
在此所揭示的鰭式場效電晶體及環繞式接點形成的方法避免在一些傳統方法中所觀察到的外延材料從源極或汲極區的損失。所產生的環繞式接點不會經歷因外延材料的損失而有的通道區應變損失或接點面積減少。環繞式接點也接觸外延材料的頂部與底部表面,其增加接點面積超過未完全移除在底部表面上的覆蓋層的一些傳統方法。
第1A圖說明鰭式場效電晶體100的一些實施例。鰭式場效電晶體100形成於半導體基底102上,包括二個半導體鰭片104平行排列(即:沿著y軸),並且從半導體基底102的表面106垂直地延伸(即:沿著z軸)。對於鰭式場效電晶體100的一些實施例,半導體鰭片104藉由形成在半導體基底102之上的隔離層108互相隔離。半導體鰭片104包括源極和汲極區110、112,其藉由通道區114互相分開。閘極118覆蓋於每一個半導體鰭片104的通道區114。
鰭式場效電晶體100包含形成於每一個半導體鰭片104的源極和汲極區110、112之上的外延材料120。外延材料120包括沿著鰭式場效電晶體100寬度的截面AA’(即:鰭式場效電晶體100沿著xz平面的那個面)的鑽石形。環繞式接點122形成至每一個源極和汲極區110、112,並圍繞著形成於每一個源極或汲極區110、112之上的外延材料120,且在鑽石形截面的頂部及底部表面124、126上。連接結構134(例如:接點、通孔、 局部內連線等)可以被用來耦接源極或汲極區110、112至外部電壓源。
第1B圖說明鰭式場效電晶體100沿著截面AA’的圖式,其顯示外延材料120的鑽石形截面。第1B圖包含數個特徵其由形成環繞式接點122的方法產生。覆蓋材料128殘留在靠近每一個半導體鰭片104的側壁之底部部分,並位於隔離層108的上表面130的界面處。接點殘留物132(即:環繞式接點122的材料)殘留在隔離層108的上表面130上,介於鰭片104之間。
第1C圖說明沿著鰭式場效電晶體100長度的截面BB’之鰭式場效電晶體100的圖式(即:沿著第1A圖的yz平面將鰭式場效電晶體100的鰭片104分成兩部分)。源極、汲極及通道區110、112、114也於此圖中說明。在一些實施例中,由於外延材料120與鰭片104之間的晶格常數不匹配,形成在每一個鰭片104上的外延材料120之配置使其施加應變在通道區114上,此應變增加通道區114內的載子移動率。
第2圖說明形成環繞式接點至鰭式場效電晶體的源極或汲極區的方法200的一些實施例。
在202,形成半導體鰭片,其從基底的表面垂直地延伸。半導體鰭片包括源極和汲極區,其藉由通道區互相分開。外延材料形成在源極或汲極區之上,並包含鑽石形截面。形成覆蓋層圍繞著鑽石形截面在其頂部及底部表面上。覆蓋層係配置成在鰭式場效電晶體的各種層沉積及移除步驟中保護外延材料。
在204,形成閘極,其覆蓋半導體鰭片的通道層的 上表面及側壁。在一些實施例中,閘極包括多晶矽。在一些實施例中,閘極包括替換的金屬閘極(replacement metal gate,RMG)。可以理解的是,在一些實施例中,在202中形成的源極或汲極區可以在閘極形成之後形成。(例如:自對準製程)在206,進行第一蝕刻以移除圍繞在外延材料的鑽石形截面的頂部表面的覆蓋層的第一部份。在一些實施例中,第一蝕刻使用乾式蝕刻、濕式蝕刻,或其組合。
在208,形成保護層於鑽石形截面的頂部表面中。保護層是設置來防止頂部表面在之後的蝕刻步驟被蝕刻,此後續的蝕刻步驟是從鑽石形截面的底部表面移除覆蓋層。
在210,進行第二蝕刻以移除圍繞在鑽石形截面的底部表面的覆蓋層的第二部分,並且使用保護層防止鑽石形截面的頂部表面被第二蝕刻蝕刻。
在212,形成環繞式接點至源極或汲極區。環繞式接點圍繞在鑽石形截面的頂部及底部表面上的源極或汲極區,並接觸在頂部及底部表面上的外延材料。藉由接觸在頂部及底部表面上的外延材料,相較於如果只將接點形成在頂部表面上,或如果覆蓋層並沒有藉由第二蝕刻從底部表面完全移除因而使得環繞式接點沒有接觸底部表面接觸的情況,此環繞式接點具有較少的接觸阻抗。
第3A-3B、4A-4B、5A-5B、6A-6B、7A-7B及8A-8B圖說明一系列截面圖,這些圖式共同地描繪形成環繞式源極或汲極接點至鰭式場效電晶體的源極或汲極區的一些實施例。
第3A圖說明在環繞式接點形成前沿著截面AA’的 鰭式場效電晶體100。氧化材料304放置於在每個半導體鰭片104上的外延材料120之上。氧化材料304也形成於隔離層108的上表面上,介於一對半導體鰭片104之間。覆蓋材料128放置於氧化材料304之上。介電材料302形成於基底102之上並圍繞一對半導體鰭片104。在一些實施例中,覆蓋材料包括氮化矽(SiN)。
第3B圖說明在環繞式接點形成前沿著截面BB’的鰭式場效電晶體100(即:沿著第1A圖的yz-平面將鰭式場效電晶體100的鰭片104分成兩部分)。氧化材料304及覆蓋材料128形成於外延材料120的頂部及底部表面306、308上。遮罩層310形成用於後續蝕刻介電材料302的圖案。在一些實施例中,遮罩層310包括光阻。在一些實施例中,外延材料120包括鍺(Ge)或矽鍺(SiGe),由於在外延材料120及包括矽(Si)的半導體鰭片104之間的晶格常數不匹配,使其施加應變在通道區114上。
第4A-4B圖說明在介電材料302移除之後沿著截面AA’及BB’的鰭式場效電晶體100,並且第一蝕刻從外延材料120的頂部表面306移除覆蓋材料128。介電材料302的移除暴露出在外延材料120的頂部及底部表面306、308上的覆蓋材料128。在一些實施例中,介電材料302的移除是藉由蝕刻達成。在第4A-4B圖的實施例中,第一蝕刻包含帶有六氟丁二烯(C4H6)蝕刻及氬氣(Ar)的電漿蝕刻。此電漿蝕刻也導致對外延材料120的損害402在頂部表面306附近。在介電材料302移除之後,進行第一蝕刻從外延材料120的頂部表面306移除覆蓋材料128,暴露出在外延材料120的頂部表面306的氧化材料304。在一些 實施例中,第一蝕刻包括帶有氟化物(CH3F)及氫氣(H2)的乾式蝕刻。
在第一蝕刻之後一些除去介電材料302的傳統方法使用氧氣(O2)灰化電漿移除光阻遮罩層310。O2灰化電漿處理會造成外延材料120的氧化,其降低外延材料120與覆蓋材料128之間的蝕刻選擇比。為了抵抗外延材料120的氧化效應,第4A-4B圖的實施例之光阻移除是使用二氮烯(N2H2)灰化製程。
第5A-5B圖說明在保護材料502形成於外延材料120的頂部表面306中之後沿著截面AA’及BB’的鰭式場效電晶體100。保護材料502是配置成防止頂部表面306在之後的蝕刻步驟中被蝕刻,以防止外延材料120的損失。保護材料502也形成在隔離層108的表面之上的一對鰭片104之間。保護材料502係配置成提供較好的蝕刻選擇比在其自身與剩餘的覆蓋材料128之間,相較於外延材料120與覆蓋材料128之間。此增加的選擇比有助於防止保護材料502在之後蝕刻步驟中的損失,此後續的蝕刻步驟是用於從外延材料120的底部表面308移除覆蓋材料128。
在一些實施例中,保護材料502的形成包括進行頂部表面306的碳(C)植入504。在一些實施例中,碳植入是以少許至沒有的植入角度或旋轉進行(即:0度傾斜及0度旋轉)。在一些實施例中,碳植入是以相對低能量進行(例如:介於約0.5keV至約10keV之間)。在一些實施例中,碳植入是以約1e13cm-2至約1e16cm-2範圍的劑量進行。在一些實施例中,碳植入是以約1nm至約100nm的深度範圍進行。在一些實施例 中,碳植入是以約0.1%至約5%的尖峰碳濃度進行。在一些實施例中,保護層包括矽-碳-磷(SiCP)、矽-碳-鍺(SiGeC)、或鍺-碳(GeC)。
碳植入504也與在外延材料120的頂部表面306上和在閘極118的側邊上的氧化材料304反應,形成植入氧化物506。
第6A-6B圖說明沿著截面AA’及BB’的鰭式場效電晶體100,其中氧化材料304(或第5A-5B圖的碳植入504的植入氧化物506副產品)已經從外延材料120的頂部表面306被移除。進行第二蝕刻從外延材料120的底部表面308移除覆蓋材料128。第二蝕刻使用具有選擇比介於保護材料502與覆蓋材料128之間的蝕刻劑,使覆蓋材料128在相較於保護材料502較高的速率中被蝕刻。因此,剩下的外延材料120大致是完整無缺的。
保護材料502因此而保護外延材料120免於第二蝕刻。結果,覆蓋材料128從底部表面308移除,並留下大致上完整的鑽石形外延材料120。在第二蝕刻後,剩餘的氧化材料304已經從底部表面308移除,暴露出在底部表面308上的外延材料120。當一些覆蓋材料128留在一個或多個的鰭片104的底部時,將覆蓋材料128從外延材料120的頂部及底部表面306、308移除,讓外延材料120有增加的接點面積。
在一些實施例中,氧化材料304(或植入的氧化物506)以稀釋的氫氟酸(dilute hydrofluoric acid,DHF)移除。在一些實施例中,第二蝕刻包括磷酸(H3PO4)的濕式蝕刻。
第7A-7B圖說明沿著截面AA’及BB’的鰭式場效電晶體100,其中導電材料702已經沉積在基底102的表面之上,包含外延材料120及鰭片104。導電材料702圍繞著外延材料120的鑽石形截面,在其頂部及底部表面306、308上。在一些實施例中,導電材料702包括鎳(Ni)。
第8A-8B圖說明沿著截面AA’及BB’的鰭式場效電晶體100,其中導電材料702已經被退火,其引起在導電材料702與外延材料120之間的反應,未反應的導電材料702(即:不接觸外延材料120)隨後被移除,剩餘的反應材料形成環繞式接點802。
在一些實施例中,導電材料702包括鎳且外延材料包括鍺(Ge)或矽-鍺(SiGe),由退火造引起的反應使得環繞式接點802分別包括鎳-鍺(NiGe)或鎳-矽-鍺(NiSiGe)。
第9圖說明對於環繞式接點形成至鰭式場效電晶體的源極或汲極區,移除覆蓋層的方法的一些實施例。
在902,形成半導體材料的源極和汲極區。源極和汲極區被通道區互相分開,並有頂部及底部表面。在一些實施例中,源極和汲極區包括數個半導體鰭片(例如:藉由讓矽基底凹陷形成矽鰭片)。在一些實施例中,半導體鰭片被外延材料覆蓋(例如:鍺、矽-鍺等),由於外延材料與基底材料之間的晶格不匹配,藉此配置施予通道區應變。在一些實施例中,外延材料有頂部及底部表面。
在904,覆蓋材料(例如:SiN)形成以圍繞源極和汲極區的頂部及底部表面。覆蓋材料包括蝕刻停止層,當製作鰭 式場效電晶體時防止源極和汲極區的蝕刻。
在906,介電材料形成於覆蓋材料之上,並配置成將鰭式場效電晶體與其它在基底上的裝置電性隔離。
在908,介電材料被移除,暴露出在源極和汲極區的頂部及底部表面上的覆蓋材料。在一些實施例中,移除介電材料包括六氟-1,3-丁二烯(C4F6)電漿蝕刻、氬氣(Ar)電漿蝕刻、或其組合。
在910,進行第一蝕刻。第一蝕刻係從源極或汲極區的頂部表面移除覆蓋材料,暴露出在頂部表面上的半導體材料。在一些實施例中,第一蝕刻包括帶有氟化物(CH3F)、氫氣(H2)、或其組合的乾式蝕刻。
在912,第二蝕刻係從源極或汲極區的底部表面移除覆蓋層,暴露出在底部表面上的半導體材料。第二蝕刻使用選擇比介於半導體材料及覆蓋材料之間的蝕刻劑,使覆蓋材料相較於半導體材料在較高的速率中蝕刻。在一些實施例中,第二蝕刻包括磷酸(H3PO4)、稀釋的氫氟酸(DHF)、或其組合的濕式蝕刻。
因此,本揭示的一些實施例是關於環繞式接點形成至鰭式場效電晶體(FinFET)的源極或汲極區。外延材料形成於源極或汲極區之上,其包含帶有頂部及底部表面的鑽石形截面。覆蓋層形成於頂部及底部表面之上。然後源極或汲極區受到第一蝕刻,以移除圍繞在鑽石形截面的頂部表面的覆蓋層。保護層形成於頂部表面中。進行覆蓋層的第二蝕刻,以移除圍繞在鑽石形截面底部表面的覆蓋層,並且使用保護層防止頂部 表面被第二蝕刻蝕刻。環繞式接點形成至源極或汲極區,圍繞在鑽石形截面的頂部及底部表面上的源極或汲極區。
在一些實施例中,本揭示關於半導體裝置,包括半導體鰭片從基底的表面垂直地延伸,並且包括源極和汲極區,其藉由通道區互相分開。閘極覆蓋於通道區的上表面及側壁,以及,接點形成至半導體鰭片的源極或汲極區,其中源極或汲極區包括具鑽石形截面的一層外延材料,且其中接點圍繞在鑽石形截面的頂部及底部表面上的源極或汲極區。
在一些實施例中,本揭示關於形成半導體裝置的方法。此方法包括形成鰭片其從基底的表面垂直地延伸,並且包括藉由通道區互相分開的源極和汲極區,其中源極或汲極區包括具鑽石形截面的外延材料,且其中覆蓋層圍繞鑽石形截面在其頂部及底部表面上。此方法更包括形成閘極覆蓋於通道區的上表面及側壁。此方法更包括進行第一蝕刻移除圍繞在鑽石形截面的頂部表面的覆蓋層的第一部分。此方法更包括形成保護層在鑽石形截面的頂部表面中,其中保護層是配置成防止頂部表面在之後的蝕刻步驟中被蝕刻。
在一些實施例中,本揭示關於方法,包括形成半導體材料的源極和汲極區,其藉由通道區互相分開,其中源極或汲極區有頂部及底部表面。此法更包括形成覆蓋材料圍繞源極或汲極區的頂部及底部表面,以及形成介電材料在覆蓋材料上。此方法更包括移除介電材料並暴露出在源極或汲極區的頂部及底部表面上的覆蓋材料。此方法更包括進行第一蝕刻,以從源極或汲極區的頂部表面移除覆蓋材料,暴露出在頂部表面 上的半導體材料。此方法更包括進行第二蝕刻,以從源極或汲極區的底部表面移除覆蓋層,暴露出在底部表面上的半導體材料。第二蝕刻使用的蝕刻劑具有選擇性介於半導體材料與覆蓋材料之間,使覆蓋材料在相較於半導體材料較高的速率中被蝕刻。
儘管方法200及900已經以一系列動作或事件來描述,可以了解的是那些動作或事件的說明順序並非理解為限定的含義。例如,一些動作可能以不同的順序發生,及/或與其它動作或事件同時發生,而與在此說明及/或描述的順序不同。此外,不是所有說明動作都需要被用來執行在此所描述的一或多個觀點或實施例。並且,此處所描述一或多個的動作可能以一或多個分開的動作及/或階段完成。
前述概要說明一些實施例的特點,使得熟知本技術者可更佳的理解本揭示的觀點。這些熟知技藝的人士可以容易地以本揭示為基礎來設計或修改其它製程及結構,並以此來完成在此介紹的實施例的相同目的,及/或達成相同優點。熟知本技術者應也能了解,那些相等的結構不會脫離本揭示的精神與範圍,並且在此可做出各種改變、置換、修改,而不會脫離本揭示的精神與範圍。

Claims (20)

  1. 一半導體裝置,包括:一半導體鰭片從一基底的一表面垂直地延伸,且包括源極和汲極區被一通道區互相分開;一閘極覆蓋於該通道區的一上表面及側壁;及一接點形成至該半導體鰭片的該源極或汲極區,其中該源極或汲極區包括具一鑽石形截面的一層外延材料,且其中該接點圍繞在該鑽石形截面的頂部及底部表面上的該源極或汲極區。
  2. 如申請專利範圍第1項所述之半導體裝置,更包括:複數個半導體鰭片平行排列在一基底的一表面上,且被形成在該基底的該表面上的一隔離層互相隔離;其中每一個半導體鰭片從該基底的該表面垂直地延伸,且包括被一通道區互相分開的源極和汲極區;及其中該閘極覆蓋於每一個半導體鰭片的該通道區的一上表層及側壁。
  3. 如申請專利範圍第2項所述之半導體裝置,更包括接點殘留物在該隔離層的一上表層上介於一對鰭片之間。
  4. 如申請專利範圍第1項所述之半導體裝置,其中該外延材料因該外延材料及該半導體鰭片之間的一晶格常數不匹配而施加應變在該通道區上。
  5. 如申請專利範圍第1項所述之半導體裝置,其中該接點包括鎳(Ni)、矽(Si)和鍺(Ge),或鎳和鍺。
  6. 如申請專利範圍第1項所述之半導體裝置,其中該外延材料 包括鍺(Ge)或矽-鍺(SiGe)。
  7. 一種方法,包括:形成一鰭片從一基底的一表面垂直地延伸,且包括被一通道區互相分開的源極和汲極區,其中該源極或汲極區包括具有一鑽石形截面的外延材料,且其中一覆蓋層圍繞在該鑽石形截面的頂部及底部表面上;形成一閘極覆蓋於該通道區的一上表面及側壁;進行一第一蝕刻以移除圍繞在該鑽石形截面的該頂部表面的該覆蓋層的一第一部分;及形成一保護層以防止該頂部表面在後續的蝕刻步驟被蝕刻。
  8. 如申請專利範圍第7項所述之方法,其中形成該保護層包括以碳(C)植入該頂部表面,以形成在該鑽石形截面的該頂部表面之內的該保護層,該鑽石形截面包括碳、磷、該外延材料、或前述之組合。
  9. 如申請專利範圍第8項所述之方法,其中該保護層包括矽-碳-磷(SiCP)、矽-碳-鍺(SiGeC)、鍺-碳(GeC)、或前述之組合。
  10. 如申請專利範圍第7項所述之方法,其中該覆蓋層包括氮化矽(SiN),且其中進行該第一蝕刻包括以一蝕刻劑進行乾式蝕刻,該蝕刻劑包括氟化物(CH3F)、氫氣(H2)、或前述之組合。
  11. 如申請專利範圍第7項所述之方法,更包括進行一第二蝕刻以移除圍繞在該鑽石形截面的該底部表面的該覆蓋層的一第二部分,並使用該保護層防止該鑽石形截面的該頂部表面被該第二蝕刻所蝕刻。
  12. 如申請專利範圍第11項所述之方法,其中該覆蓋層包括氮化矽(SiN),其中進行該第二蝕刻包括以一蝕刻劑進行濕式蝕刻,該蝕刻劑包括磷酸(H3PO4)和稀釋的氫氟酸(DHF)。
  13. 如申請專利範圍第11項所述之方法,更包含形成一接點至源極或汲極區,其中該接點圍繞在該鑽石形截面的該頂部及底部表面上的該源極或汲極區,並接觸在該頂部及底部表面上的該外延材料。
  14. 如申請專利範圍第11項所述之方法,其中形成該接點包括:沉積一導電材料圍繞在該鑽石形截面的該頂部及底部表面上的該源極或汲極區;及將該基底退火,其使得該導電材料與該源極或汲極區反應以形成該接點。
  15. 如申請專利範圍第14項所述之方法,其中該源極或汲極區包括鍺(Ge)或矽-鍺(SiGe)。
  16. 如申請專利範圍第15項所述之方法,其中該導電材料包括鎳(Ni),且其中該接點包括鎳-鍺(NiGe)、鎳-矽-鍺(NiSiGe)、或前述之組合。
  17. 一種方法,包括:形成半導體材料的源極和汲極區,其藉由一通道區互相分開,其中該源極或汲極區有頂部及底部表面;形成一覆蓋材料圍繞該源極或汲極區的該頂部及底部表面;形成一介電材料在該覆蓋材料之上;移除該介電材料並暴露出在該源極或汲極區的該頂部及底部表面上的該覆蓋材料; 進行一第一蝕刻以移除從該源極或汲極區的該頂部表面該覆蓋材料,以暴露出在該頂部表面上的該半導體材料;及進行一第二蝕刻以從該源極或汲極區的該底部表面移除該覆蓋層,以暴露出在該底部表面上的該半導體材料,其中該第二蝕刻使用一蝕刻劑具有一選擇比介於該半導體材料與該覆蓋材料之間,使該覆蓋材料在一比該半導體材料高的蝕刻速率中蝕刻。
  18. 如申請專利範圍第17項所述之方法,其中移除該介電材料包括六氟-1,3-丁二烯(C4H6)電漿蝕刻、氬氣(Ar)電漿蝕刻、或前述之組合。
  19. 如申請專利範圍第17項所述之方法,其中該第一蝕刻包括使用氟化物(CH3F)、氫氣(H2)或前述之組合的一乾式蝕刻。
  20. 如申請專利範圍第17項所述之方法,其中該第二蝕刻包括磷酸(H3PO4)的一濕式蝕刻。
TW103146486A 2014-03-04 2014-12-31 半導體裝置及其製造方法 TWI545695B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/196,320 US9425310B2 (en) 2014-03-04 2014-03-04 Methods for forming wrap around contact

Publications (2)

Publication Number Publication Date
TW201539665A true TW201539665A (zh) 2015-10-16
TWI545695B TWI545695B (zh) 2016-08-11

Family

ID=54018220

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103146486A TWI545695B (zh) 2014-03-04 2014-12-31 半導體裝置及其製造方法

Country Status (2)

Country Link
US (4) US9425310B2 (zh)
TW (1) TWI545695B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI710003B (zh) * 2016-03-30 2020-11-11 美商英特爾公司 形貌特徵之自我對準堆積之技術
TWI730478B (zh) * 2018-10-31 2021-06-11 台灣積體電路製造股份有限公司 製造半導體元件之方法以及半導體元件
US12020947B2 (en) 2021-07-19 2024-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and semiconductor devices

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9275906B2 (en) * 2014-05-01 2016-03-01 Globalfoundries Inc. Method for increasing a surface area of epitaxial structures in a mixed N/P type fin semiconductor structure by forming multiple epitaxial heads
US9793358B2 (en) * 2014-05-01 2017-10-17 Globalfoundries Inc. Non-planar semiconductor device with multiple-head epitaxial structure on fin
US9472470B2 (en) * 2014-12-09 2016-10-18 GlobalFoundries, Inc. Methods of forming FinFET with wide unmerged source drain EPI
CN105845725B (zh) * 2015-01-12 2019-01-22 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置
KR102310076B1 (ko) 2015-04-23 2021-10-08 삼성전자주식회사 비대칭 소스/드레인 포함하는 반도체 소자
US10366988B2 (en) * 2015-08-14 2019-07-30 International Business Machines Corporation Selective contact etch for unmerged epitaxial source/drain regions
US9620644B2 (en) * 2015-09-02 2017-04-11 International Business Machines Corporation Composite spacer enabling uniform doping in recessed fin devices
CN114300363A (zh) 2015-09-16 2022-04-08 蓝枪半导体有限责任公司 半导体元件及其制作方法
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices
US9685374B1 (en) 2016-02-12 2017-06-20 Applied Materials, Inc. Contact process flow
WO2018009161A1 (en) * 2016-07-02 2018-01-11 Intel Corporation Iii-v finfet transistor with v-groove s/d profile for improved access resistance
US9735253B1 (en) 2016-08-26 2017-08-15 International Business Machines Corporation Closely packed vertical transistors with reduced contact resistance
US9805989B1 (en) 2016-09-22 2017-10-31 International Business Machines Corporation Sacrificial cap for forming semiconductor contact
US10084094B1 (en) 2017-03-17 2018-09-25 International Business Machines Corporation Wrapped source/drain contacts with enhanced area
US10510875B2 (en) * 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10367077B1 (en) 2018-04-27 2019-07-30 International Business Machines Corporation Wrap around contact using sacrificial mandrel
CN108711549A (zh) * 2018-04-28 2018-10-26 西安交通大学 超薄氧化铝介质层金刚石场效应晶体管及其制备方法
US10586872B2 (en) 2018-07-03 2020-03-10 International Business Machines Corporation Formation of wrap-around-contact to reduce contact resistivity
US10566251B2 (en) 2018-07-17 2020-02-18 International Business Machines Corporation Techniques for forming vertical transport FET
US10483361B1 (en) 2018-08-29 2019-11-19 International Business Machines Corporation Wrap-around-contact structure for top source/drain in vertical FETs
US11527640B2 (en) * 2019-01-03 2022-12-13 Intel Corporation Wrap-around contact structures for semiconductor nanowires and nanoribbons
US11677026B2 (en) 2019-03-04 2023-06-13 International Business Machines Corporation Transistor having wrap-around source/drain contacts
US10923590B2 (en) 2019-03-22 2021-02-16 International Business Machines Corporation Wrap-around contact for vertical field effect transistors
US11164947B2 (en) 2020-02-29 2021-11-02 International Business Machines Corporation Wrap around contact formation for VTFET
KR20210145585A (ko) 2020-05-25 2021-12-02 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11532520B2 (en) 2020-08-14 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11605717B2 (en) 2020-12-17 2023-03-14 International Business Machines Corporation Wrapped-around contact for vertical field effect transistor top source-drain
US11908937B2 (en) 2021-07-15 2024-02-20 International Business Machines Corporation Vertical transport field-effect transistor with ring-shaped wrap-around contact
US11935930B2 (en) 2021-11-30 2024-03-19 International Business Machines Corporation Wrap-around-contact for 2D-channel gate-all-around field-effect-transistors
WO2023164813A1 (zh) * 2022-03-01 2023-09-07 复旦大学 源漏限制外延的方法,器件制备方法、器件、设备

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7407890B2 (en) * 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7485520B2 (en) * 2007-07-05 2009-02-03 International Business Machines Corporation Method of manufacturing a body-contacted finfet
JP2009032955A (ja) 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
US8362572B2 (en) * 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
US8637371B2 (en) * 2012-02-16 2014-01-28 International Business Machines Corporation Non-planar MOSFET structures with asymmetric recessed source drains and methods for making the same
US10535735B2 (en) 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US20140273365A1 (en) * 2013-03-13 2014-09-18 Globalfoundries Inc. Methods of forming contacts to source/drain regions of finfet devices by forming a region that includes a schottky barrier lowering material
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI710003B (zh) * 2016-03-30 2020-11-11 美商英特爾公司 形貌特徵之自我對準堆積之技術
TWI730478B (zh) * 2018-10-31 2021-06-11 台灣積體電路製造股份有限公司 製造半導體元件之方法以及半導體元件
US11069534B2 (en) 2018-10-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US12020947B2 (en) 2021-07-19 2024-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and semiconductor devices

Also Published As

Publication number Publication date
US9935172B2 (en) 2018-04-03
US10283603B2 (en) 2019-05-07
US20180219076A1 (en) 2018-08-02
US10790370B2 (en) 2020-09-29
US20190259846A1 (en) 2019-08-22
US9425310B2 (en) 2016-08-23
TWI545695B (zh) 2016-08-11
US20150255604A1 (en) 2015-09-10
US20160351671A1 (en) 2016-12-01

Similar Documents

Publication Publication Date Title
TWI545695B (zh) 半導體裝置及其製造方法
KR101637679B1 (ko) Fⅰnfet을 형성하기 위한 메커니즘들을 포함하는 반도체 디바이스및 그 형성 방법
US10049938B2 (en) Semiconductor devices, FinFET devices, and manufacturing methods thereof
KR100801063B1 (ko) 게이트 올 어라운드형 반도체 장치 및 그 제조 방법
TWI625859B (zh) 包含閘極圖樣、多通道主動圖樣與擴散層之半導體裝置及用於製造其之方法
KR101647888B1 (ko) Finfet 소자를 제조하는 방법
US11063042B2 (en) Method and device of preventing merging of resist-protection-oxide (RPO) between adjacent structures
CN107026084B (zh) 半导体装置及其制造方法
CN109979880B (zh) 半导体结构及其形成方法
CN106033725B (zh) 半导体元件及其制作工艺
TWI685108B (zh) 半導體裝置及其製造方法
TWI755527B (zh) 半導體裝置結構與其形成方法
TWI677095B (zh) 積體電路及其製造方法
CN108987344B (zh) 用于FinFET器件的方法和结构
US20220102152A1 (en) Masking Layer With Post Treatment
JP6867283B2 (ja) 半導体装置の製造方法
CN109427683B (zh) 形成半导体装置的方法
JP2009065020A (ja) 半導体装置及びその製造方法
JP2009055027A (ja) Mosトランジスタの製造方法、および、これにより製造されたmosトランジスタ
US9660086B2 (en) Fin-shaped field effect transistor
US9419103B2 (en) Stress modulation in field effect transistors in reducing contact resistance and increasing charge carrier mobility
CN110718548A (zh) 半导体器件
TWI744333B (zh) 半導體裝置及其製程
US20220262792A1 (en) Transistor Source/Drain Contacts and Methods of Forming the Same
CN114203634A (zh) 半导体结构的形成方法