TW201516589A - 用來移除表面殘餘物的清洗調配物 - Google Patents

用來移除表面殘餘物的清洗調配物 Download PDF

Info

Publication number
TW201516589A
TW201516589A TW103132272A TW103132272A TW201516589A TW 201516589 A TW201516589 A TW 201516589A TW 103132272 A TW103132272 A TW 103132272A TW 103132272 A TW103132272 A TW 103132272A TW 201516589 A TW201516589 A TW 201516589A
Authority
TW
Taiwan
Prior art keywords
acid
group
composition
weight
benzotriazole
Prior art date
Application number
TW103132272A
Other languages
English (en)
Other versions
TWI638244B (zh
Inventor
Thomas Dory
Bing Du
Tomonori Takahashi
Emil A Kneer
Original Assignee
Fujifilm Electronic Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Electronic Materials filed Critical Fujifilm Electronic Materials
Publication of TW201516589A publication Critical patent/TW201516589A/zh
Application granted granted Critical
Publication of TWI638244B publication Critical patent/TWI638244B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2082Polycarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2072Aldehydes-ketones
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2079Monocarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2086Hydroxy carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2093Esters; Carbonates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Emergency Medicine (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Plasma & Fusion (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本揭示係有關於一種清洗組成物,其包含1)至少一螯合劑,該螯合劑為一種多胺多羧酸;2)選自於以下所構成的群組之至少一有機溶劑:水溶性醇類、水溶性酮類、水溶性酯類,以及水溶性醚類;3)至少一單羧酸,其含有一級胺基或二級胺基及至少一額外的含氮鹼基;4)至少一金屬腐蝕抑制劑,該金屬腐蝕抑制劑為一種經取代或未經取代的苯并三唑;以及5)水。本揭示亦有關於一種使用以上的組成物來清洗半導體基材之方法。

Description

用來移除表面殘餘物的清洗調配物 相關申請案之交叉引述
本申請案主張於2013年10月21日提申的美國臨時專利申請案案號61/893,424的優先權,其之內容在此以其之全體併入本文中以作為參考資料。
發明領域
本揭示係有關於一種半導體基材之新穎的清洗組成物以及一種清洗半導體基材之方法。更特別地,本揭示係有關於一種清洗組成物,用於在電漿蝕刻沉積於基材上之金屬層或介電材料層後,移除形成於半導體基材上之電漿蝕刻殘餘物,以及在經由電漿灰化製程(plasma ashing process)來整體光阻劑移除(bulk resist removal)之後,移除留在基材上之殘餘物。
發明背景
於積體電路元件之製造中,光阻劑(photoresist)係用作為中間遮罩,用來於利用一系列光微影術(photolithography)步驟及電漿蝕刻步驟而將原始標線片的遮罩圖案轉移至晶圓基材上。於積體電路元件之製造製程 中之基本步驟之一為由晶圓基材上移除圖案化的光阻劑膜(photoresist film)。大致上,此步驟係藉兩種方法之一者來進行。
一種方法涉及濕式剝離步驟,其中使經光阻劑覆蓋之基材與光阻劑剝離劑溶液接觸,該光阻劑剝離劑溶液主要包含有機溶劑及胺。然而,剝離劑溶液無法完全地且可靠地去除光阻劑膜,尤其是設若光阻劑膜於製造期間已經曝露於紫外光輻射及電漿處理時。一些光阻劑膜由於此等處理而變成高度交聯,而很難溶解於剝離劑溶液中。此外,此等傳統濕式剝離法中所用的化學物,有時對於清除金屬或氧化物層與含鹵素氣體之電漿蝕刻時,所形成之無機或有機金屬殘餘物質無效。
另一種清除光阻劑膜之方法涉及暴露塗佈有光阻劑之晶圓至氧為基質之電漿,俾以在一種稱作為電漿灰化之方法中自基材表面燃燒抗阻劑薄膜。然而,電漿灰化對清除上述電漿蝕刻副產物亦非完全有效。取而代之,此等電漿蝕刻副產物之清除,典型必須經由隨後將經處理之金屬及介電薄膜曝露於某種清洗溶液來完成。
金屬基材通常易受腐蝕影響。舉例言之,使用習知清洗化學品將容易腐蝕諸如鋁、銅、鋁銅合金、氮化鎢、鎢(W)、鈷(Co)、氧化鈦,以及其他金屬及金屬氮化物之基材,以及會蝕刻介電質[ILD,ULK]。此外,隨著元件幾何形狀的縮小,積體電路元件製造商所能忍受的腐蝕量愈來愈小。
同時,隨著殘餘物變得更難移除且必須控制腐蝕達非常低的位準,清洗溶液必須為安全使用且對環境友善的。
因此,清洗溶液必須可有效移除電漿蝕刻及電漿灰化殘餘物,以及也必須對全部曝露之基材材料不具有腐蝕性。
發明概要
本揭示係針對一種非腐蝕性清洗組成物,其主要可用於由一半導體基材移除殘餘物(例如,電漿蝕刻及/或電漿灰化殘餘物),作為多步驟式製造製程中之一個中間步驟。此等殘餘物包括一系列相對不溶性有機化合物混合物,該等相對不溶性有機化合物混合物諸如殘餘光阻劑、有機金屬化合物,及金屬氧化物,金屬氧化物係由曝露金屬,諸如鋁、鋁/銅合金、銅、鈦、鉭、鎢、鈷、金屬氮化物如氮化鈦及氮化鎢,及其它材料等所形成之反應副產物。本文所述之清洗組成物的優點在於其能清洗會遭遇到的廣大範圍殘餘物,以及對於曝露之基材材料通常不具有腐蝕性。
在一個態樣中,本揭示特徵在於一種清洗組成物,其包含1)至少一螯合劑,該螯合劑為一種多胺多羧酸;2)選自於以下所構成的群組之至少一有機溶劑:水溶性醇類、水溶性酮類、水溶性酯類,以及水溶性醚類;3)至少一單羧酸(monocarboxylic acid),其含有一級胺基或二級胺 基及至少一額外的含氮鹼基;4)至少一金屬腐蝕抑制劑,該金屬腐蝕抑制劑為一種經取代或未經取代的苯并三唑;以及5)水。於一些具體例中,該清洗組成物為一種均勻的溶液。
舉例而言,該清洗組成物可以包括:1)大約0.01重量%至大約0.5重量%之至少一多胺多羧酸螯合劑;2)大約2重量%至大約20重量%之選自於以下所構成的群組之至少一有機溶劑:水溶性醇類、水溶性酮類、水溶性酯類,以及水溶性醚類;3)大約0.02重量%至大約2重量%之至少一單羧酸化合物,其含有一級胺基或是二級胺基及至少一個額外的含氮鹼基;4)大約0.05重量%至大約2重量%之至少一金屬腐蝕抑制劑,其係選自於經取代和未經取代的苯并三唑所構成的群組;5)大約78重量%至大約98重量%的水,以及6)選擇性地,由大約1ppm至大約1000ppm的消泡劑,其中該清洗組成物的pH為7至大約9。
本揭示亦針對一種由半導體基材清洗殘餘物的方法。該方法包括使一種半導體基材與本文中所述的清洗組成物接觸,該半導體基材含有蝕刻後殘餘物及/或灰化後殘餘物。舉例而言,該方法可以包括以下步驟:(A)提供一種含有蝕刻後及/或灰化後殘餘物的半導體 基材;(B)使該半導體基材與本文中所述的清洗組成物接觸;(C)用一種沖洗溶劑來沖洗該半導體基材;以及(D)選擇性地,藉由會移除該沖洗溶劑而不會損及該半導體基材之完好性的任一種方式,來乾燥該半導體基材。
圖1為一種含有蝕刻後殘餘物之部分完成的元件之代表圖,其能用本揭示中所述的清洗組成物予以清洗。於圖1中,PER為蝕刻後殘餘物(Post Etch Residue),ILD為層間介電質(Inter Layer Dielectric)。
較佳實施例之詳細說明
如本文中定義,除非另行註明,須了解所表示的全部百分比須為相對於清洗組成物之總重量的重量百分比。除非另行註明,否則周圍溫度係定義為約攝氏16度(℃)至約27(℃)之間。
如本文中定義,「水溶性」物質(例如水溶性醇類、酮類、酯類,或醚類)係表示一種在25℃下於水的溶解度為至少5重量%溶解度的物質。
本揭示的一個具體例係針對一種非腐蝕性清洗組成物,其包含:1)大約0.01重量%至大約0.5重量%之至少一多胺多羧酸螯合劑;2)大約2重量%至大約20重量%之選自於以下所構成的 群組之至少一有機溶劑:水溶性醇類、水溶性酮類、水溶性酯類,以及水溶性醚類;3)大約0.05重量%至大約2重量%之至少一單羧酸化合物,其含有一級胺基或是二級胺基及至少一額外的含氮鹼基;4)大約0.02重量%至大約2重量%之至少一金屬腐蝕抑制劑,其係選自於經取代和未經取代的苯并三唑;5)大約78重量%至大約98重量%的水;以及6)選擇性地,大約1ppm至大約1000ppm的消泡劑,其中該清洗組成物的pH為7至大約9。
本揭示的組成物含有至少一種多胺多羧酸螯合劑。為了本揭示的目的,一種多胺多羧酸係提及一種具有數個胺基團及數個羧酸基團的化合物。適合的多胺多羧酸螯合劑種類包括,但是不限於單或多伸烷基(polyalkylene)多胺多羧酸、多胺烷烴(polyaminoalkane)多羧酸、多胺烷醇(polyaminoalkanol)多羧酸,以及羥基烷基醚(hydroxyalkylether)多胺多羧酸。
適合的多胺多羧酸螯合劑包括,但是不限於丁二胺四乙酸(butylenediaminetetraacetic acid)、二乙三胺五乙酸(DTPA)、乙二胺四丙酸、三乙四胺六乙酸、1,3-二胺-2-羥丙-N,N,N',N'-四乙酸、丙二胺四乙酸、乙二胺四乙酸(EDTA)、反-1,2-二胺環己四乙酸、乙二胺二乙酸、乙二胺二丙酸、1,6-六亞甲基-二胺-N,N,N',N'-四乙酸(1,6-hexamethylene-diamine-N,N,N',N'-tetraacetic acid)、 N,N-雙(2-羥基苯甲基)乙二胺-N,N-二乙酸、二胺丙烷四乙酸、1,4,7,10-四氮環十二烷-四乙酸、二胺丙醇四乙酸,以及(羥乙基)乙-二胺三乙酸。於一些具體例中,像是DTPA和EDTA之多伸烷基多胺為較佳的。
於一些具體例中,本揭示的組成物包括至少大約0.01重量%(例如,至少大約0.05重量%或至少大約0.1重量%)及/或至多大約0.5重量%(例如,至多大約0.3重量%或至多大約0.2重量%)的多胺多羧酸螯合劑。
本揭示的組成物含有至少一有機溶劑,其係選自於水溶性醇類、水溶性酮類、水溶性酯類,以及水溶性醚類(例如,二醇二醚類)之群組。
水溶性醇類種類包括,但是不限於烷烴二醇類(包括,但是不限於伸烷基二醇類)、二醇類、烷氧醇類(包括但是不限於二醇單醚)、飽和脂肪族一元醇類、不飽和非芳香族一元醇類,以及含有環結構之低分子量醇類。
水溶性烷烴二醇類之實例包括,但是不限於2-甲基-1,3-丙二醇、1,3-丙二醇、2,2-二甲基-1,3-二醇、1,4-丁二醇、1,3-丁二醇、1,2-丁二醇、2,3-丁二醇、品納可(pinacol),以及伸烷基二醇類。
水溶性伸烷基二醇類之實例包括,但是不限於乙二醇、丙二醇、二乙二醇、二丙二醇、三乙二醇以及四乙二醇。
水溶性烷氧醇類之實例包括,但是不限於3-甲氧基-3-甲基-1-丁醇、3-甲氧基-1-丁醇、1-甲氧基-2-丁醇,以 及水溶性二醇單醚類。
水溶性二醇單醚類之實例包括,但是不限於乙二醇一甲醚、乙二醇一乙醚、乙二醇一正丙醚、乙二醇一異丙醚、乙二醇一正丁醚、二乙二醇一甲醚、二乙二醇一乙醚、二乙二醇一丁醚、三乙二醇一甲醚、三乙二醇一乙醚、三乙二醇一丁醚、1-甲氧基-2-丙醇、2-甲氧基-1-丙醇、1-乙氧基-2-丙醇、2-乙氧基-1-丙醇、丙二醇一正丙醚、二丙二醇一甲醚、二丙二醇一乙醚、二丙二醇一正丙醚、三丙二醇一乙醚、三丙二醇一甲醚、乙二醇一苄基醚,以及二乙二醇一苄基醚。
水溶性飽和脂肪族一元醇類之實例包括,但是不限於甲醇、乙醇、正丙醇、異丙醇、1-丁醇、2-丁醇、異丁醇、三級丁醇、2-戊醇、三級戊醇,以及1-己醇。
水溶性不飽和非芳香族一元醇類之實例包括,但是不限於烯丙醇、炔丙醇、2-丁烯基醇、3-丁烯基醇,以及4-戊烯-2-醇。
含有環結構之水溶性、低分子量醇類之實例包括,但是不限於四氫呋喃甲醇、呋喃甲醇,以及1,3-環戊二醇。
水溶性酮類之實例包括,但是不限於丙酮(acetone)、丙酮(propanone)、環丁酮、環戊酮、環己酮、二丙酮醇、2-丁酮、5-己二酮、1,4-環己二酮、3-羥苯乙酮、1,3-環己二酮,以及環己酮。
水溶性酯類之實例包括,但是不限於乙酸乙酯、 二醇單酯類(例如,乙二醇一乙酸酯及二乙二醇一乙酸酯),以及二醇單醚單酯類(例如,丙二醇一甲醚乙酸酯、乙二醇一甲醚乙酸酯、丙二醇一乙醚乙酸酯,以及乙二醇一乙醚乙酸酯)。
水溶性醚類之實例包括,但是不限於1,2-二甲氧基乙烷、二乙二醇二甲醚,以及三乙二醇二甲醚。
於一些具體例中,本揭示的組成物包括至少大約2重量%(例如,至少大約3重量%、至少大約5重量%,或至少大約10重量%)及/或至多大約20重量%(例如,至多大約18重量%、至多大約15重量%,或是至多大約12重量%)的有機溶劑。
本揭示的組成物含有至少一種單羧酸化合物,其含有一級胺基或二級胺基及至少一個額外的含氮鹼基。為了本揭示的目的,所需的一級胺基或是二級胺基並未與另一個含氮鹼基或是其之部分(例如,NH2、H2NC(=X),或H2NNHC(=X),其中X=O、S,或NR,R為H或C1-C4烷基)直接鍵結。換言之,不認為NH2NH-、H2NC(=X)NH-,或H2NNHC(=X)NH-是本揭示的一級胺基或二級胺基。因此,只含有此一鹼基(例如,NH2NH-、H2NC(=X)NH-,或H2NNHC(=X)NH-)的單羧酸並未包括一級胺基或二級胺基,所以因而不被包括在本揭示所述,該含有一級胺基或二級胺基及至少一個額外的含氮衍生的鹼基之單羧酸化合物之內。此等已排除的單羧酸之實例包括胍乙酸以及4-胍丁酸。
含有一級胺基或二級胺基及至少一個額外的含氮鹼基之單羧酸化合物,適合的種類為該等單羧酸化合物,其含有一級胺基或二級胺基及下列選自於以下所構成的群組之含氮鹼基的至少一者:咪唑基、三唑基、苯并三唑基、六氫吡基(piperazinyl)、吡咯基(pyrolyl)、吡咯啶基(pyrrolidinyl)、吡唑基、哌啶基(piperidinyl)、胍基(guanidiny)、咔唑基(carbazatyl)、肼基(hydrazidyl)、半卡肼基(semicarbazidyl)、胺基胍基、一級胺基(例如,C1-C10一級胺基),以及二級胺基(例如,C1-C10二級胺基)。此等基團可以進一步被取代基來取代,例如低級烷基(lower alkyl group),除了二級胺基以外。
於本揭示的一些具體例中,該含有一級胺基或二級胺基及至少一個額外的含氮鹼基之至少一單羧酸化合物,係選自於泛型結構(generic Structure)(I)所述之化合物:(R3NH)C(R1)(R2)CO2H (I),其中R1及R2各者獨立為氫原子、C1-C4烷基,或具有至少一個含氮鹼基之基團(例如,C1-C10基團);以及R3為氫原子、C1-C10烷基,或具有至少一個含氮鹼基之基團(例如,C1-C10基團);其中R1、R2及R3中至少一者為具有至少一個含氮鹼基之基團。
於一些具體例中,R1可以為具有至少一個含氮鹼基之基團,其中該具有至少一個含氮鹼基之基團為C1-C10烷基,該C1-C10烷基被胺基、胍基(guanidiny)或是咪唑基所取代,以及選擇性地進一步被OH所取代。於此等具體例 中,R2可以為H或C1-C10烷基,以及R3可以為H、C1-C10烷基,或是具有至少一個含氮鹼基之基團,其中該具有至少一個含氮鹼基之基團為C1-C10烷基,該C1-C10烷基選擇性地被胺基、胍基或是咪唑基所取代,以及選擇性地進一步被OH所取代。
於一些具體例中,R3可以為具有至少一個含氮鹼基之基團,其中該具有至少一個含氮鹼基之基團為C1-C10烷基,該C1-C10烷基被胺基、胍基(guanidiny)或咪唑基所取代,以及選擇性地進一步被OH所取代。於此等具體例中,R1及R2各者可以獨立地為H或C1-C4烷基。
於本揭示的一些具體例中,該含有一級胺基或二級胺基及至少一個額外的含氮鹼基之至少一單羧酸化合物,係選自於以上所述之結構(I)所說明的化合物,該處R1為具有至少一個含氮鹼基之基團,以及R2及R3各者為一氫原子。具有此結構的化合物之實例包括,但是不限於離胺酸、2,3-二胺丁酸、2,4-二胺丁酸、鳥胺酸、2,3-二胺丙酸、2,6-二胺庚酸、4-甲基離胺酸、3-甲基離胺酸、5-羥基離胺酸、3-甲基-L-精胺酸、精胺酸、高精胺酸、N5-一甲基-L-精胺酸、N5-[亞胺基(甲基胺基)甲基]-D-鳥胺酸、刀豆胺酸,以及組胺酸。
於本揭示的一些具體例中,該含有一級胺基或二級胺基及至少一個額外的含氮鹼基之至少一單羧酸化合物,係選自於以上所述之結構(I)所說明的化合物,該處R1及R2各者為一氫原子,以及R3為C1-C10基團,其包含具有至 少一個含氮鹼基之基團。具有此結構的化合物之實例包括,但是不限於N-(2-胺基乙基)甘胺酸以及N-(2-胺基丙基)甘胺酸。
於本揭示的一些具體例中,該含有一級胺基或二級胺基及至少一個額外的含氮鹼基之至少一單羧酸化合物,係選自於以上所述之結構(I)所描述的化合物,該處R1為具有至少一個含氮鹼基之基團,R2為一氫原子,以及R3為C1-C10烷基。具有此結構的化合物之實例包括,但是不限於N2-甲基離胺酸,以及N2-甲基-L-精胺酸。
於本揭示的一些具體例中,該含有一級胺基或二級胺基及至少一個額外的含氮鹼基之至少一單羧酸化合物,係選自於以上所述之結構(I)所描述的化合物,該處R1為具有至少一個含氮鹼基之基團,R2為一氫原子,以及R3為具有至少一個含氮鹼基之基團。具有此結構的化合物之實例包括,但是不限於N2-(2-胺基乙基)-D-精胺酸,以及N2-(2-胺基乙基)-L-精胺酸。
於本揭示的一些具體例中,該含有一級胺基或二級胺基及至少一個額外的含氮鹼基之至少一單羧酸化合物,係選自於以上所述之結構(I)所描述的化合物,該處R1為C1-C4烷基,R2為具有至少一個含氮鹼基之基團,以及R3為一氫原子。具有此結構的化合物之實例包括,但是不限於2-甲基離胺酸以及2-甲基-L-精胺酸。
於本揭示的一些具體例中,該含有一級胺基或二級胺基及至少一個額外的含氮鹼基之至少一單羧酸化合 物,係選自於具有以下結構的單羧酸化合物,該結構中所需的一級胺基或二級胺基並未與作為羧酸基團之同一個碳原子鍵結。具有此結構的化合物之實例包括,但是不限於3,4-二胺丁酸以及3-胺基-5-[(胺基亞胺基甲基)甲基胺基]戊酸。
於一些具體例中,本揭示的組成物包括至少大約0.02重量%(例如,至少大約0.05重量%、至少大約0.1重量%、至少大約0.2重量%,或是至少大約0.5重量%)及/或至多大約2重量%(例如,至多大約1.8重量%、至多大約1.5重量%、至多大約1.2重量%,或是至多大約1重量%)的單羧酸化合物。
本揭示的組成物含有至少一金屬腐蝕抑制劑,其係選自於經取代和未經取代的苯并三唑。經取代的苯并三唑適合的種類包括,但是不限於用烷基基團、芳基基團、鹵素基團、胺基基團、硝基基團、烷氧基基團,及羥基基團取代的苯并三唑。適合的苯并三唑亦包括與一個或更多個芳基(例如苯基)或雜芳基基團稠合的該等。為了本揭示的目的,用語「經取代和未經取代的苯并三唑」係定義為排除任何同時含有羧基基團和一級胺基或二級胺基二者之苯并三唑化合物。
適合使用作為金屬腐蝕抑制劑的苯并三唑包括,但是不限於苯并三唑(BTA)、5-胺基苯并三唑、1-羥基苯并三唑、5-苯基硫醇-苯并三唑、5-氯苯并三唑、4-氯苯并三唑、5-溴苯并三唑、4-溴苯并三唑、5-氟苯并三唑、4- 氟苯并三唑、萘三唑(naphthotriazole)、甲苯三唑、5-苯基-苯并三唑、5-硝基苯并三唑、4-硝基苯并三唑、2-(5-胺基-戊基)-苯并三唑、1-胺基-苯并三唑、5-甲基-1H-苯并三唑、苯并三唑-5-羧酸、4-甲基苯并三唑、4-乙基苯并三唑、5-乙基苯并三唑、4-丙基苯并三唑、5-丙基苯并三唑、4-異丙基苯并三唑、5-異丙基苯并三唑、4-正丁基苯并三唑、5-正丁基苯并三唑、4-異丁基苯并三唑、5-異丁基苯并三唑、4-戊基苯并三唑、5-戊基苯并三唑、4-己基苯并三唑、5-己基苯并三唑、5-甲氧基苯并三唑、5-羥基苯并三唑、二羥基丙基苯并三唑、1-[N,N-雙(2-乙基己基)胺基甲基]-苯并三唑、5-三級丁基苯并三唑、5-(1',1'-二甲基(diimethyl)丙基)-苯并三唑、5-(1',1',3'-三甲基丁基)苯并三唑、5-正辛基苯并三唑,以及5-(1',1',3',3'-四甲基丁基)苯并三唑。
於一些具體例中,本揭示的組成物包括至少大約0.05重量%(例如,至少大約0.1重量%、至少大約0.2重量%,或至少大約0.5重量%)及/或至多大約2重量%(例如,至多大約1.5重量%、至多大約1.2重量%,或至多大約1重量%)的金屬腐蝕抑制劑。
本揭示的清洗組成物進一步包括水。較佳地,水為去離子且超純的水,不含有機污染物且具有最小大約4至大約17百萬歐姆的電阻率。更佳地,水的電阻率為至少17百萬歐姆。
於一些具體例中,本揭示的組成物包括至少大約78重量%(例如,至少大約80重量%、至少大約83重量%,或 是至少大約85重量%)及/或至多大約98重量%(例如,至多大約95重量%、至多大約93重量%,或是至多大約90重量%)的水。
於一些具體例中,本揭示的非腐蝕性清洗組成物含有以下、由以下構成,或實質上由以下構成:至少大約0.01重量%(例如,至少大約0.05重量%或是至少大約0.1重量%)到至多大約0.5重量%(例如,至多大約0.3重量%或是至多大約0.2重量%)的至少一多胺多羧酸螯合劑;至少大約2重量%(例如,至少大約3重量%、至少大約5重量%,或是至少大約7重量%)到至多大約20重量%(例如,至多大約18重量%、至多大約15重量%,或是至多大約12重量%)的至少一有機溶劑,其係選自於水溶性醇類、水溶性酮類、水溶性酯類,以及水溶性醚類之群組;至少大約0.02重量%(例如,至少大約0.05重量%、至少大約0.1重量%、至少大約0.2重量%,或至少大約0.5重量%)到至多大約2重量%(例如,至多大約1.8重量%、至多大約1.5重量%、至多大約1.2重量%,或至多大約1重量%)的至少一單羧酸化合物,其含有一級胺基或二級胺基及至少一個額外的含氮鹼基;至少大約0.05重量%(例如,至少大約0.1重量%、至少大約0.2重量%,或至少大約0.5重量%)到至多大約2重量%(例如,至多大約1.5重量%、至多大約1.2重量%,或是至多大約1.0重量%)的至少一金屬腐蝕抑制劑,其係選自於經取代和未經取代的苯并三唑;至少大約78重量%(例如,至少大約80重量%、至少大約83重量%,或是至少大約85重量%)到至多大約98重 量%(例如,至多大約95重量%、至多大約93重量%,或是至多大約90重量%)的水,以及選擇性地,至少大約1ppm(例如,至少大約10ppm、至少大約15ppm、至少大約30ppm,或是至少大約50ppm)到至多大約1000ppm(例如,至多大約750ppm、至多大約500ppm、至多大約300ppm,或是至多大約100ppm)的消泡劑,其中該非腐蝕性清洗組成物的pH為至少7(例如,至少大約7.1、至少大約7.2,或是至少大約7.3)到至多大約9(例如,至多大約8.5、至多大約8.2,至多大約8)。
於一些具體例中,本揭示的組成物可以具有至少7(例如,至少大約7.1、至少大約7.2,或至少大約7.3)到至多大約9(例如,至多大約8.5、至多大約8.2,至多大約8)的pH。不願被理論所束縛,據信清洗組成物的pH低於7會顯著增加鈷腐蝕,而清洗組成物的pH高於9會顯著增加鎢的腐蝕。為了得到希望的pH,可以調整多胺多羧酸、苯并三唑(或其衍生物),以及含有一級胺基或二級胺基及至少一個額外的含氮鹼基之單羧酸化合物的相對濃度。
此外,於一些具體例中,本揭示的清洗組成物可以含有添加劑,諸如額外的pH調整劑、不含羧基的腐蝕抑制劑、界面活性劑、有機溶劑、除生物劑,以及消泡劑,作為可選擇的組分。
適合的消泡劑之實例包括聚矽氧烷消泡劑(諸如二甲基聚矽氧烷(polydimethylsiloxane))、聚乙二醇甲醚聚合物、環氧乙烷/環氧丙烷共聚物,以及環氧丙基醚炔屬二 醇乙氧基化物(glycidyl ether capped acetylenic diol ethoxylates)(如美國專利第6717019號中所述,併入於此以作為參考)。
於一些具體例中,本揭示的清洗組成物可以排除添加劑組分中的一者或多者,任意組合方式,設若超過一者。此等組分係選自於以下所構成的群組:pH調整劑、腐蝕抑制劑(諸如不含羧基的該等或非唑腐蝕抑制劑)、界面活性劑(諸如不同於消泡劑的該等)、不同於水溶性醇類、水溶性酮類、水溶性酯類,以及水溶性醚類之有機溶劑(諸如水不溶性有機溶劑)、除生物劑、消泡劑、去氧劑、四級銨氫氧化物類、胺類、鹼性鹼(alkaline base)(諸如NaOH、KOH及LiOH)、含氟化合物類、氧化劑(諸如過氧化物、氧銨(oxoammonium)化合物、無機氧化劑、過酸)、研磨料、羥基羧酸類,以及缺少胺基之羧酸類及缺少胺基之多羧酸類)。
一般說來,本揭示的清洗組成物不特別設計為要從半導體基材移除整體光阻劑膜(bulk photoresist film)。更確切地,本揭示的清洗組成物通常設計為要在經由乾式或濕式剝離法、整體光阻劑移除(bulk resist removal)之後,移除全部的殘餘物。因而,本揭示的清洗方法較佳是在乾式或濕式光阻劑剝離製程之後使用。通常在圖案轉移製程,諸如蝕刻或植入製程之前進行此光阻劑剝離製程,或者在圖案轉移之前進行光阻劑剝離製程以校正遮罩失誤。殘餘物的化學補充(makeup)會取決於清洗步驟之前的製程 (process)或多個製程(processes)。
可以使用任何適合的乾式剝離製程來從半導體基材移除整體光阻劑。適合的乾式剝離製程之實例包括氧為基質之電漿灰化,諸如氟/氧電漿或N2/H2電漿;臭氧氣相處理;氟電漿處理;熱H2氣體處理(諸如美國專利5,691,117內所述,以其整體併入於此以作為參考)及類似物。此外,熟悉此藝人士已知之任一種習知有機濕式剝離溶液皆可使用來從半導體基材移除整體光阻劑。
與本揭示之清洗方法組合使用之較佳的剝離製程是乾式剝離製程。較佳地,此種乾式剝離製程為氧為基質之電漿灰化製程。此製程係經由於升溫(典型為250℃)於真空條件下(亦即1托(torr)),藉由施加反應性氧氣氛圍,而由半導體基材移除大部分的光阻劑。有機材料係藉由此種製程氧化,且被此製程氣體移除。但此種製程並未移除半導體基材之無機污染物或有機金屬污染物。需要隨後使用本揭示之清洗組成物來清洗半導體基材,來移除該等殘餘物。
本揭示之一個具體例為一種由半導體基材清洗殘餘物的方法,其包括使一種半導體基材與本文中所述的清洗組成物接觸,該半導體基材含有蝕刻後殘餘物及/或灰化後殘餘物。該方法可以進一步包括以下步驟:在接觸步驟之後,用一種沖洗溶劑來沖洗該半導體基材,及/或在沖洗步驟之後,乾燥該半導體基材。
於一些具體例中,該清洗方法包括以下步驟: (A)提供一種含有蝕刻後及/或灰化後殘餘物的半導體基材;(B)使該半導體基材與本文中所述的清洗組成物接觸;(C)用一種沖洗溶劑來沖洗該半導體基材;以及(D)選擇性地,藉由會移除該沖洗溶劑而不會損及該半導體基材之完好性的任一方式,來乾燥該半導體基材。
於一些具體例中,該清洗方法進一步包括由以上所述之方法而獲得的半導體基材,來形成一種半導體元件(例如積體電路元件,如半導體晶片)。
此清洗方法中待清洗的半導體基材可包含有機和有機金屬殘餘物,以及可額外包含一系列需要移除的金屬氧化物。半導體基材典型由矽、矽鍺、第III-V族化合物例如GaAs,或其任一種組合所組成。半導體基材可額外包含曝露之積體電路結構諸如互連結構,例如金屬線及介電材料。用於互連結構之金屬及金屬合金包括,但是不限於鋁、鋁與銅之合金、銅、鈦、鉭、鈷、及矽、氮化鈦、氮化鉭、及鎢。該半導體基材也含有氧化矽層、氮化矽層、碳化矽層及摻碳氧化矽層。
半導體基材可藉由任一種適當的方法而與清洗組成物接觸,諸如將清洗組成物置於槽內,且將該半導體基材浸泡及/或浸没於清洗組成物內,噴灑清洗組成物於該半導體基材上,使清洗組成物流動(streaming)於半導體基材上,或是其等之任一種組合。較佳地,半導體基材係浸泡於清洗組成物內。
本揭示的清洗組成物可以於高達大約90℃的溫度有效使用。較佳地,清洗組成物可以於由大約25℃至大約80℃使用。更佳地,清洗組成物可以於由大約30℃至大約60℃之溫度範圍內使用,而且最佳為大約40℃至大約60℃之溫度範圍。
同樣地,取決於所使用之特定清洗方法和溫度,清洗時間可有寬廣的變化。當以浸没批次型方法(immersion batch type process)清洗時,適當的時間範圍為,舉例而言至多大約60分鐘。批次型方法之較佳範圍係由大約1分鐘至大約60分鐘。批次型方法之更佳的時間範圍係由大約3分鐘至大約20分鐘。批次型清洗方法之最佳的時間範圍係由大約4分鐘至大約15分鐘。
單一晶圓製程之清洗時間可以落在大約10秒至大約5分鐘之範圍。單一晶圓製程之較佳的清洗時間可以落在大約15秒至大約4分鐘之範圍。單一晶圓製程之更佳的清洗時間可以落在大約15秒至大約3分鐘之範圍。單一晶圓製程之最佳的清洗時間可以落在大約20秒至大約2分鐘之範圍。
為了進一步提升本揭示之清洗組成物的清洗能力,可採用機械攪動手段(means)。適當的攪動手段之實例包括清洗組成物於基材上循環、清洗組成物於基材上流動或噴灑,以及於清洗方法中之超音波攪動或超高頻音波攪動。半導體基材相對於地面之方向性可為任一種角度。以水平方向或垂直方向為佳。
本揭示的清洗組成物可以用於熟悉此藝人士已知之習知清洗工具。本揭示組成物之一大優點為其全部或部分含括相對無毒、無腐蝕性及無反應性之組分,因此組成物於寬廣之溫度範圍及處理時間範圍為高度穩定的。本揭示之組成物與用於批次式清洗及單一晶圓清洗用來組成現有的及曾經提出的半導體晶圓清洗方法工具,所使用的實際全部材料為化學上相容的。
於清洗後,用適當的沖洗溶劑伴隨或未伴隨攪動手段,來沖洗半導體基材大約5秒至大約5分鐘。適當的沖洗溶劑之實例包括,但是不限於去離子水(DI水)、甲醇、乙醇、異丙醇、N-甲基吡咯啶酮、γ-丁內酯、二甲亞碸、乳酸乙酯及丙二醇一甲醚乙酸酯。沖洗溶劑之較佳的實例包括,但是不限於DI水、甲醇、乙醇及異丙醇。沖洗溶劑之更佳的實例為DI水及異丙醇。最佳的沖洗溶劑為DI水。溶劑可使用類似用來施用本文中所述的清洗組成物之方式施用。清洗組成物可於沖洗步驟開始前,業已由半導體基材上移除,或者清洗組成物可於沖洗步驟開始時仍然接觸半導體基材。較佳地,沖洗步驟所使用之溫度為16℃和27℃之間。
選擇性地,在沖洗步驟之後乾燥半導體基材。可採用本技藝已知之任一種適當乾燥手段。適當的乾燥手段之實例包括離心乾燥(spin drying)、使乾燥氣體流過半導體基材,或以諸如熱板或紅外燈之加熱手段來加熱半導體基材,馬拉哥尼(Maragoni)乾燥、洛他哥尼(rotagoni)乾燥、IPA 乾燥或是其等之任一種組合。乾燥時間將依據所採用之特定方法決定,但典型乾燥時間為約30秒至數分鐘。
於一些具體例中,一種使用本文中所述的清洗組成物來製造積體電路元件的方法,包含下列步驟。首先,塗敷一層光阻劑至半導體基材。以此方式得到的半導體基材接而能進行圖案轉移製程,諸如蝕刻或植入製程,來形成積體電路。繼而整體光阻劑可經由乾式或濕式剝離法(例如氧為基質之電漿灰化製程)而移除。半導體基材上剩餘的殘餘物接而可使用本文中所述的清洗組成物,以如上所述之方式來移除。半導體基材隨後可予以處理而於基材上形成一種或更多種額外的電路,或是可藉由,舉例而言總成(assembling)(例如截割(dicing)及結合)及封裝(例如晶片密封)來處理而形成半導體晶片。
實施例
本揭示將參照下列實施例舉例說明其細節,該等實施例僅供舉例說明之用而非視為限制本發明之範圍。除非另行規定,否則所列舉之任何百分比皆為以重量計(wt%)。除非另行註明,否則於試驗期間經過控制之攪拌係使用1英吋攪拌棒於300rpm進行。
一般程序1 調配物摻合
經由將除下列組份之外的清洗調配物的組份,添加至已計算量的超純去離子水(DIW)內,同時攪拌來製備清洗組成物的樣本:pH調整劑、含有一級胺基或二級胺基及 至少一個額外的含氮鹼基之單羧酸(monocarboxyolic acid)化合物,以及任何可選擇的添加劑。之後,完成一種均勻的溶液,設若有使用,則添加可選擇的添加劑。藉由添加pH調整劑及/或含有一級胺基或二級胺基及至少一個額外的含氮鹼基之單羧酸(monocarboxyolic acid)化合物來完成組成物之調配物。允許溶液平衡以及設若希望,量取清洗組成物的pH。
設若希望,於全部組分皆完全溶解後,在周圍溫度(17-25℃)下進行pH之測定。可以使用Beckman Coulter Φ 400系列手持型測量儀來進行此等pH測量。全部使用之組分皆為市面上可得,且具有高純度。
一般程序2 以燒杯試驗之清洗評估(Cleaning evaluation with Beaker test)
將包含圖1內所顯示的材料和特徵之經圖案化的晶圓,截割成為包含此等關鍵特徵之測試試件用於清洗試驗。
由基材清洗PER係利用已經被微影圖案化、以電漿金屬蝕刻器蝕刻,以及接著氧電漿灰化之多層的光阻劑/TiOx/SiN/Co/ILD或光阻劑/TiOx/SiN/W/ILD基材,用所述的清洗組成物來進行,以完全移除頂層的光阻劑。
使用4”長的塑膠鎖鑷來夾住測試試件,藉此繼而使試件懸置於含有大概200毫升本揭示的蝕刻組成物之500ml體積的玻璃燒杯內。在試件浸没於蝕刻組成物內以前, 將該組成物預熱至所欲的測試條件溫度(如前所述典型為40℃或60℃),伴隨經控制的攪拌。然後透過將塑膠鑷子所夾住的試件放置到加熱的組成物之內,讓試件含PER層的一側面對攪拌棒,來進行清洗測試。試件靜置於蝕刻組成物中歷時一段時間(典型為2至5分鐘),同時組成物於經控制的攪拌下維持於測試溫度。當所欲的清洗時間結束時,試件由蝕刻組成物中快速移出,且放置於裝滿約400毫升去離子水之500毫升塑膠燒杯內,於周圍溫度(~17℃)伴以溫和的攪拌。試件維持於燒杯之去離子水中約30秒,然後快速移出,以及在周圍溫度下於去離子水流下沖洗大約30秒。試件即刻接觸來自於手持氮氣吹送槍之氮氣流,造成試件表面的任何小液滴皆從試件上被吹走,以及進一步使試件元件的表面完全乾燥。於此最末氮乾燥步驟後,試件由塑膠鑷子固定器中移開,並且放置於經覆蓋的塑膠載具上,元件該面向上短時間存放不超過大約2小時。然後收集經清洗之測試試件元件表面的關鍵特徵之掃描電子顯微術(SEM)影像。
一般程序3 以燒杯試驗之材料相容性評估
將矽基材上的覆層Co、矽基材上的W、矽基材上SiO2的TiOx、矽基材上的SiN、矽基材上的ILD,截割成約1英吋x1英吋平方之測試試件用於材料相容性試驗。測試試件最初使用Woollam M-2000X,藉由4點探針、CDE Resmap 273用於金屬膜(Co,W),或是Elipsometry用於介電膜 (TiOx,SiN以及ILD),來測量厚度或是薄片電阻(sheet resistance)。然後將測試試件安裝於4”長的塑膠鎖鑷之上,以及如一般程序3的清洗程序中所述方式,讓試件含Co、W、TiOx、SiN或是ILD層的一側面對攪拌棒,來處理試件10分鐘。
於最末氮乾燥步驟之後,試件由塑膠鑷子固定器中移開,並且放置於經覆蓋的塑膠載具上。然後使用Woollam M-2000X,藉由4點探針、CDE Resmap 273用於金屬膜(Co及W),或是Elipsometry用於介電膜(TiOx,SiN及ILD),來收集處理後測試試件之後厚度(post-thickness)或薄片電阻。
一般程序4 化學發泡評估
發泡之ASTM D892-13標準測試方法如下。將30ml的測試組成物放置於100ml圓筒內。用像是PARAFILM®之塑膠膜覆蓋圓筒頂部,以阻止組成物不滲漏。快速地震動圓筒30秒,俾以產生泡沫。震動之後,將圓筒放置於靜止臺之上,以及在5、10、15、30以及60秒之後測量泡沫的高度。
調配物實施例FE1-FE14
表1包含依據一般程序1所製備的調配物FE1-FE14。
比較調配物實施例
表2包含依據一般程序1來製備的比較調配物實施例CFE-1至CFE-19。
實施例1-6及比較實施例CE1-CE15 清洗劑與曝露的金屬之相容性
依據一般程序3來測試調配物實施例1-6以及比較調配物實施例CE1-CE15於60℃之材料相容性歷時10分鐘。表3內顯示清洗組成物內的Co、W以及TiOx之蝕刻速率。
表3內的結果顯示本揭示的調配物(亦即FE-1至FE-6)對Co、W及TiOx全體之蝕刻速率為低的。具有a)相似的pH但是以另外的方式得到鹼性pH之調配物,b)具有相似於本揭示的單羧酸但是未得到官能基中之一者的化合物之調配物,以及c)先前技藝其他類型的清洗調配物,不會同時提供低的Co、W及TiOx蝕刻速率。
實施例7-12及比較實施例CE16
測試本揭示之各種調配物及比較調配物於400C的清洗及材料相容性。結果記述於表4內。
表4內的結果顯示出經選擇的溶劑提供傑出的材料相容性,包括氮化矽及介電內層,以及顯示出改良的清洗及Co相容性,超越了不具有經選擇的有機溶劑之比較實施例。
實施例13-16及比較調配物實施例CE17-CE19
依據一般程序3來測試本揭示之各種組成物及比較調配物於60℃之材料相容性。結果提供於表5內。
表5內的結果顯示多胺多羧酸螯合劑之重要性。沒有多胺多羧酸螯合劑的情況下,W蝕刻速率顯著地上升。見實施例19。用一種不帶有任何胺基的已知螯合劑,檸檬酸來取代多胺多羧酸螯合劑,抑制了W蝕刻速率但是Co蝕刻速率顯著地上升。見實施例CE18。同樣地,當不存在苯并三唑衍生物時Co蝕刻速率顯著地上升,顯示出苯并三唑衍生物之重要性。見實施例17。
實施例17和18及比較實施例CE20和CE21
一開始在調配之後且接而在24小時以後,依據一般程序1來測試用來獲取表5內的數據之經選擇的調配物的pH穩定性。結果提供於表6內。
表6內的結果表示螯合劑會幫助控制組成物的pH穩定性。
調配物實施例FE-15-FE-21、實施例19-31及比較實驗CE22
表4實驗內使用的本揭示調配物以及本揭示包含消泡劑之調配物係使用一般程序4來測試發泡。依照表7內中所述來製備本揭示包含消泡劑之調配物。表8包含發泡試驗的結果。
如同表8內顯示的,清洗調配物之發泡隨著使用的溶劑而變化。該結果亦顯示出添加小量的消泡劑,能使含有起泡傾向的有機溶劑之組成物內的泡沫量顯著減少。
調配物實施例FE-22-FE-29
為了進一步闡明本揭示的調配物,於表9內說明調配物FE-22-FE-29。

Claims (23)

  1. 一種清洗組成物,其包含:1)至少一螯合劑,該螯合劑為多胺多羧酸;2)選自於以下所構成的群組之至少一有機溶劑:水溶性醇類、水溶性酮類、水溶性酯類,及水溶性醚類;3)至少一單羧酸(monocarboxylic acid),其含有一級胺基或二級胺基及至少一額外的含氮鹼基;4)至少一金屬腐蝕抑制劑,該金屬腐蝕抑制劑為經取代或未經取代的苯并三唑;以及5)水。
  2. 如請求項1之組成物,其中該組成物的pH為介於7和大約9之間。
  3. 如請求項1之組成物,其中該多胺多羧酸係選自於以下所構成的群組:單或多伸烷基(polyalkylene)多胺多羧酸、多胺烷烴(polyaminoalkane)多羧酸、多胺烷醇多羧酸,以及羥基烷基醚多胺多羧酸。
  4. 如請求項1之組成物,其中該多胺多羧酸係選自於以下所構成的群組:丁二胺四乙酸(butylenediaminetetraacetic acid)、二乙三胺五乙酸、乙二胺四丙酸、三乙四胺六乙酸、1,3-二胺-2-羥丙-N,N,N',N'-四乙酸、丙二胺四乙酸、乙二胺四乙酸、反-1,2-二胺環己四乙酸、乙二胺二乙酸、乙二胺二丙酸、1,6-六亞甲基-二胺-N,N,N',N'-四乙酸 (1,6-hexamethylene-diamine-N,N,N',N'-tetraacetic acid)、N,N-雙(2-羥基苯甲基)乙二胺-N,N-二乙酸、二胺丙烷四乙酸、亞胺二乙酸;1,4,7,10-四氮環十二烷-四乙酸、二胺丙醇四乙酸,以及(羥乙基)乙二胺三乙酸。
  5. 如請求項1之組成物,其中該組成物包含大約0.01重量%至大約0.5重量%之該多胺多羧酸。
  6. 如請求項1之組成物,其中該單羧酸為結構(I)之化合物:(R3NH)C(R1)(R2)CO2H (I),其中R1及R2各者獨立為氫原子、C1-C4烷基,或具有至少一個含氮鹼基之基團;以及R3為氫原子、C1-C10烷基,或具有至少一個含氮鹼基之基團;其中R1、R2及R3中至少一者為具有至少一個含氮鹼基之基團。
  7. 如請求項6之組成物,其中該R1為具有至少一個含氮鹼基之基團,其中該具有至少一個含氮鹼基之基團為C1-C10烷基,該C1-C10烷基被胺基、胍基(guanidiny)或咪唑基所取代,以及選擇性地進一步被OH所取代。
  8. 如請求項7之組成物,其中該R2為H或C1-C10烷基,以及R3為H、C1-C10烷基,或具有至少一個含氮鹼基之基團,其中該具有至少一個含氮鹼基之基團為C1-C10烷基,該C1-C10烷基選擇性地被胺基、胍基或咪唑基所取代,以及選擇性地進一步被OH所取代。
  9. 如請求項6之組成物,其中該R3為具有至少一個含氮鹼基之基團,其中該具有至少一個含氮鹼基之基團為C1-C10烷基,該C1-C10烷基被胺基、胍基或咪唑基所取代,以及選擇性地進一步被OH所取代。
  10. 如請求項9之組成物,其中該R1及R2各者獨立地為H或C1-C4烷基。
  11. 如請求項1之組成物,其中該單羧酸係選自於以下所構成的群組:離胺酸、2,3-二胺丁酸、2,4-二胺丁酸、鳥胺酸、2,3-二胺丙酸、2,6-二胺庚酸、4-甲基離胺酸、3-甲基離胺酸、5-羥基離胺酸、3-甲基-L-精胺酸、精胺酸、高精胺酸、N5-一甲基-L-精胺酸、N5-[亞胺基(甲基胺基)甲基]-D-鳥胺酸、刀豆胺酸、組胺酸、N-(2-胺基乙基)甘胺酸、N-(2-胺基丙基)甘胺酸、N2-甲基離胺酸、N2-甲基-L-精胺酸、N2-(2-胺基乙基)-D-精胺酸、N2-(2-胺基乙基)-L-精胺酸、2-甲基離胺酸、2-甲基-L-精胺酸、3,4-二胺丁酸,以及3-胺基-5-[(胺基亞胺基甲基)甲基胺基]戊酸。
  12. 如請求項1之組成物,其中該組成物包含大約0.02重量%至大約2重量%之該單羧酸。
  13. 如請求項1之組成物,其中該金屬腐蝕抑制劑為苯并三唑,其係選擇性地被選自於以下所構成的群組之至少一個取代基所取代:烷基基團、芳基基團、鹵素基團、胺基基團、硝基基團、烷氧基基團,以及羥基基團。
  14. 如請求項1之組成物,其中該金屬腐蝕抑制劑係選自於 以下所構成的群組:苯并三唑、5-胺基苯并三唑、1-羥基苯并三唑、5-苯基硫醇-苯并三唑、5-氯苯并三唑、4-氯苯并三唑、5-溴苯并三唑、4-溴苯并三唑、5-氟苯并三唑、4-氟苯并三唑、萘三唑(naphthotriazole)、甲苯三唑、5-苯基-苯并三唑、5-硝基苯并三唑、4-硝基苯并三唑、2-(5-胺基-戊基)-苯并三唑、1-胺基-苯并三唑、5-甲基-1H-苯并三唑、苯并三唑-5-羧酸、4-甲基苯并三唑、4-乙基苯并三唑、5-乙基苯并三唑、4-丙基苯并三唑、5-丙基苯并三唑、4-異丙基苯并三唑、5-異丙基苯并三唑、4-正丁基苯并三唑、5-正丁基苯并三唑、4-異丁基苯并三唑、5-異丁基苯并三唑、4-戊基苯并三唑、5-戊基苯并三唑、4-己基苯并三唑、5-己基苯并三唑、5-甲氧基苯并三唑、5-羥基苯并三唑、二羥基丙基苯并三唑、1-[N,N-雙(2-乙基己基)胺基甲基]-苯并三唑、5-三級丁基苯并三唑、5-(1',1'-二甲基(diimethyl)丙基)-苯并三唑、5-(1',1',3'-三甲基丁基)苯并三唑、5-正辛基苯并三唑,以及5-(1',1',3',3'-四甲基丁基)苯并三唑。
  15. 如請求項1之組成物,其中該組成物包含大約0.05重量%至大約2重量%之該金屬腐蝕抑制劑。
  16. 如請求項1之組成物,其中該組成物包含大約2重量%至大約20重量%之該有機溶劑。
  17. 如請求項1之組成物,其中該組成物包含大約78重量%至大約98重量%之該水。
  18. 如請求項1之組成物,其進一步包含消泡劑。
  19. 如請求項18之組成物,其中該組成物包含大約1ppm(百萬分之一)至大約1000ppm之該消泡劑。
  20. 一種方法,其包含:使半導體基材與如請求項1之清洗組成物接觸,該半導體基材含有蝕刻後殘餘物及/或灰化後殘餘物。
  21. 如請求項20之方法,其進一步包含在該接觸步驟之後,用沖洗溶劑來沖洗該半導體基材。
  22. 如請求項21之方法,其進一步包含在該沖洗步驟之後,乾燥該半導體基材。
  23. 如請求項22之方法,其進一步包含由該半導體基材來形成半導體元件。
TW103132272A 2013-10-21 2014-09-18 用來移除表面殘餘物的清洗調配物 TWI638244B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361893424P 2013-10-21 2013-10-21
US61/893,424 2013-10-21

Publications (2)

Publication Number Publication Date
TW201516589A true TW201516589A (zh) 2015-05-01
TWI638244B TWI638244B (zh) 2018-10-11

Family

ID=52826686

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103132272A TWI638244B (zh) 2013-10-21 2014-09-18 用來移除表面殘餘物的清洗調配物

Country Status (9)

Country Link
US (1) US9834746B2 (zh)
EP (1) EP3060642B1 (zh)
JP (1) JP6599322B2 (zh)
KR (1) KR102153113B1 (zh)
CN (1) CN105849245B (zh)
IL (1) IL245191B (zh)
SG (1) SG11201603122XA (zh)
TW (1) TWI638244B (zh)
WO (1) WO2015060954A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10619126B2 (en) 2015-07-14 2020-04-14 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions and methods of use therefor

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101964901B1 (ko) 2013-12-06 2019-04-02 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 표면 잔류물 제거용 세정 제형
SG11201607700QA (en) * 2014-03-18 2016-10-28 Fujifilm Electronic Materials Etching composition
JP6808714B2 (ja) * 2015-08-03 2021-01-06 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 洗浄組成物
US10159949B2 (en) 2016-03-11 2018-12-25 Fujifilm Planar Solutions, LLC Advanced fluid processing methods and systems
KR102572751B1 (ko) * 2016-03-15 2023-08-31 동우 화인켐 주식회사 레지스트 박리액 조성물 및 이를 이용한 레지스트의 박리방법
JP6808730B2 (ja) 2016-06-03 2021-01-06 富士フイルム株式会社 処理液、基板洗浄方法およびレジストの除去方法
KR102652985B1 (ko) * 2016-11-07 2024-03-29 동우 화인켐 주식회사 감광성 수지 및 반사방지막의 도포성 향상 및 제거용 신너 조성물
KR20180069185A (ko) * 2016-12-14 2018-06-25 삼성전자주식회사 기판 가공 방법 및 접착층 세정 조성물
US11193094B2 (en) 2017-07-31 2021-12-07 Mitsubishi Gas Chemical Company, Inc. Liquid composition for reducing damage of cobalt, alumina, interlayer insulating film and silicon nitride, and washing method using same
JP7184044B2 (ja) 2017-10-10 2022-12-06 三菱ケミカル株式会社 洗浄液、洗浄方法及び半導体ウェハの製造方法
WO2019118820A1 (en) * 2017-12-15 2019-06-20 Tokyo Electron Limited Aqueous cleaning solution and method of protecting features on a substrate during etch residue removal
CN111565859B (zh) * 2018-01-05 2022-12-30 富士胶片电子材料美国有限公司 表面处理组合物及方法
CN112135899B (zh) * 2018-05-25 2022-10-25 巴斯夫欧洲公司 包含溶剂混合物的组合物用于处理图案化材料时避免图案坍塌的用途
KR102062342B1 (ko) * 2019-03-08 2020-01-03 영창케미칼 주식회사 반도체 웨이퍼 세정액 조성물 및 그를 이용한 세정방법
CN112805629B (zh) * 2019-11-20 2022-02-15 松下知识产权经营株式会社 抗蚀剂剥离液
KR102358801B1 (ko) * 2019-12-27 2022-02-08 주식회사 케이씨텍 표면 처리 조성물 및 이를 이용한 표면 처리 방법
EP3922755A1 (en) * 2020-06-12 2021-12-15 ATOTECH Deutschland GmbH An aqueous basic etching composition for the treatment of surfaces of metal substrates
CN115989314A (zh) * 2020-08-24 2023-04-18 富士胶片株式会社 处理液、基板的处理方法
US11476124B2 (en) * 2021-01-05 2022-10-18 Taiwan Semiconductor Manufacturing Company Ltd. Etchant for etching a cobalt-containing member in a semiconductor structure and method of etching a cobalt-containing member in a semiconductor structure
US11728185B2 (en) 2021-01-05 2023-08-15 Applied Materials, Inc. Steam-assisted single substrate cleaning process and apparatus

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1347008A (en) 1970-11-13 1974-02-13 Ciba Geigy Uk Ltd Detergent compositions
JP2731730B2 (ja) 1993-12-22 1998-03-25 インターナショナル・ビジネス・マシーンズ・コーポレイション フォトレジストの除去方法
JP2911792B2 (ja) 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
US20020111024A1 (en) 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
US20040134873A1 (en) 1996-07-25 2004-07-15 Li Yao Abrasive-free chemical mechanical polishing composition and polishing process containing same
US6265781B1 (en) 1996-10-19 2001-07-24 Micron Technology, Inc. Methods and solutions for cleaning polished aluminum-containing layers, methods for making metallization structures, and the structures resulting from these methods
CN1165975C (zh) * 1997-04-30 2004-09-08 美国3M公司 对半导体晶片表面进行平整的方法
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
JP3898801B2 (ja) * 1997-06-17 2007-03-28 株式会社大和化成研究所 銀製品の変色皮膜除去剤及び除去方法
US6287586B1 (en) 1998-09-18 2001-09-11 The University Of British Columbia Pharmaceutical compositions of vanadium biguanide complexes and their use
JP2002528903A (ja) 1998-10-23 2002-09-03 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド 活性剤溶液を含有し、化学機械的に磨くためのスラリーシステム
DE19849648A1 (de) 1998-10-29 2000-05-04 Benckiser Nv Reinigungsmittelzusammensetzung
US6310020B1 (en) 1998-11-13 2001-10-30 Kao Corporation Stripping composition for resist
US6303557B1 (en) 1999-11-16 2001-10-16 S. C. Johnson Commercial Markets, Inc. Fast acting disinfectant and cleaner containing a polymeric biguanide
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US6740589B2 (en) 2000-11-30 2004-05-25 Showa Denko Kabushiki Kaisha Composition for polishing semiconductor wafer, semiconductor circuit wafer, and method for producing the same
US7754765B2 (en) 2000-12-01 2010-07-13 Radical Vision Therapeutics Inc Copper chelators for treating ocular inflammation
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
KR100438015B1 (ko) 2001-10-10 2004-06-30 엘지.필립스 엘시디 주식회사 구리용 레지스트 제거용 조성물
US20030119692A1 (en) 2001-12-07 2003-06-26 So Joseph K. Copper polishing cleaning solution
US6717019B2 (en) 2002-01-30 2004-04-06 Air Products And Chemicals, Inc. Glycidyl ether-capped acetylenic diol ethoxylate surfactants
US6773873B2 (en) 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US8003587B2 (en) 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
CA2488737A1 (en) 2002-06-07 2003-12-18 Mallinckrodt Baker, Inc. Microelectronic cleaning and arc remover compositions
TWI227271B (en) 2002-10-04 2005-02-01 Merck Kanto Advanced Chemical Post chemical mechanical polishing (CMP) cleaning solution
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
TWI324362B (en) 2003-01-10 2010-05-01 Kanto Kagaku Cleaning solution for semiconductor substrate
TWI315030B (en) 2003-06-26 2009-09-21 Dongwoo Fine Chem Co Ltd Photoresist stripper composition, and exfoliation method of a photoresist using it
TWI362415B (en) 2003-10-27 2012-04-21 Wako Pure Chem Ind Ltd Novel detergent and method for cleaning
EP1692572A2 (en) * 2003-10-29 2006-08-23 Mallinckrodt Baker, Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
KR100795364B1 (ko) 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
KR100606187B1 (ko) 2004-07-14 2006-08-01 테크노세미켐 주식회사 반도체 기판 세정용 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법
SG158920A1 (en) * 2005-01-27 2010-02-26 Advanced Tech Materials Compositions for processing of semiconductor substrates
KR20070120609A (ko) 2005-04-15 2007-12-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 소자로부터 이온 주입 포토레지스트층을세정하기 위한 배합물
US7700533B2 (en) 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
TWI339780B (en) 2005-07-28 2011-04-01 Rohm & Haas Elect Mat Stripper
WO2007120259A2 (en) 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
TWI362571B (en) 2006-05-26 2012-04-21 Lg Chemical Ltd Stripper composition for photoresist
US7947637B2 (en) * 2006-06-30 2011-05-24 Fujifilm Electronic Materials, U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
TWI449784B (zh) * 2006-12-21 2014-08-21 Advanced Tech Materials 用以移除蝕刻後殘餘物之液體清洗劑
WO2009058278A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc Methods of cleaning semiconductor devices at the back end of line using amidoxime compositions
US20090107520A1 (en) 2007-10-29 2009-04-30 Wai Mun Lee Amidoxime compounds as chelating agents in semiconductor processes
US8404626B2 (en) 2007-12-21 2013-03-26 Lam Research Corporation Post-deposition cleaning methods and formulations for substrates with cap layers
US7825079B2 (en) 2008-05-12 2010-11-02 Ekc Technology, Inc. Cleaning composition comprising a chelant and quaternary ammonium hydroxide mixture
JP5561914B2 (ja) 2008-05-16 2014-07-30 関東化学株式会社 半導体基板洗浄液組成物
US9074170B2 (en) * 2008-10-21 2015-07-07 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US8361237B2 (en) 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
EP2430499A2 (en) 2009-01-22 2012-03-21 Basf Se Composition for post chemical-mechanical polishing cleaning
WO2010104816A1 (en) * 2009-03-11 2010-09-16 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
US8877697B2 (en) 2009-09-03 2014-11-04 Arakawa Chemical Industries, Ltd. Cleaning agent for removal of, removal method for, and cleaning method for water-soluble, lead-free solder flux
JP5646882B2 (ja) 2009-09-30 2014-12-24 富士フイルム株式会社 洗浄組成物、洗浄方法、及び半導体装置の製造方法
US9045717B2 (en) 2010-01-29 2015-06-02 Advanced Technology Materials, Inc. Cleaning agent for semiconductor provided with metal wiring
JP5513196B2 (ja) 2010-03-25 2014-06-04 富士フイルム株式会社 洗浄組成物及び半導体装置の製造方法
JP2012021151A (ja) 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP5674373B2 (ja) * 2010-07-30 2015-02-25 富士フイルム株式会社 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
JP2012046685A (ja) * 2010-08-30 2012-03-08 Fujifilm Corp 洗浄組成物、これを用いた洗浄方法及び半導体素子の製造方法
CN102477359B (zh) 2010-11-26 2015-12-02 安集微电子(上海)有限公司 一种化学机械抛光清洗液
WO2012161790A1 (en) 2011-02-24 2012-11-29 John Moore Concentrated chemical composition and method for removing photoresist during microelectric fabrication
US8889609B2 (en) 2011-03-16 2014-11-18 Air Products And Chemicals, Inc. Cleaning formulations and method of using the cleaning formulations
EP2768920A4 (en) 2011-10-21 2015-06-03 Advanced Tech Materials AMIN FREE POST-KMP COMPOSITION AND METHOD OF USE THEREOF
JP2013104104A (ja) 2011-11-14 2013-05-30 Mec Kk エッチング液、補給液及び銅配線の形成方法
JP6329909B2 (ja) * 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
US8916429B2 (en) 2012-04-30 2014-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Aqueous cleaning techniques and compositions for use in semiconductor device manufacturing
US9536730B2 (en) * 2012-10-23 2017-01-03 Air Products And Chemicals, Inc. Cleaning formulations

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10619126B2 (en) 2015-07-14 2020-04-14 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions and methods of use therefor
TWI818893B (zh) * 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法

Also Published As

Publication number Publication date
WO2015060954A1 (en) 2015-04-30
IL245191B (en) 2020-05-31
US9834746B2 (en) 2017-12-05
TWI638244B (zh) 2018-10-11
SG11201603122XA (en) 2016-05-30
CN105849245B (zh) 2020-03-13
KR102153113B1 (ko) 2020-09-08
EP3060642A4 (en) 2017-07-19
EP3060642B1 (en) 2019-11-06
EP3060642A1 (en) 2016-08-31
JP6599322B2 (ja) 2019-10-30
US20150111804A1 (en) 2015-04-23
JP2016536392A (ja) 2016-11-24
IL245191A0 (en) 2016-06-30
KR20160075577A (ko) 2016-06-29
CN105849245A (zh) 2016-08-10

Similar Documents

Publication Publication Date Title
TWI638244B (zh) 用來移除表面殘餘物的清洗調配物
JP7171800B2 (ja) 表面上の残渣を除去するための洗浄用製剤
JP6550123B2 (ja) エッチング組成物
JP5442436B2 (ja) 表面上の残留物を除去するための洗浄調合物
JP5237300B2 (ja) エッチング後残留物を除去するための液体洗浄剤
KR102283723B1 (ko) 표면 잔류물 제거용 세정 제형
TWI791498B (zh) 用來移除在半導體基材上的殘餘物之清潔組成物
JP2023133294A (ja) 洗浄用組成物
TW201710491A (zh) 清潔組成物及其使用方法
KR20230056740A (ko) 세정 조성물
WO2024010631A1 (en) Cleaning compositions