TW201501210A - 包含接觸結構與形成於接觸蝕刻停止層之側壁上之保護層的半導體設備 - Google Patents

包含接觸結構與形成於接觸蝕刻停止層之側壁上之保護層的半導體設備 Download PDF

Info

Publication number
TW201501210A
TW201501210A TW103106678A TW103106678A TW201501210A TW 201501210 A TW201501210 A TW 201501210A TW 103106678 A TW103106678 A TW 103106678A TW 103106678 A TW103106678 A TW 103106678A TW 201501210 A TW201501210 A TW 201501210A
Authority
TW
Taiwan
Prior art keywords
layer
etch stop
contact
region
stop layer
Prior art date
Application number
TW103106678A
Other languages
English (en)
Other versions
TWI557809B (zh
Inventor
Marco Lepper
Kai Frohberg
Katrin Reiche
Original Assignee
Globalfoundries Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Globalfoundries Us Inc filed Critical Globalfoundries Us Inc
Publication of TW201501210A publication Critical patent/TW201501210A/zh
Application granted granted Critical
Publication of TWI557809B publication Critical patent/TWI557809B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53247Noble-metal alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本發明涉及包含接觸結構與形成於接觸蝕刻停止層之側壁上之保護層的半導體設備,在形成具有接觸插塞的半導體設備時,其中該接觸插塞包含形成於蝕刻停止層之側壁上以減少短路風險之保護層,可藉由進行濺鍍製程以從接觸區移除材料以及再沉積被移除的該材料於該蝕刻停止層的該側壁上,來形成該保護層。

Description

包含接觸結構與形成於接觸蝕刻停止層之側壁上之保護層的半導體設備
本揭示內容大體有關於半導體的製造領域,且更特別的是,有關於形成使電路元件連接至第一金屬化層級之互連結構的接觸結構。
半導體設備(例如,先進的積體電路)通常含有大量的電路元件(例如,電晶體、電容器、電阻器及其類似者),彼等常常以實質平坦的組態形成於已有結晶半導體層形成於其上的適當基板上。由於這些大量的電路元件以及現代積體電路的必要複雜佈局,因此,個別電路元件的電氣連接大體上不建立於與製作電路元件相同的層級內,反而需要一或更多也被稱作金屬化層的額外“接線”層。這些金屬化層一般包括提供層內(inner-level)電氣連接的含金屬線,以及也包含也被稱作“通孔”的多個層間連接(inter-level connection),彼等填滿適當金屬以及提供兩個相鄰堆疊金屬化層的電氣連接。
由於現代積體電路中之電路元件的特徵尺寸持續地縮減,因此,給定晶片面積的電路元件數(亦即,封裝密度(packing density))也增加,從而需要增加更多條電氣連接以提供所欲電路機能,因為與電路元件數相比,電路元件之間的互接數通常以超比例的方式增加。因此,金屬化層的堆疊數通常隨著單位晶片面積的電路元件數變大而增加,儘管個別金屬線及通孔的尺寸減少。由於先進積體電路在操作期間可能遭遇中高的電流密度以及金屬線及通孔的特徵尺寸減少,越來越多半導體製造商用允許較高電流密度的金屬取代習知金屬化材料(例如,鋁),因此,允許減少互連的尺寸。結果,銅及其中合金日益成為用來製造金屬化層的材料,因為與例如鋁相比,以反抗電遷移的抵抗力而言,它有優異的特性,以及有明顯較低的電阻率。儘管有這些優點,銅也有許多與銅在半導體生產單位中加工及處理有關的缺點。例如,銅在多種公認有效的電介質材料(例如,二氧化矽)中容易擴散,其中甚至累積於敏感設備區域(例如,電晶體元件的接觸區)的微量銅都可能導致個別設備失效。因此之故,必須付出巨大的努力以便在電晶體元件製造期間減少或避免任何銅污染,從而致使銅用以形成各自與電路元件之接觸區直接接觸的接觸插塞(contact plug)是比較不具吸引力的候選物。接觸插塞係提供個別電路元件與形成於層間電介質材料(其係圍封及鈍化電路元件)上面之第一金屬化層的電氣接觸。
結果,在先進半導體設備中,各個接觸插 塞通常由鎢基金屬形成於通常由二氧化矽構成形成於所謂接觸蝕刻停止層(通常由氮化矽形成)上面的層間電介質堆疊中。不過,由於特徵尺寸持續縮減,各個接觸插塞必須形成於深寬比高達約10:1或更多的各個蝕刻開口內,其中45奈米技術及更先進技術之電晶體設備的各個蝕刻開口的直徑可能約為80奈米甚至更小。此類開口的深寬比大體上定義成開口深度與開口寬度的比率。可能需要精密的蝕刻及沉積技術用來形成接觸插塞,這在說明第1a至1c圖時會更加詳細地描述。
第1a圖的上視圖示意圖標半導體設備100之一部份。半導體設備100包含基板(未圖示於第1a圖,在第1b圖為101),形成於其上的半導體層有電路元件(例如,電晶體、電容器、電阻器及其類似者)形成於其中及上面。為了便於說明,圖示形式為電晶體150a、150b的電路元件,其中只部份圖示電晶體150b。電晶體150a、150b可包含閘極電極結構151,其側壁可用間隔體組件152覆蓋。汲極及源極區153經裝設成與閘極電極結構151橫向鄰近,除通道區以外,它們可位於閘極電極結構151下面以及可為對應半導體層的主動區。主動區可用隔離結構102界定,部份閘極電極結構151也可位於隔離結構102上面,藉此界定連接至形成於其上之接觸插塞或接觸元件110的閘極接觸區154。同樣,接觸元件111可裝設形成於汲極或源極區之中的接觸區155上面,以改善接觸的電氣特性。因此,接觸區155通常包含矽化物材料。應瞭解, 接觸元件110、111通常形成於適當的層間電介質材料中,為了便於說明,未圖示於第1a圖。
第1b圖示意圖示沿著第1a圖中之直線Ib繪出的橫截面圖,其中係圖示處於更進一步製造階段的半導體設備100。如圖示,半導體設備100包含基板101,基板101可為任何適當承載材料,例如矽基板,矽上絕緣體(SOI)基板及其類似者。矽基半導體層103形成於基板101上面。例如形式為溝槽隔離的隔離結構102界定主動區104,其中設置汲極及源極區153(亦即,各自有摻質濃度),以便各自定義與主動區104之其餘部份的PN接面。此外,金屬矽化物區可形成於汲極及源極區153中,藉此定義它的接觸區155以及於閘極電極結構151上,藉此定義各自的接觸區154(第1a圖)用以接觸閘極電極結構151。該金屬矽化物可包含例如鈷、鈦、鎳及其類似者。此外,該半導體設備包含:層間電介質材料115,其通常係由兩個或更多電介質層構成,例如層115a,它可為由氮化矽構成的接觸蝕刻停止層;以及例如以二氧化矽材料之形式提供的第二電介質材料115b。通常層間電介質材料115的厚度115t在數百奈米(nm)的範圍內使得閘極電極結構151與第一金屬化層120之間有充分的距離以便讓寄生電容保持在必要的低位准。結果,連接至汲極或源極接觸區155的接觸元件111可具有中高深寬比,因為它的橫向尺寸被汲極及源極區153的橫向尺寸實質限制,同時接觸元件111的深度由層間電介質材料115的厚度115t決定。另一方面,接觸 元件110(第1a圖)只需向下延伸至閘極電極結構151的正面,亦即,延伸至接觸部份154,同時取決於接觸部份154的尺寸及形狀,接觸元件110的橫向尺寸也可與元件111的不同。接觸元件110、111通常可包含例如形式為鈦內襯的阻障層113,接著是氮化鈦內襯,同時可提供形式為鎢材料的實際充填材料114。
金屬化層120通常包含例如形式為氮化矽、碳化矽、富氮碳化矽及其類似者的蝕刻停止層123,其上可形成適當電介質材料124,例如相對電容率為3.0或更小的低k電介質材料。此外,金屬線121、122各自形成於電介質材料124中以及各自連接至接觸元件111、110。金屬線121、122可包含結合適當阻障材料125(例如,包含鉭、氮化鉭及其類似者的材料)的含銅金屬。最後,通常提供帽蓋層126以便使銅材料局限於金屬線121、122中,這可基於電介質材料(例如,氮化矽、碳化矽及其類似者)來實現。
如第1b圖所示,用於形成半導體設備100的典型加工流程可包含以下製程。在根據各個技術節點的設計規則基於公認有效之技術來形成電路元件150a、150b後,包括形成適當閘極絕緣層以及用精密微影及蝕刻技術圖案化該閘極絕緣層和閘極電極結構151。使用間隔體結構152作為適當植入遮罩,汲極及源極區153可用離子植入法形成。在任何退火迴圈後,形成接觸區154、155的金屬矽化物,以及沉積層間電介質材料,例如,藉由形成接 觸蝕刻停止層115a,接著是基於電漿增強化學氣相沉積(CVD)技術來沉積二氧化矽材料。在平坦化二氧化矽材料的所得表面拓樸後,基於公認有效之處方可進行微影順序,接著是用以形成延伸穿過層間電介質材料115之蝕刻開口的非等向性蝕刻技術以便連接至閘極電極結構151的接觸區154(第1a圖)以及至汲極及源極區153的接觸區155。在各個蝕刻製程期間,由於對應蝕刻開口(特別是,接觸元件111)的深寬比大而可能需要精密的圖案化方案。在複雜的蝕刻順序期間,層115a可用作用以蝕刻二氧化矽材料115b的蝕刻停止層,然後,可進行另一蝕刻製程,例如,非等向性反應性離子蝕刻製程,以便最終暴露汲極及源極區153和閘極電極結構151的接觸區,亦即,金屬矽化物區154、155。大體上,在此蝕刻步驟需要一定數量的過蝕刻(over-etching)以可靠地移除接觸蝕刻停止層115a在接觸區中的材料。之後,通常進行濕化學清洗製程以清洗得到的開口的側壁以及在開口的底部的矽化物表面。眾所周知,在複雜的電漿輔助蝕刻製程期間,可能產生多種蝕刻副產品,至少它們有些也可能沉積於暴露表面區上而必須在隨後沉積材料(例如,導電阻障材料)於蝕刻開口內之前移除。結果,可應用各個濕化學蝕刻處方,例如稀釋氫氟酸、過氧化氨混合物(ammonia peroxide mixture)及其類似者,其係適合用作有效處方用以在進一步加工設備100之前調理暴露表面部份。
接下來,基於例如,物理氣相沉積法(PVD) (例如,濺鍍沉積法),可形成阻障層113。用語“濺鍍”係描述一種原子會從本身被充分高能粒子打擊之靶材之表面射出的機構。濺鍍已變成用以沉積鉭、鈦、氮化鉭、氮化鈦及其類似者的常用技術,因為對於控制層厚,它有優於例如CVD技術的特性。另外,清洗暴露表面本質上可藉由在不提供沉積物種下進行濺鍍。阻障層113可包含氮化鈦內襯,以及用濺鍍沉積法形成於其上的鈦層以便實現可靠地覆蓋蝕刻開口的所有暴露表面部份。該氮化鈦內襯可增強鈦層的黏性,從而增強接觸元件110、111的整體機械穩定性。之後,鎢材料114可用CVD沉積,其中在熱活化第一步驟(thermally activated first step)基於矽烷來還原六氟化鎢(WF6),然後在第二步驟基於氫將它轉換成鎢。在鎢基於氫的還原期間,用阻障層113實質防止與層115b的二氧化矽直接接觸以免不當地消耗二氧化矽的矽。
之後,形成金屬化層120可藉由沉積蝕刻停止層123,接著是沉積電介質材料124。接下來,根據公認有效之單一金屬鑲嵌策略,在電介質材料124中形成各個溝槽。接下來,形成金屬線121、122可藉由沉積阻障層125以及填入銅基材料,例如基於電鍍法,這可在沉積銅種子層之前。最後,可移除任何多餘材料,例如,用化學機械研磨法(CMP),以及可沉積帽蓋層126。
隨後,實現該設備可藉由添加其他金屬化層及接觸墊層,提供允許設備連接至提供對應焊墊佈局之適當承載基板的焊墊佈局。
如上述,該習知接觸插塞製程提供可靠的接觸用於有充分接觸間隔的設備。不過,在45奈米技術(尤其是,32奈米技術)的半導體設備中,該習知接觸插塞製程被認為不利於設備效能,甚至被認為會實質促成整體良率損失,因為本發明人認為可能會形成接觸延伸部117,甚至造成相鄰接觸111之間的短路118。
由於特徵尺寸持續縮減,不僅各個接觸插塞的尺寸如前述會減少,相鄰接觸及與鄰近閘極電極的距離也會減少。後者與半導體設備中可包含多個密集電晶體的區域特別有關。用於45奈米技術之設備的密集電晶體有約160奈米的典型間隔(閘極間距),以及32奈米節點的設備有約120奈米的間隔。
第1c圖的橫截面圖示意圖示可包含各自可包含對應閘極電極結構151(如以上在說明第1a圖及第1b圖所述)的多個密集電晶體150的半導體設備100。電晶體150的接觸可藉助接觸元件111,其中,在精密應用中,接觸元件的橫向尺寸111w與包含間隔體元件152及接觸蝕刻停止層115a的密集閘極電極結構151之間的空間相當。因此,特別是,閘極電極結構151形成短路116而可能實質促成整體良率損失的風險在可包含45及32奈米技術之多個密集電晶體的半導體設備區域中會增加,特別是閘極間隔有100奈米甚至更小的後續技術。
結果,提供習知接觸元件111可能由於在敏感設備區中形成接觸延伸區117及短路116、118而產生顯 著的良率損失。
鑒於上述情況,本揭示內容係有關於數種製造技術及半導體設備,其中接觸插塞的形成不會不當地促成整體良率損失。
為供基本理解本發明的一些方面,提出以下簡化的總結。此總結並非本發明的窮舉式總覽。它不是想要識別本發明的關鍵或重要元件或者是描繪本發明的範疇。唯一的目的是要以簡要的形式提出一些概念作為以下更詳細之說明的前言。
本揭示內容大體提供半導體設備及製造技術用以提供有優異形狀的垂直接觸,該等垂直接觸係提供頭一個金屬化層之金屬線與接觸區(例如,場效電晶體的汲極及源極區)的連接。實現接觸的優異形狀可藉由形成保護層於蝕刻開口下部的側壁,特別是蝕刻停止層的暴露側壁上,此係藉由移除接觸區的材料以及再沉積被移除的材料於開口下部的側壁上以便形成保護層,避免用以製備用於後續接觸插塞充填製程之蝕刻開口所需的濕清洗步驟在蝕刻停止層中形成空腔。為此目的,可用濺鍍製程再沉積接觸區的材料於蝕刻停止層的側壁上。在揭示於本文的一些示範具體實施例中,矽化物材料可提供適當保護層用以在濕清洗步驟提供足夠的抵抗力以便減少形成邊緣停止層中的空腔以及顯著減少整體設備良率損失(overall device yield loss)。
揭示於本文之一示意方法包括:提供有接觸區的設備結構。該方法更包括:形成電介質蝕刻停止層於該接觸區上面,以及形成電介質層於該蝕刻停止層上面。該方法更包括:蝕刻進入該電介質層的開口,以及通過該開口蝕刻該蝕刻停止層以在該開口的底部暴露該接觸區,進行濺鍍製程以移除該接觸區的材料,以及再沉積被移除之該材料於該開口的側壁上。
揭示於本文之另一示意方法包括:形成矽化物區,以及形成蝕刻停止層於該矽化物區上面。該方法更包括:形成電介質層於該蝕刻停止層上面。另外,該方法包括:使用該蝕刻停止層作為蝕刻中止物,蝕刻進入該電介質層的開口,以及通過該開口蝕刻該蝕刻停止層以在該開口的底部暴露該矽化物區。此外,該方法包括:進行重新分配製程(redistribution process)用以再沉積自該矽化物區在該開口之側壁處移除的矽化物材料以至少在該蝕刻停止層的暴露側壁上形成矽化物層。
揭示於本文之一示意半導體設備包含至少部份配置於半導體層中的矽化物區,該矽化物區提供接觸區。此外,該半導體設備包含配置於該半導體層上面的蝕刻停止層以及配置於蝕刻停止層上面的電介質層。該半導體設備更包括包含導電接觸材料的接觸結構,其中該接觸結構係形成於該電介質層中及該蝕刻停止層中而且延伸至該矽化物區。此外,該半導體設備包含至少配置於該蝕刻停止層之側壁與該接觸結構之間的矽化物層。
100、200‧‧‧半導體裝置
101、201‧‧‧基板
102、202‧‧‧隔離結構
103‧‧‧矽基半導體層
104、204‧‧‧主動區
110‧‧‧接觸插塞或接觸元件
111‧‧‧接觸元件
111w‧‧‧橫向尺寸
113、213‧‧‧阻障層
114‧‧‧實際充填材料
115‧‧‧層間電介質材料
115a‧‧‧電介質層
115b‧‧‧第二電介質材料
115t‧‧‧厚度
116、118‧‧‧短路
117‧‧‧接觸延伸部
120‧‧‧第一金屬化層
121、122‧‧‧金屬線
123、223‧‧‧蝕刻停止層
124、224‧‧‧電介質材料
125、225‧‧‧阻障材料
126、226‧‧‧帽蓋層
150a、150b、250‧‧‧電晶體
151、251‧‧‧閘極結構
152‧‧‧間隔體元件
153、253‧‧‧汲極及源極區
154‧‧‧閘極接觸區
155、255‧‧‧接觸區
203‧‧‧半導體層
205‧‧‧蝕刻遮罩
206‧‧‧非等向性蝕刻製程
207‧‧‧蝕刻製程
208‧‧‧重新分配製程
209‧‧‧濕化學清洗製程
210‧‧‧沉積製程
211a‧‧‧接觸充填材料
211o‧‧‧垂直蝕刻開口
215‧‧‧電介質材料層系統
215a‧‧‧第一電介質層
215b‧‧‧第二電介質層
215c‧‧‧受損區域
215s‧‧‧暴露側壁
220‧‧‧第一金屬化層
221、222‧‧‧金屬線
228‧‧‧沉積製程
229‧‧‧CMP製程
255a‧‧‧保護層
255b‧‧‧厚度
255r‧‧‧深度
255t‧‧‧最小厚度
261‧‧‧電介質層
263‧‧‧矽基電極材料
264‧‧‧矽化物層
參考以下結合附圖的說明可明白本揭示內容,其中類似的元件係以相同的元件符號表示。
第1a圖的上視圖根據習知技術示意圖示包含連接至閘極電極結構以及至汲極或源極區之接觸元件的半導體設備;第1b圖示意圖示在處於更進一步製造階段時沿著第1a圖之直線Ib繪出的橫截面圖;第1c圖示意圖示多個密集閘極電極結構和基於習知製程策略形成於其間的接觸元件;以及第2a至2i圖根據示範具體實施例示意圖示在藉由形成保護層於蝕刻停止層之側壁上來形成有優異形狀之精密接觸元件的半導體設備在各種製造階段期間的橫截面圖。
儘管本發明容易做成各種修改及替代形式,本文仍以附圖為例圖示幾個本發明的特定具體實施例且詳述其中的細節。不過,應瞭解本文所描述的特定具體實施例不是想要把本發明限定成本文所揭示的特定形式,反而是,本發明是要涵蓋落入由隨附申請專利範圍定義之本發明精神及範疇內的所有修改、等價及替代性陳述。
以下描述本發明的各種示意具體實施例。為了清楚說明,本專利說明書沒有描述實際具體實作的所有特徵。當然,應瞭解,在開發任一此類的實際具體實施例時,必需做許多與具體實作有關的決策以達成開發人員 的特定目標,例如遵循與系統相關及商務有關的限制,這些都會隨著每一個具體實作而有所不同。此外,應瞭解,此類開發即複雜又花時間,決不是本技藝一般技術人員在閱讀本揭示內容後即可實作的例行工作。
此時以參照附圖來描述本揭示內容。示意圖標於附圖的各種結構、系統及設備係僅供解釋以及避免熟諳此藝者所習知的細節混淆本發明。儘管如此,仍納入附圖用來描述及解釋本揭示內容的示範實施例。應使用與相關技藝技術人員所熟悉之意思一致的方式理解及解釋用於本文的字彙及片語。本文沒有特別定義的術語或片語(亦即,與熟諳此藝者所理解之普通或慣用意思不同的定義)是想要用術語或片語的一致用法來暗示。在這個意義上,希望術語或片語具有特定的意思時(亦即,不同於熟諳此藝者所理解的意思),則會在本專利說明書中以明確地提供特定定義的方式清楚地陳述用於該術語或片語的特定定義。
本揭示內容提供數種半導體設備及製造技術用以提供優異的垂直接觸,該等垂直接觸提供頭一個第一金屬化層之金屬線與接觸區(例如,閘極電極結構、汲極及源極區、電阻器及電容器的接觸區及其類似者)的連接。實現這些優異的接觸可藉由形成保護層於用非等向性蝕刻製程形成之蝕刻開口的蝕刻停止層之側壁上。在非等向性蝕刻製程後進行必要濕化學清洗步驟以清洗開口之前,形成該保護層以在濕化學清洗步驟期間保護蝕刻停止層的暴露側壁。
在習知製程中,該濕化學清洗步驟被認為移除在先前非等向性電漿蝕刻製程中受損的材料會在蝕刻停止層中產生空腔。使用替代清洗製程以避免形成空腔可同時減少清洗效果。此外,運用更有抗蝕刻性的停止層材料不是適當的選項,因為蝕刻停止層通常同時用作應變誘發源以改善電荷載體在場效電晶體之通道區中的移動率(mobility)使得在這一點上必須優化材料性質。
保護層因此可避免蝕刻停止層在後續濕化學清洗步驟形成空腔,以及因此可避免在後續插塞充填製程形成伸入蝕刻停止層的接觸延伸區而不影響濕化學清洗步驟或不加重蝕刻停止層製程要求。形成該保護層係藉由再沉積接觸區的材料(例如,矽化物)於蝕刻開口的側壁上,特別是,於蝕刻停止層的暴露側壁上。用回濺鍍製程(back-sputter process)可再沉積接觸區的材料於蝕刻停止層的側壁上。在揭示於本文的一些示範具體實施例中,矽化物材料(例如,矽化鎳)可提供適當的保護層用以在為製備用於習知接觸充填製程之蝕刻開口所必需的濕化學清洗步驟提供充分的抵抗力。
此時參考第2a至2i圖更詳細地描述其他的示範具體實施例,其中如有必要,也會參考第1a至1c圖。
第2a圖的橫截面圖示意圖示用電晶體250代表的半導體設備200。該半導體設備包含上面可形成半導體層203的基板201。如前述,取決於整體設計要求,半導體層203及基板201可為SOI組態或塊狀組態。半導 體層203可包含矽及/或鍺或化合物半導體,例如砷化鎵或其類似物。此外,層203可包含橫向用任何隔離結構202標界的多個主動區204,如先前在說明半導體設備100時所述。在圖示具體實施例中,電晶體元件250可形成於半導體層203中及上面,亦即,在對應半導體區域或主動區204內。電晶體元件250可包含例如以金屬矽化物區及其類似者之形式提供的接觸區255。在一具體實施例中,提供形式為矽化鎳區的接觸區255。應瞭解,接觸區255可為汲極及源極區253的一部份,如果半導體設備200用電晶體250代表的話。接觸區255應藉助適當的接觸元件來接觸,因此接觸元件提供接觸區255與仍待形成於電晶體250上面之金屬化層(未圖示)的電氣連接。如圖示,電介質材料層系統215可形成於半導體層203上面從而在接觸區255上面。電介質材料層系統215可包含可為接觸蝕刻停止層的第一電介質層(例如,層215a),以及為層間電介質層215之主要組成部份的第二電介質層215b,層間電介質層215可包含例如二氧化矽材料。蝕刻停止層215a可包含氮化矽以及厚度在約10至15奈米之間。蝕刻停止層215a另外可包含適合誘發電晶體250通道區中之應變的本征應變(intrinsic strain),特別是在閘極長度方向,藉此可增加通道區的電荷載體移動率,從而電晶體的所得驅動電流。蝕刻停止層215a的帶拉伸應變材料增加電子的移動率從而N型通道電晶體的效能,而壓縮應變增加P型通道電晶體的效能。
在圖示具體實施例中,電晶體250包含閘極電極結構251,閘極電極結構251係形成於主動區204上以及根據使用於其中的材料、橫向尺寸及其類似者,可具有任何適當組態。例如,在參考閘極電極結構151時,閘極電極結構251可具有如以上在說明半導體設備100時提及的組態。取決於設計要求,閘極電極結構251可具有40奈米及明顯更小的閘極長度,同時相鄰閘極電極結構之間的空間可與以上在說明第1c圖時提及的有相同的數量級。
該閘極電極結構可包含電介質層261,它可包括二氧化矽基材料或電介質常數等於10及更高之高k材料,這可基於諸如氧化鉿、氧化鋯之類的材料(以下會大體稱作高k電介質材料)來實現。閘極電極結構251更可包含矽基電極材料263。特別是,結合高k電介質材料,該閘極電極結構更可包含設於高k電介質材料上面的含金屬電極材料(未圖示)。該閘極電極結構更可包含改善閘極電極之導電性的矽化物層264。
基於二氧化矽或高k材料(如果在早期製造階段提供該高k材料的話),可提供與包含閘極電介質材料之任何閘極電極結合的帶應變蝕刻停止層215a。帶應變蝕刻停止層通常不設於用取代閘極法形成的高k金屬閘極電極剖面。
基於以上在說明半導體設備100時提及的類似加工技術,可形成如第2a圖所示的半導體設備200。例如,在完成包含電極結構251的電晶體250之基本結構 後,例如,藉由沉積基於任何適當沉積技術的一或更多電介質材料,可形成電介質材料層系統。例如,可用習知電漿增強化學氣相沉積(PECVD)製程沉積蝕刻停止層215a,特別是如果要提供它作為帶應變蝕刻停止層時,以形成本征拉伸或壓縮應變約有1吉帕斯卡(GPa)或更多的氮化矽層。在沉積材料系統215後,可進行平坦化製程,例如化學機械研磨製程,以提供用於後續接觸圖案化製程的必要表面平坦度。
第2b圖示意圖示在沉積及平坦化材料層系統215之後處於更進一步製造階段的半導體設備200。可應用適當圖案化策略以便形成有必要橫向尺寸的垂直蝕刻開口211o以便遵守例如包含電晶體250之密集包裝設備區的設計要求。應瞭解,可形成其他的蝕刻開口以便連接至,例如,閘極電極結構251的接觸區,如第1a圖的元件符號154所示,或電容器或電阻器的接觸區。
基於以上在說明半導體設備100時提及的類似加工技術,可形成如第2b圖所示的半導體設備200。例如,根據公認有效之圖案化策略可形成蝕刻遮罩205,以及公認有效之製程參數可用於暴露蝕刻停止層215a的非等向性蝕刻製程206。
第2c圖示意圖示處於更進一步製造階段的半導體設備200,其中可進行蝕刻製程207以便蝕刻穿過蝕刻停止層215a。取決於整體製程策略,用與製程206相同的蝕刻工具,可進行如第2b圖所示的蝕刻製程207,或 用不同的蝕刻工具建立。例如,在基於公認有效之蝕刻化學蝕刻穿過電介質層215b後,蝕刻前沿(etch front)可停在蝕刻中止材料215a上或中,以及隨後可改變蝕刻化學以便蝕刻穿過蝕刻停止層215a,這可基於公認有效之蝕刻處方來實現,例如考慮到適當非等向性蝕刻製程的電漿蝕刻處方。例如,蝕刻中止材料215a可由氮化矽、碳化矽、含氮碳化矽、非晶碳或任何其他適當材料組合物組成,其中,這些材料各自可利用公認有效之蝕刻化學。例如,可使用氟基蝕刻化學以便有效蝕刻穿過材料215a。在蝕刻製程207期間,蝕刻前沿可能侵蝕接觸區255的材料,不過,有取決於整體製程策略的顯著不同蝕刻速率。如以上在說明設備100時所述,通常蝕刻製程207需要一定數量的過蝕刻。在此過蝕刻期間,特別是蝕刻開口211o的側壁下部,亦即,蝕刻停止層215a的實質暴露側壁215s,也被侵蝕使得受損區域215c可形成。雖然蝕刻停止層215a的材料在區域215c可能受損,然而在蝕刻製程207期間實質不移除受損材料。由於在此製造階段省略習知濕清洗步驟,蝕刻停止層215a被實質保留,甚至在蝕刻製程207形成受損區域215c的時候。
第2d圖示意圖示處於進一步製造階段的半導體設備200,其中係進行重新分配製程208以移除暴露接觸區255的材料以及再沉積該材料於蝕刻開口211o的側壁,特別是下區,以覆蓋蝕刻停止層215a的暴露側壁215s藉此在其上形成薄保護層。在示範具體實施例中,基於提 供惰性物種(例如,氬)之適當離子轟擊的回濺鍍或再濺鍍製程,進行重新分配製程208,以濺射接觸區255之暴露表面區材料的各個部份。在示範具體實施例中,接觸區255包含有足夠厚度以形成適當保護層255a的矽化物材料,其中足夠矽化物材料留在蝕刻開口211o底部以允許形成有所欲低接觸電阻的適當歐姆源極或汲極接觸(ohmic source or drain contact),如第2d圖之放大部份所示。
再濺鍍製程為先前技術所習知,以及,特別是,其係用來形成半導體設備之金屬化層的通孔,其中,例如,從底部區移除形成於通孔開口中之阻障層的材料,以及再沉積於開口的側壁上以改善阻障層在通孔開口之下部的覆蓋率。基於試驗運行以及再濺鍍製程之對應結果的後續檢驗,可輕易決定與電漿功率、偏壓功率及其類似者有關的適當參數。可使用基於感應或電容耦合電漿模式的濺鍍製程。在本發明的示範具體實施例中,再濺鍍製程的參數經確定成蝕刻停止層215a的側壁215s從而受損區域215c可得到適當覆蓋率。在基於感應耦合電漿模式的示範具體實施例中,處理腔室中的壓力可在約1至5毫托的範圍內,高頻電漿功率可在約500至2000瓦的範圍內,偏壓高頻功率可在約500至2000瓦的範圍內,以及氬氣流可在約20至100立方公分/分鐘的範圍內。在基於感應耦合電漿模式的另一具體實施例中,處理腔室中的壓力可約為2.5毫托,高頻電漿功率可約為1000瓦,偏壓高頻功率可約為1000瓦,以及氬氣流可約為50立方公分/分鐘。
在一示範具體實施例中,保護層255a在受損蝕刻停止層區域215c之暴露側壁215s上的最小厚度255t約為1奈米或更多。保護層255a可實質配置於開口211o側壁的下部,而上半部實質不被保護層覆蓋。由於保護層實質只減少開口下部的開口直徑,因此,保護層可促進接觸充填製程或至少對於接觸充填製程沒有負面影響。在一示範具體實施例中,矽化物接觸區255之凹處的深度255r在約2至20奈米的範圍內。在另一具體實施例中,凹處的深度255r在約5至15奈米的範圍內。在一具體實施例中,剩餘矽化物材料在接觸255底部區的厚度255b在約2至10奈米的範圍內。
第2e圖示意圖示處於更進一步製造階段的半導體設備200,其中進行如在說明半導體設備100時提及的濕化學清洗製程209以清洗蝕刻開口211o的表面以及製備用於後續接觸成形的暴露表面。由於提供覆蓋蝕刻停止層215a之側壁215s的保護層255a,濕清洗化學不會侵蝕接觸蝕刻停止層215a,甚至藉此在濕化學清洗製程期間不移除蝕刻停止層215a的受損材料。因此,可使用任何適當清洗化學而對設備效能沒有不利影響。
第2f圖示意圖示在濕化學清洗製程209後處於進一步製造階段的半導體設備200。進一步的加工可繼續用適當沉積製程210(例如,PVD)沉積阻障層213。阻障層213可包含兩個或更多子層(未圖示)。阻障層213可包含,例如,氮化鈦內襯及沉積於其上的鈦層。由於在控 制層厚方面有優於例如CVD技術的特性,濺鍍已變成常見用於沉積鈦、氮化鈦及其類似者的技術。另外,清洗暴露表面本質上可藉由在不提供沉積物種下進行濺鍍,因此,在沉積阻障層之前,可另外進行對應的清洗濺鍍製程。
第2g圖示意圖示處於更進一步製造階段的半導體設備200,其中用適當沉積製程228沉積接觸充填材料211a(例如,鎢)。鎢可用CVD沉積,其中在熱活化第一步驟基於矽烷來還原六氟化鎢(WF6),然後在第二步驟基於氫將它轉換成鎢。在基於氫來產生鎢期間,用鈦/氮化鈦內襯213實質防止層215b的二氧化矽直接接觸以避免不當地消耗二氧化矽層215b的矽。
第2h圖示意圖示處於更進一步製造階段的半導體設備200,其中進行公認有效之CMP製程229以移除多餘的接觸充填材料211a及形成於電介質層215b上表面上之阻障層213的材料以最終界定接觸211,其中阻障層213在接觸材料移除步驟可用作CMP停止層。
第2i圖示意圖示在形成第一金屬化層220之後的半導體設備200,第一金屬化層220包含蝕刻停止層223、適當電介質材料224、形成於電介質材料224的金屬線221、222、阻障材料225、以及帽蓋層226,如先前在說明半導體設備100時所述。隨後,實現該設備可藉由形成其他金屬化層及接觸墊層,提供允許設備連接至用例如覆晶接合製程(flip-chip bonding process)提供對應焊墊佈局之適當承載基板的焊墊佈局。
結果,本揭示內容提供可顯著減少接觸蝕刻停止層之短路的半導體設備及製造技術,這是在進行濕化學清洗製程之前,用材料重新分配從蝕刻開口底部的暴露接觸區形成保護層(例如,矽化物層)於蝕刻開口的側壁。因此,可避免或至少減少形成可能在相鄰接觸之間或接觸與鄰近閘極電極之間形成短路的接觸延伸部,藉此可改善包含個別接觸元件之半導體設備的可靠性。
以上所揭示的特定具體實施例均僅供圖解說明,因為熟諳此藝者在受益於本文的教導後顯然可以不同但等價的方式來修改及實施本發明。例如,可用不同的順序完成以上所提出的製程步驟。此外,除非在以下申請專利範圍有提及,不希望本發明受限於本文所示之構造或設計的細節。因此,顯然可改變或修改以上所揭示的特定具體實施例而所有此類變體都被認為仍然是在本發明的範疇與精神內。因此,本文提出以下的申請專利範圍尋求保護。
200‧‧‧半導體裝置
201‧‧‧基板
202‧‧‧隔離結構
203‧‧‧半導體層
204‧‧‧主動區
208‧‧‧重新分配製程
211o‧‧‧垂直蝕刻開口
215‧‧‧電介質材料層系統
215a‧‧‧第一電介質層
215b‧‧‧第二電介質層
215c‧‧‧受損區域
250‧‧‧電晶體
251‧‧‧閘極結構
253‧‧‧汲極及源極區
255‧‧‧接觸區
255a‧‧‧保護層
261‧‧‧電介質層
263‧‧‧矽基電極材料
264‧‧‧矽化物層

Claims (20)

  1. 一種方法,包含:提供包含接觸區的設備結構;形成電介質蝕刻停止層於該接觸區上方;形成電介質層於該蝕刻停止層上方;蝕刻開口進入該電介質層;通過該開口蝕刻該蝕刻停止層,以在該開口的底部暴露該接觸區;以及進行濺鍍製程,以從該接觸區移除材料以及再沉積被移除之該材料於該開口的側壁上。
  2. 如申請專利範圍第1項所述之方法,更包括在進行該濺鍍製程後,進行濕化學清洗製程。
  3. 如申請專利範圍第1項所述之方法,更包括以導電接觸材料填充該開口。
  4. 如申請專利範圍第3項所述之方法,其中,在該濺鍍製程中,於該蝕刻停止層的暴露側壁上形成保護層。
  5. 如申請專利範圍第3項所述之方法,其中,該導電接觸材料包含鎢。
  6. 如申請專利範圍第3項所述之方法,更包括形成阻障層於該保護層上。
  7. 如申請專利範圍第4項所述之方法,其中,該接觸區及該保護層包含金屬矽化物。
  8. 如申請專利範圍第1項所述之方法,其中,該設備結構為場效電晶體,而該接觸區係設於該場效電晶體的源極 區、汲極區及閘極電極之至少一者中。
  9. 如申請專利範圍第1項所述之方法,其中,該蝕刻停止層包含應變的氮化矽。
  10. 如申請專利範圍第1項所述之方法,其中,該蝕刻停止層包含約1吉帕斯卡(Gigapascal)或更多的本征應變。
  11. 一種方法,包含:形成矽化物區;形成蝕刻停止層於該矽化物區上方;形成電介質層於該蝕刻停止層上方;使用該蝕刻停止層蝕刻開口進入該電介質層;通過該開口蝕刻該蝕刻停止層以暴露該矽化物區;以及進行重新分配製程再沉積從該矽化物區在該開口之側壁處移除的矽化物材料,以至少在該蝕刻停止層的暴露側壁上形成矽化物層。
  12. 如申請專利範圍第11項所述之方法,更包括在進行該重新分配製程後,進行濕化學清洗製程。
  13. 如申請專利範圍第11項所述之方法,其中,該重新分配製程為濺鍍製程。
  14. 如申請專利範圍第11項所述之方法,更包括以導電接觸材料填充該開口。
  15. 一種半導體設備,包含:矽化物區,至少部份配置於半導體層中,該矽化物區提供接觸區; 蝕刻停止層,配置於該半導體層上方;電介質層,配置於該蝕刻停止層上方;接觸結構,包含導電接觸材料,該接觸結構係形成於該電介質層中及該蝕刻停止層中,且延伸至該矽化物區;以及矽化物層,至少配置於該蝕刻停止層之側壁與該接觸結構之間。
  16. 如申請專利範圍第15項所述之半導體設備,其中,該矽化物層實質配置於該開口之下部,使得該蝕刻停止層之至少該側壁被該矽化物層覆蓋。
  17. 如申請專利範圍第16項所述之半導體設備,其中,形成於該蝕刻停止層之該側壁上的該矽化物層約有1奈米或更多的厚度。
  18. 如申請專利範圍第15項所述之半導體設備,其中,該蝕刻停止層包含應變的氮化矽材料,其中,該氮化矽材料包含約1吉帕斯卡(Gigapascal)或更多的本征應變。
  19. 如申請專利範圍第18項所述之半導體設備,其中,該接觸結構更包含配置於該矽化物層與該導電接觸材料之間的阻障層。
  20. 如申請專利範圍第15項所述之半導體設備,其中,該半導體設備包含場效電晶體,以及該矽化物區為該場效電晶體的源極區和汲極區之至少一者。
TW103106678A 2013-03-14 2014-02-27 包含接觸結構與形成於接觸蝕刻停止層之側壁上之保護層的半導體設備以及製造半導體裝置的方法 TWI557809B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361783207P 2013-03-14 2013-03-14
US14/184,826 US9269809B2 (en) 2013-03-14 2014-02-20 Methods for forming protection layers on sidewalls of contact etch stop layers

Publications (2)

Publication Number Publication Date
TW201501210A true TW201501210A (zh) 2015-01-01
TWI557809B TWI557809B (zh) 2016-11-11

Family

ID=51523763

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103106678A TWI557809B (zh) 2013-03-14 2014-02-27 包含接觸結構與形成於接觸蝕刻停止層之側壁上之保護層的半導體設備以及製造半導體裝置的方法

Country Status (3)

Country Link
US (2) US9269809B2 (zh)
CN (1) CN104051333B (zh)
TW (1) TWI557809B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9312140B2 (en) 2014-05-19 2016-04-12 International Business Machines Corporation Semiconductor structures having low resistance paths throughout a wafer
US9548372B2 (en) 2015-01-29 2017-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with tunable work function
US9396995B1 (en) * 2015-02-27 2016-07-19 Globalfoundries Inc. MOL contact metallization scheme for improved yield and device reliability
US9837306B2 (en) 2015-12-21 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
US10084093B1 (en) * 2017-05-22 2018-09-25 Globalfoundries Inc. Low resistance conductive contacts
US10510886B2 (en) * 2017-10-26 2019-12-17 Samsung Electronics Co., Ltd. Method of providing reacted metal source-drain stressors for tensile channel stress
US10276794B1 (en) * 2017-10-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication method thereof
US10943983B2 (en) * 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
CN110211921B (zh) * 2019-05-23 2021-08-10 上海华力集成电路制造有限公司 接触孔的制造方法
CN113130516A (zh) * 2020-01-15 2021-07-16 联华电子股份有限公司 半导体影像感测元件及其制作方法
US11444025B2 (en) * 2020-06-18 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor and fabrication method thereof
US11776895B2 (en) * 2021-05-06 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
KR100809330B1 (ko) * 2006-09-04 2008-03-05 삼성전자주식회사 게이트 스페이서로 인한 응력이 배제된 반도체 소자 및 그제조 방법
US20100090321A1 (en) * 2008-10-10 2010-04-15 Robert Mulfinger High-k etch stop layer of reduced thickness for patterning a dielectric material during fabrication of transistors
DE102010028458A1 (de) * 2010-04-30 2011-11-03 Globalfoundries Dresden Module One Llc & Co. Kg Halbleiterbauelement mit Kontaktelementen und Metallsilizidgebieten, die in einer gemeinsamen Prozesssequenz hergestellt sind
US8883586B2 (en) * 2011-04-04 2014-11-11 Globalfoundries Inc. Mol insitu Pt rework sequence

Also Published As

Publication number Publication date
US9269809B2 (en) 2016-02-23
TWI557809B (zh) 2016-11-11
US20140264641A1 (en) 2014-09-18
CN104051333B (zh) 2017-08-01
CN104051333A (zh) 2014-09-17
US20160099321A1 (en) 2016-04-07
US9590056B2 (en) 2017-03-07

Similar Documents

Publication Publication Date Title
TWI557809B (zh) 包含接觸結構與形成於接觸蝕刻停止層之側壁上之保護層的半導體設備以及製造半導體裝置的方法
US11996283B2 (en) Method for metal gate surface clean
TWI610343B (zh) 具有楔形鑲嵌孔洞之半導體結構及其製造方法
TWI406391B (zh) 包含垂直去耦合電容器之半導體裝置
CN100514596C (zh) 金属内连线的制作方法与结构
US9129965B2 (en) Semiconductor devices and methods of manufacture thereof
TWI622170B (zh) 半導體元件結構及其形成方法
US20090194825A1 (en) Self-aligned contact structure in a semiconductor device
US10896874B2 (en) Interconnects separated by a dielectric region formed using removable sacrificial plugs
US20120181692A1 (en) Hybrid contact structure with low aspect ratio contacts in a semiconductor device
KR100621630B1 (ko) 이종 금속을 이용하는 다마신 공정
US8658494B2 (en) Dual contact metallization including electroless plating in a semiconductor device
TW201807746A (zh) 在凹陷蝕刻製程期間使用氧化處理凹陷閘極結構之方法
CN108831859A (zh) 通孔的制造方法
CN103066014A (zh) 一种铜/空气隙的制备方法
TWI512906B (zh) 在基板窗區域上具有減少形貌的soi半導體裝置
US20230080438A1 (en) Beol etch stop layer without capacitance penalty
US9831124B1 (en) Interconnect structures
KR20210148931A (ko) 패턴 게이트를 갖는 반도체 금속 산화물 트랜지스터 및 이를 형성하는 방법
US10340177B2 (en) Devices and methods of reducing damage during BEOL M1 integration
US11881431B2 (en) Anti-fuse with laterally extended liner
US11545552B2 (en) Semiconductor structure and method for forming the same
US20230090755A1 (en) Beol tip-to-tip shorting and time dependent dielectric breakdown
US20230154850A1 (en) Graphene liners and caps for semiconductor structures
KR100945867B1 (ko) 반도체 소자의 다층 배선 형성 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees