TW201401414A - 在半導體晶圓製程中之高效率材料處理 - Google Patents

在半導體晶圓製程中之高效率材料處理 Download PDF

Info

Publication number
TW201401414A
TW201401414A TW102115951A TW102115951A TW201401414A TW 201401414 A TW201401414 A TW 201401414A TW 102115951 A TW102115951 A TW 102115951A TW 102115951 A TW102115951 A TW 102115951A TW 201401414 A TW201401414 A TW 201401414A
Authority
TW
Taiwan
Prior art keywords
tool
amhs
tools
dedicated
material processing
Prior art date
Application number
TW102115951A
Other languages
English (en)
Other versions
TWI578432B (zh
Inventor
Amir Widmann
Michael Adel
Pati Sekula
Original Assignee
Kla Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla Tencor Corp filed Critical Kla Tencor Corp
Publication of TW201401414A publication Critical patent/TW201401414A/zh
Application granted granted Critical
Publication of TWI578432B publication Critical patent/TWI578432B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一種裝置包括具有界定選自一製造設備中之複數個工具之一第一工具與一第二工具之間的一輸送路線之一專用自動化材料處理系統(AMHS)之一專用材料處理模組。該專用ANHS經組態以獨立於經組態以在該複數個工具之間輸送晶圓載體之一製造設備AMHS而在該第一工具與該第二工具或反之亦然之間輸送晶圓載體。應強調,提供本摘要以遵守需要將允許一搜尋者或其他讀者快速確定技術揭示內容之標的物之一摘要的規則。提交本摘要係基於以下理解:其不會被用於解釋或限定申請專利範圍之範疇或含義。

Description

在半導體晶圓製程中之高效率材料處理
本申請案係頒予Amir Widmann等人、於2012年5月4日提出申請且題為「EFFICIENT MATERIAL HANDLING IN THE VICINITY OF A LITHOGRAPHY CELL」之共同擁有、同在申請中美國臨時專利申請案第61/642,747號之一非臨時申請案且主張該申請案之優先權,該申請案之全部揭示內容以引用方式併入本文中。
本申請案係頒予Amir Widmann等人、於2012年5月7日提出申請且題為「EFFICIENT MATERIAL HANDLING IN THE VICINITY OF A LITHOGRAPHY CELL」之共同擁有、同在申請中美國臨時專利申請案第61/643,539號之一非臨時申請案且主張該申請案之優先權,該申請案之全部揭示內容以引用方式併入本文中。
本發明概言之係關於材料處理系統,且更特定而言係關於一種實現在微影站與檢驗站之間對在製品(WIP)之高效率輸送之半導體設備中之自動化材料處理系統(AMHS)。
現代半導體製造設備(fab)通常使用多種不同工具來對晶圓執行各種製造步驟,諸如在矽晶圓上之積體電路(IC)製作中。舉例而言,一fab可包括用於執行諸如(例如)微影、計量、蝕刻、離子植入、沈積等多種不同製程及檢驗步驟之工具。為了在該等各種不同工具之間高 效地輸送在製品(WIP)以對該WIP執行不同製造步驟,通常在該fab中採用一材料處理系統。當前及下一代fab中之材料處理系統通常包括一自動化材料處理系統(AMHS),且亦可包括由該設備中之工作人員執行之一定數量的手動材料輸送。
通常在製造設備中採用之自動化材料處理系統包括(例如)高架提升輸送(OHT)、軌道導引車(RGV)、自動化導引車(AGV)、高架穿梭機(OHS)、傳送帶系統、及其組合。不管該設備中所使用之特定類型之AMHS如何,該AMHS通常會在各自同時容納複數個晶圓之稱作匣盒或載體之批次容器中將晶圓輸送至各種工具。通常採用之晶圓載體包括機械介面(SMIF)吊艙及前開口式聯合吊艙(FOUP),其中每一吊艙可容納複數個晶圓且實現一各別工具之材料處理器對其中所含有之個別晶圓之接達。隨著300mm晶圓的出現,在FOUP中傳送晶圓之自動化OHT系統已變得越來越普遍。
每一工具之站臺通常可包括用於處理經由該fab AMHS分批輸送至其之WIP之一或多個材料處理器。一工具之站處之材料處理器通常可包括用於經由該fab之AMHS來裝載及卸載FOUP之一或多個裝載口(LP)以及用於自該FOUP移除個別晶圓並將其傳送至該工具以用於一特定製程或量測步驟之一機械人處理系統。
該fab設備之材料處理系統亦可具有一或多個儲料器,該一或多個儲料器用於儲存批次,諸如(例如)用於因各種工具之間的時間偏差而暫時儲存晶圓或用於儲存以促成該等儲料器於各種製造隔室之間的輸送。每一儲料器可具有用於將FOUP裝載至該fab之AMHS並自該fab之AMHS卸載FOUP之一或多個裝載口。一設備之AMHS亦可包括用於控制該設備中之流動WIP且向該AMHS中之各種輸送及儲料器模組發佈命令之一材料控制系統(MCS)。
以實例方式而非以限制方式,一半導體fab中之一典型AMHS可 利用包括界定該設備中之各種工具及/或儲料器之間的一路線之一軌道之一OHT系統。該軌道可位於該設備之一天花板附近且具有在該軌道上行走之一或多個電腦控制OHT車。每一車可包括用於自一儲料器或工具之一裝載口夾持一FOUP之一夾持器及抬起並放下該夾持器以抬起並放下一FOUP之一提升機構。在一典型操作中,該OHT車可定位於一工具或儲料器之一裝載口上方以放下該夾持器並自該裝載口擷取一FOUP。該提升機構抬起該FOUP且該OHT車隨後將該FOUP沿著該軌道輸送至一工具或儲料器之另一裝載口以便卸載該FOUP以用於儲存或一後續製造步驟。此等批次傳送中之每一者可根據自該fab MCS接收之一輸送命令來執行。
當前存在幾種不同半導體製造設備佈局及材料輸送架構可供用於在微影製程站與計量或其他檢驗站之間移動晶圓。在此等組態中頻繁執行之計量及/或檢驗動作之實例包括(但不限於)疊對、臨界尺寸、聚焦、劑量、膜厚度及宏觀與微觀缺陷檢驗。WIP自該微影製程單元至該計量站之快速輸送因其中若計量或檢驗結果超出預定極限則在該等計量或檢驗結果之後將該WIP傳回至一微影製程單元(例如)以用於抗蝕劑移除及額外微影製程之微影返工之可能性而特別重要。
在圖1中,繪示具有其中一微影後計量站105a係具有其自帶晶圓處理器110之一單獨實體之一獨立組態100之一個此類佈局。單元105b內之一機械人120在一或多個裝載口104處之FOUP與一製程工具106之間傳送個別晶圓。機械人120經由一狹縫閥108在單元105b與工具106之間傳送晶圓。計量站105a可任意位於該製造設備中但通常位於微影製程單元105b附近。FOUP可經由fab之AMHS在計量站105a與單元105b之間傳送。此組態在靈活性及擁有成本方面係有利的,因為其使得一單一計量站能夠伺服依靠該製造設備之AMHS將材料輸送至該站並自該站輸送材料之若干個微影製程單元。在此獨立組態中,晶圓通 常係在匣盒中在微影製程單元105b與計量站105a之間傳送。然而,獨立組態100在計量系統105a等待該設備之AMHS自微影單元105b傳送一完整批次時遭受長及變化結果時間之一主要缺點。
在圖2中,繪示具有其中計量站205a與一微影製程單元205b整合在一起之一整合式計量組態200之另一此類佈局。如同在圖1中所示之組態100中一樣,晶圓藉由一機械人220在一或多個裝載口204處之FOUP與單元205b之間傳送。在此組態中,機械人220可直接在一微影工具206與計量站205a之間傳送單一晶圓以用於量測。整合式組態200之一至關重要的益處在於結果時間,此乃因晶圓可在完成該整個批次之製程之前個別地傳送至計量站205a中,從而使得能夠在批次製程時間內回饋結果。
在圖3中,繪示具有其中計量站305a整合至曝光工具325中且單一晶圓自曝光工具325傳送至計量站305a以用於在曝光之後即刻及在諸如顯影或曝光後烘烤之後續製程之前量測之一嵌入式計量組態300之又一此類佈局。此具有在結果時間方面的額外益處但遭受因製程之前的低影像反差而引起之計量挑戰。
整合式組態及嵌入式計量組態兩者遭受擁有成本缺點,此乃因該計量站專用於該微影單元,從而導致該計量站在批次製程週期期間及之間的大量閒置時間。同樣地,該獨立組態遭受長及變化結果時間,此乃因其依靠設備級別AMHS自該微影站傳送完整的批次。
在此背景下,出現本發明之態樣。
根據本發明之一態樣,一種裝置可包含具有界定選自一製造設備中之複數個工具之一第一工具與一第二工具之間的一輸送路線之一專用自動化材料處理系統(AMHS)之一專用材料處理模組。該專用材料處理模組可經組態以獨立於經組態以在該複數個工具之間輸送晶圓 載體之一製造設備AMHS在該第一工具與該第二工具或反之亦然之間輸送晶圓載體。
在某些實施方案中,該裝置可進一步包括該第一工具。在某些實施方案中,該第一工具可係一基板製程工具且該第二工具可係一分析工具。在某些實施方案中,該製程工具可係一微影工具且該分析工具可係一計量工具或一檢驗工具。在其他實施方案中,該專用材料處理模組可進一步包括一小型儲料器,其中該小型儲料器介接該專用AMHS之該輸送路線。在再其他實施方案中,該製造設備AMHS可係一高架提升輸送(OHT)系統且該製造設備AMHS之一輸送路線可由延伸至該複數個工具中之每一工具之一軌道界定。在再其他額外實施方案中,該專用AMHS可係一OHT系統且該專用AMHS之該輸送路線可由延伸於該製程工具與該檢視工具之間的一軌道界定。在進一步實施方案中,該等基板載體可係前開口式聯合吊艙(FOUP)。
在某些替代實施方案中,該等晶圓載體可係FOUP;該專用材料處理模組可進一步包括一小型儲料器;該設備AMHS係一OHT系統且該第一AMHS之該輸送路線係由延伸至該複數個工具之中之該每一工具之一軌道界定;且該專用AMHS係一OHT系統且該第二AMHS之該輸送路線可由介接該小型儲料器且延伸於該第一工具與該第二工具之間的一軌道界定。
在某些其他替代實施方案中,該製造設備AMHS可係一OHT系統且該設備AMHS之一輸送路線可由延伸至該複數個工具中之該每一工具之一軌道界定且該專用AMHS亦可係一OHT系統且該專用AMHS之該輸送路線係由延伸至該子組工具中之每一工具之一軌道界定。
根據本發明之另一態樣,一種方法可在具有一設備材料處理系統(AMHS)及複數個工具之一製造設備中實施。該設備材料處理系統界定連接該製造設備中之該複數個工具之一輸送路線,該設備AMHS 可經組態以輸送晶圓載體。該方法可包含在該設備處安裝一專用材料處理模組,該專用材料處理模組包括界定連接選自該複數個工具之一第一工具與一第二工具之一輸送路線之一專用AMHS。該專用AMHS經組態以獨立於該設備AMHS在該第一工具與該第二工具或反之亦然之間輸送基板載體。根據某些實施方案,該第一工具可係一製程工具且該第二工具可係一分析工具。該處理工具可係一微影工具且該分析工具可係一計量工具或檢驗工具。在某些實施方案中,該設備AMHS可係一高架軌道(OHT)系統且該專用AMHS亦可係一OHT系統。在此等實施方案中,安裝該專用材料處理模組可包括將該第二AMHS之一軌道定位於該製造設備之一天花板附近及該第一AMHS之一軌道下方。在某些實施方案中,安裝該專用材料處理模組可包括將該第二AMHS之一軌道定位於該製程工具與該分析工具之間。在某些實施方案中,該專用材料處理模組進一步包括一小型儲料器。
根據本發明之一額外態樣,一種替代方法可在具有一設備材料處理系統(AMHS)及複數個工具之一製造設備中實施。該設備材料處理系統可界定連接該製造設備中之該複數個工具之一輸送路線。該設備AMHS可經組態以輸送晶圓載體。該替代方法可包含使用該複數個工具中之一第一工具來對一或多個半導體晶圓執行一第一組一或多個晶圓製程步驟;並經由一專用材料處理模組在一晶圓載體中將該一或多個半導體晶圓自該第一工具傳送至選自該複數個工具之一第二工具。該專用材料處理模組可包括界定連接該第一工具與該第二工具之一輸送路線之一專用AMHS。該專用AMHS可經組態以獨立於該設備AMH在該第一工具與該第二工具或反之亦然之間輸送基板載體。
在該替代方法之某些實施方案中,執行該第一組晶圓製程步驟可包括在一晶圓上製造一半導體器件。在某些實施方案中,該第二工具可係一分析工具。在某些實施方案中,該替代方法可進一步包含藉 由該分析工具來檢查該晶圓以獲得結果,並在該等結果超出預定極限時藉助該專用材料處理模組在一晶圓載體中將該晶圓輸送回至該第一工具。
100‧‧‧獨立組態
104‧‧‧裝載口
105a‧‧‧微影後計量站
105b‧‧‧微影單元
106‧‧‧製程工具
108‧‧‧狹縫閥
110‧‧‧晶圓處理器
120‧‧‧機械人
200‧‧‧整合式計量組態
204‧‧‧裝載口
205a‧‧‧計量站
205b‧‧‧微影製程單元
206‧‧‧微影工具
220‧‧‧機械人
300‧‧‧嵌入式計量組態
305a‧‧‧計量站
325‧‧‧曝光工具
400‧‧‧裝置
405a‧‧‧分析工具/計量站
405b‧‧‧製程工具/微影製程單元
410‧‧‧晶圓處理器
430‧‧‧控制器
455‧‧‧專用材料處理模組
500‧‧‧材料處理系統
505a‧‧‧工具/工具之站/微影站
505b‧‧‧工具/工具之站/檢驗站
505c‧‧‧工具/工具之站
505d‧‧‧工具/工具之站
505e‧‧‧工具/工具之站
505f‧‧‧工具/工具之站
505g‧‧‧工具/工具之站
505h‧‧‧工具/工具之站
550‧‧‧第一自動化材料處理系統
552‧‧‧輸送車
555‧‧‧專用材料處理模組
558‧‧‧專用高架提升輸送車
560‧‧‧輸送路線/軌道
570‧‧‧儲料器
575‧‧‧軌道
580‧‧‧小型儲料器
在閱讀以下詳細說明之後及在參考附圖之後將明瞭本發明之目的及優點,在附圖中:圖1係具有一獨立計量組態之fab設備佈局之一示意說明。
圖2係具有一整合式計量組態之一fab設備佈局之一示意說明。
圖3係具有一嵌入式計量組態之一fab設備佈局之一示意說明。
圖4係根據本發明之一實施例具有一專用材料處理模組之fab設備佈局之一示意說明。
圖5係根據本發明之一實施例具有一專用材料處理模組及一設備級別AMHS之一製造設備之一示意說明。
儘管以下詳細說明為了說明目的而含有諸多具體細節,但熟習此項技術者將瞭解,以下細節之諸多變化形式及替代形式在本發明之範疇內。因此,列舉下文所闡述之本發明之說明性實施例而不失去提出專利申請之本發明的一般性且不對提出專利申請之本發明強加限制。
本發明之態樣與一製造設備中之材料處理系統相關,該等材料處理系統包括在該設備中之複數個工具之間輸送成批的材料之一設備級別AMHS及在選自該設備中之該複數個工具之一第一工具與一第二工具之間輸送成批的材料之一專用材料處理模組兩者。類似於該設備級別AMHS,該專用材料處理模組可經組態以在諸如FOUP或其他晶圓載體之容器中輸送晶圓批次,而不是在該設備中之工具之間輸送個別晶圓以用於不同工具處之製造步驟。不同於負責在該設備中之所有 或大量工具之間輸送WIP之設備級別AMHS,該專用材料處理模組之範疇僅限於在該兩個選定工具之間輸送批次以使彼等選定工具附近之WIP之輸送效率最大化。該兩個工具可自該複數個設備工具選擇作為針對其該複數個設備工具之間的重複輸送因與該製造程序相關之材料流動問題而在該設備中係常見之一子組。因此,該專用材料處理模組可促成與原本藉由經由該設備級別AMHS來輸送材料所達成相比較彼等工具之間的更高效率材料輸送。
在本發明之一項態樣中,這兩個工具可係一製程工具及一分析工具以便加速因來自該檢視工具之結果超出預定極限而引起之返工。以實例方式而非以限制方式,該檢視工具可係一檢驗或計量工具且該製程工具可係諸如一曝光工具、蝕刻工具、沈積工具或可通常在一半導體fab中採用之其他工具之一微影工具。
如本文中所使用,術語「分析工具」意欲涵蓋用於在基板製程步驟之前、期間、之後或之間對一基板執行量測之工具。分析工具可歸類為子類,包括(但不限於)計量工具、檢驗工具及檢視工具。
一計量工具通常經組態以藉由進行量測並提供對應於某一物理屬性之值之輸出來執行一分析。該值輸出通常係可以類比或數位形式傳輸或儲存之一數值或一組數值。計量工具之實例包括(但不限於)疊對工具、干涉儀、臨界尺寸(CD)工具(例如,CD掃描電子顯微鏡(CD-SEM))、膜厚度工具、離子植入計量工具、表面造型工具、電阻率計量工具、標線圖案佈置計量工具、邊緣計量工具、反射儀及橢偏儀。
商用疊對計量工具之具體實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的Archer系列疊對工具。
光學CD計量工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的SpectraShape光學CD工具。
光學膜厚度/折射率/應力計量工具之實例包括來自加利福尼亞州 苗必達市之KLA-Tencor公司的Aleris系列、ASET-F5x及SpectraFx工具。
用於量測晶圓幾何及構形之計量工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的WaferSight工具。另外,用於來自KLA-Tencor之Surfscan SPx系列工具之SURFmonitor模組可指示毯覆式膜及祼基板上之亞埃表面構形變化。
離子植入計量工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的ThermaProbe工具。
表面造型計量工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的ThermaProbe HRP-x50自動化基於觸筆之表面輪廓儀。
電阻率計量工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的RS-x00薄片電阻映射工具。
標線圖案佈置計量工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的IPRO系列工具。
邊緣計量工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的VisEdge系列工具。
一檢驗工具通常經組態以尋找缺陷,亦即,不尋常的某物。一檢驗工具之一典型輸出係一基板或一基板之一部分之單位面積缺陷之一計數。檢驗工具之實例包括(但不限於)用於經圖案化或未經圖案化晶圓之光束及電子束晶圓檢驗系統、宏觀缺陷檢驗工具、邊緣缺陷檢驗工具、紅外線檢驗工具及標線檢驗工具。
商用檢驗工具之具體實例包括(但不限於)下列各項。
用於經圖案化晶圓之光學晶圓檢驗工具包括28XX系列及29XX系列寬頻光學缺陷檢驗工具及Puma系列基於雷射之光學缺陷檢驗工具以及8900高速明場/暗場光學缺陷檢驗系統,所有這一切可自加利福 尼亞州苗必達市之KLA-Tencor公司購得。
用於經圖案化晶圓之電子束晶圓檢驗工具包括來自加利福尼亞州苗必達市之KLA-Tencor公司的eS800電子束缺陷檢驗系統。
用於未經圖案化晶圓之光學晶圓檢驗工具包括來自加利福尼亞州苗必達市之KLA-Tencor公司的Surfscan SPx及系列以及SURFmonitor(SURFmonitor係一SPx工具上之一選項)晶圓檢驗工具。
邊緣缺陷檢驗工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的VisEdge系列工具。
宏觀身陷檢驗工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的LDS紅外線缺陷檢驗工具及查檢站。
紅外線缺陷檢驗工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的IRIS紅外線缺陷檢驗工具及檢視站。
標線檢驗工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的TeraFab系列光罩檢驗工具。
檢驗工具亦可經組態以用於所製造器件之線後端(BEOL)檢驗。BEOL檢驗工具之實例包括(但不限於)經組態以檢驗在一托盤中處理之諸如微處理器或記憶體芯片之各種半導體組件。組件缺陷檢驗工具能力包括(但不限於):3D共面檢驗;觸點均勻度量測;及2D表面檢驗以檢視封包之表面態樣、識別標記及定向。BEOL檢驗工具亦可經組態以檢驗經切晶或未經切晶晶圓或安裝於膜框架載體上之經切晶晶圓。此等工具可經組態以檢驗晶圓之表面品質、晶圓切割之品質或晶圓凸塊。
BEOL檢驗工具之商用實例包括(但不限於)諸如來自加利福尼亞州苗必達市之KLA-Tencor公司的ICOS CI-T620、ICOS CI-T120/CI-T130、ICOS CI-T120S/CI-T130S及ICOS CI-3050型號工具之組件檢驗工具。
一檢視工具通常經組態以獲得一計量或檢驗工具之輸出並進一步調查。為檢視計量,該檢視工具可經組態以調查該值為何不同。為檢驗,該檢視工具可經組態以調查由一檢驗工具發現之缺陷之性質。檢視工具之實例包括電子束、光學或紅外線檢視工具。電子束檢視工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的eDR-7000系列基於SEM之缺陷檢視及分類系統。光學檢視工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的INX-3x00系列自動化、全光譜光學檢視系統。紅外線檢視工具之實例包括來自加利福尼亞州苗必達市之KLA-Tencor公司的IRIS紅外線檢驗及檢視站。
應注意,某些工具可實施計量及檢視功能兩者,某些工具可實施檢視及檢驗功能,某些工具可實施計量及檢驗功能,且某些工具可實施所有三個功能。因此,此等功能中之兩者或兩者以上可由同一類型之工具或在某些情況下同一工具實施。
如本文中所使用,術語「製程工具」通常係指用於對該基板執行各種製造程序之若干種不同類型之工具中之任一種。此等程序之實例包括(但不限於)圖案化、蝕刻、材料沈積、抗蝕劑剝離、清洗、拋光、離子植入、退火。
以實例方式而非對本發明之實施例之限制,一製程工具通常可包括諸如一掃描機或步進機顯影器之一微影圖案化工具。此等顯影器在操作上類似於一幻燈片投影機或一照片放大機。此等工具通常在用於在一半導體晶圓之表面上形成顯微電路組件之光微影程序中使用。在該圖案化工具中,一基板(例如,一半導體晶圓)可保持於可包括一夾盤(例如,一真空夾盤或一靜電夾盤)之一載臺上。欲在該IC上創建之一電路或其他組件之組件在一光罩或標線之表面上被複製成一透明及不透明區圖案。該標線上之圖案通常對應於一單一晶粒或芯片之一圖案。來自一源之光透過該標線且形成該標線圖案之一影像。該影像 由一透鏡聚焦且有時還原,並投射至由一光阻劑塗佈之一基板之表面上。該抗蝕劑上之經聚焦影像通常稱作一曝光場。在曝光之後,該經塗佈基板可經化學顯影,從而致使該光阻劑根據在曝光期間所接收之光量在某些區域中溶解。此將該標線上之圖案轉印至該抗蝕劑。該圖案化工具可配備有諸如加熱燈之加熱元件,以促成在曝光之前或之後對該抗蝕劑之加熱,例如,以使其固化。一圖案化工具可係在曝光一個晶粒之後移動該基板以使得該基板之另一部分可由同一曝光場曝光之具有一對準系統之一步進機。
該製程工具亦可組態為一掃描機。掃描機係藉由在該曝光期間沿彼此相反的方向移動該標線及載台來增加該曝光場之長度之步進機。不是立即曝光該整個場,而是該曝光係透過與該曝光場一樣寬但僅係其長度之一小部分之一「曝光狹縫」(例如,一33x26 mm場之一8x26 mm狹縫係一行業標準)來進行。跨該基板上之該曝光區域掃描來自該曝光狹縫之影像。
以實例方式且不失一般性地,該製程工具亦可組態為一電子束微影工具。不同於該掃描器及該步進器,一電子束微影工具係一直寫微影系統。跨由一抗蝕劑覆蓋之基板定向一束電子而不需要一光罩。以實例方式且不失一般性地,該製程工具亦可係一定向自組裝(DSA)微影工具。DSA利用諸如較佳接合定向之自然程序在材料內產生有序結構。對此等程序之操縱可用於形成條帶且可藉由改變化學性質以達成奈米尺度特徵來加以微調。
在半導體製造中,具有經顯影抗蝕劑之基板可經受進一步製程,例如,蝕刻或沈積。此等程序可在其他類型之製程工具中進行。此等製程工具可包括將該抗蝕劑沈積於該基板或其中該抗蝕劑在一曝光工具中曝光或顯影之前加熱之預烘室上之旋轉塗佈機。以實例方式且不失一般性地,其他類型之製程工具包括(但不限於)沈積工具、蝕 刻工具、離子植入工具、抗蝕劑施加工具、抗蝕劑剝離工具及化學機械拋光(CMP)工具。
該設備級別AMHS可包括通常在半導體fab中採用之各種材料處理系統中之任一者,諸如(例如)一高架提升輸送(OHT)系統、一軌道導引車(RGV)系統、一自動化導引車(AGV)系統、一高架穿梭機(OHS)系統、一傳送帶系統或其某一組合。
該專用材料處理模組亦可包括其範疇不僅限於針對其選擇之該子組工具之一自動化材料處理系統。由該專用模組所採用之AMHS可係例如一高架提升輸送(OHT)系統、一軌道導引車(RGV)系統、一自動化導引車(AGV)系統、一高架穿梭機(OHS)系統或一傳送帶系統。由該專用材料處理模組所利用之類型之材料系統可為與由該設備級別AMHS所採用之一系統相同類型的,或者可為與該設備級別AMHS不同類型的。
該專用材料處理模組可進一步包括用於暫時儲存批次以供在該子組工具之間輸送之一暫時儲存單元,諸如(例如)包括一或多個裝載口之一儲料器、小型儲料器或緩衝站。該暫時儲存單元可係(例如)呈安置於該設備之一天花板附近及該專用材料處理模組之一軌道下面或附近以使得其不耗用該設備中之額外地板空間之一高架緩衝(OHB)站之形式之一小型儲料器。此OHB站可安置於該子組工具中之該等工具中之一者上方或任意地由該專用材料處理模組界定之路線一帶。一AMHS系統可使用之另一類型之小型儲料器係可位於一程序/計量工具前面或旁邊之一「近工具緩衝器」。此一小型儲料器可係該整個AMHS系統之部分。
根據本發明之一態樣之一裝置400之一實例繪示於圖4中,但應理解,為了說明目的自圖4中所示之實施例省略許多細節。類似於圖1中所繪示之獨立組態,計量站405a包括用於接收批次匣盒並將晶圓傳 送至該計量量測夾盤上之一晶圓處理器410。然而,與上文關於圖1至圖3所提及之組態形成對比,可以看到在一製程工具405b(例如,一微影製程單元)與一分析工具405a(例如,一計量站)之間傳送批次匣盒之一額外專用材料處理模組455。
分析工具405a、製程工具405b及專用材料處理模組455可在一控制器430控制之下操作。
在一項實施例中,專用材料處理模組455可包括一小型儲料器及呈一高架提升輸送(OHT)系統之形式之一自動化材料處理系統。根據本發明可在一專用材料處理模組中實施之一OHT系統之一項實例闡述於頒予Doherty等人、題為「AUTOMATED MATERIAL HANDLING SYSTEM FOR SEMICONDUCTOR MANUFACTURING BASED ON A COMBINATION OF VERTICAL CAROUSELS AND OVERHEAD HOISTS」之美國專利第7,771,153號中,該專利之全部揭示內容以引用方式併入本文中。
與上文關於圖1至圖3所提及之組態形成對比且為圖4之實施例所獨有,將此小型儲料器及OHT系統用作微影製程單元405b與計量站405a之間的一專用材料處理模組因上文所述之返工選項之加速而具有特殊意義。儘管此不可能達成藉由整合式或嵌入式組態達成之相同結果時間加速,但其可帶來一主要擁有成本優點。與專用更高成本計量站之整合式組態或嵌入式組態形成對比,僅材料處理模組455之小型儲料器及OHT系統專用於微影製程單元405b。因此,計量站405a可在材料不是就地隨手可得的情況下迅速且直接經由專用材料處理模組455自鄰近微影單元405b或經由該整個fab級別AMHS自該設備中之其他位置(諸如(例如)其他微影單元或蝕刻後製程)接收WIP。
此外,應注意,以此方式利用一專用材料處理模組之結果時間益處可係雙重的。作為其中一個,批次之平均結果時間可因該專用材 料處理模組創建該計量站與該微影站之間的一直接鏈路而減少。作為其中另一個,結果時間之分佈寬度(亦即,標準偏差)可因該專用模組之有限作用而從根本上減少,從而在該製造設備中創建一更可預測及判定性材料流。
本發明之一額外優點可在於,在某些實施例中,該組態可改型至現有製造設備架構同時耗用最小製造設備面積或不耗用額外製造設備面積。以非限制實例方式,在某些實施例中,該小型儲料器可呈安置於一專用OHT系統之一軌道下面或附近之一高架緩衝(OHB)站之形式。因此,對於專用於該兩個站之間的一額外材料處理模組之相對適度成本而言,可達成經改良結果時間。在一說明性實施例中,可藉由將該專用材料處理模組插入於該微影單元與該計量站之間及實體上該fab級別AMHS下方來實施一設備更新。
進一步應注意,從一工廠自動化角度,該計量站現在可伺服兩個場景。作為其中一個,該計量站可自其經由該專用材料處理模組鏈接至的一特定微影製程單元接收WIP。作為其中另一個,該計量站可作為一獨立計量站經由該fab級別AMHS接收WIP。此靈活性可確保該計量站在該特定微影製程單元諸如(舉例而言)因排定維護而處於關閉狀態時不閒置。
在圖5中,繪示根據本發明之一實施例之一半導體製造設備中之一材料處理系統。在所圖解說明實施例中,為了說明目的僅繪示具有一隔室內AMHS之一設備之一單個隔室,但應理解,根據本發明之一材料處理系統可包括(例如)隔室間系統、隔室內系統或其組合。
在所圖解說明實施例中,材料處理系統500包括一第一AMHS 550及一專用材料處理模組555。第一AMHS 550可界定連接複數個工具505a至505h與一主儲料器570之一輸送路線560。儲料器570通常可具有用於輸入及輸出匣盒之一或多個裝載口且可提供在製造期間對 WIP之儲存,諸如(例如)因在不同工具之站505a至505h處執行之製造步驟之計時偏差而可能需要,或促成匣盒至另一隔室之輸送。
在所圖解說明實施例中,第一AMHS 550繪示為具有可固定在一製造設備之一天花板附近之一軌道560之一高架提升輸送(OHT)系統。軌道560界定至該複數個工具505a至505h以及至儲料器570之一路線。第一OHT系統亦可具有在軌道560上行走且夾持晶圓載體以自各個工具505a至505h及儲料器570中輸送在製品(WIP)之一或多個輸送車552。該材料處理系統亦可包括用於在隔室間輸送WIP之另一自動化系統,在此情況下該設備之該材料處理系統可包括複數個主儲料器以促成隔室間輸送。
在所圖解說明實施例中,材料處理系統500進一步包括一專用材料處理模組555,該專用材料處理模組具有專用於在微影站505a與檢驗站505b之間輸送匣盒從而加速因基於來自在站505b處所執行之檢驗之結果之返工而引起之結果時間之一第二AMHS。專用材料處理模組555之AMHS亦繪示為具有(舉例而言)可安置於該製造設備之一天花板附近但低於第一AMHS之軌道560之一專用軌道575之一OHT系統。專用軌道575界定該兩個工具505a與505b之間的一輸送路線,該輸送路線貫穿該兩個工具之間的一迴路而且介接一小型儲料器580。該小型儲料器亦可具有一或多個裝載口以實現對在該兩個工具之間輸送之批次之暫時儲存。一或多個專用OHT車558可在該軌道上行走且經組態以輸送諸如FOUP之晶圓載體,並且介接工具505a、505b及小型儲料器580處之裝載口。
在材料處理系統500中,該複數個工具505a至505h中之每一者可具有用於經由材料處理系統500之車在晶圓載體中裝載並卸載批次之一或多個裝載口。該複數個工具505a至505h之裝載口介接第一AMHS 550之軌道560,而微影站505a及檢驗站505b之裝載口額外地介接專用 材料處理模組555之軌道575。因此,該子組工具505a及505b可經由其各別軌道介接專用材料處理模組555之第一AMHS 550及第二AMHS兩者,從而實現批次經由該fab級別AMHS、專用材料處理器模組555或其一組合之進入及退出。
在所圖解說明實施例中,專用模組555之小型儲料器580可任意地位於由該專用模組之軌道575界定之路線一帶。然而,在某些實施例中,該小型儲料器可藉由安置於該工具正上方或整合至該工具之前端中位於該等工具中之一者處,諸如位於微影工具505b處。在再進一步實施例中,該小型儲料器可係任意地位於該設備之地板上之一獨立式儲料器。
以此方式,檢驗站505a可介接該專用OHT系統以經由專用材料處理模組555將WIP直接輸送至該微影站,但仍可介接fab級別AMHS 550以在需要時將WIP輸送至該設備中之其他工具,例如(例如)當專用微影站505b因排定維護而處於關閉狀態時將WIP輸送至該設備中之另一微影站。利用圖5中所示之實施例,檢驗站505a可經由專用模組555鏈接至微影站505b,從而達成上文關於圖2及圖3所提及之嵌入式組態及整合式組態之結果時間益處中之某些益處。然而,由於檢驗站505a仍可介接設備級別AMHS 550,因而其並不像在該等嵌入式及整合式組態中一樣完全依賴其鏈接至的微影站505b。此靈活性使得高成本檢驗站505a能夠在材料不是自所鏈接站505b隨手可得時自該設備中的其他地方(諸如(例如)另一微影站)接收WIP。
為了實現WIP經由這兩個系統中的任一系統輸送至一所鏈接工具之輸送,該專用模組鏈接之該等工具中之至少一者可具有可由該fab級別AMHS及該專用材料處理器模組之AMHS兩者直接或經由一中間介面接達之一裝載口。在某些實施例中,該等所鏈接工具中之每一者可由該專用材料處理模組及該fab級別AMHS兩者接達,以使得WIP可 根據系統排程經由該兩個系統中之任一系統輸送至這兩個所鏈接工具中之任一工具並自這兩個所鏈接工具中之任一工具輸送。在某些其他實施例中,該等所鏈接工具中僅一者(例如一檢驗站)可由該fab級別材料處理系統及該專用模組AMHS兩者接達,而另一者可由僅該專用模式接達。
為了實現該專用模擬及該設備級別AMHS兩者對一工具之裝載口之可接達性,根據本發明之一材料處理系統可以各種方式設置。
以實例方式而非以限制方式,當該設備級別AMHS及該專用模組兩者利用同一類型之系統時,其可以使得其導軌不阻止另一系統之一車接達該工具之一方式設置。舉例而言,若該fab級別AMHS及該專用材料處理模組各自利用一OHT系統,則該專用OHT之軌道可以使得其不實體阻止該fab級別OHT之一軌道上之一車接達一工具之一方式設置。
在某些實施例中,為了防止該專用OHT之軌道阻止該fab級別AMHS之一車接達該工具,該兩個軌道中之每一者可經組態以接達一不同各別口,其中此等不同各別口可介接一特定工具。舉例而言,該專用OHT之軌道可具有從一第一口上方經過之一路線,且該fab級別AMHS可具有從一第二口上方經過之一路線,其中該專用OHT之軌道不從第二口上方經過以藉此使其保持不受阻擋從而使得該fab級別AMHS之一車能夠下降經過該專用OHT軌道並接達第二口。以實例方式而非以限制方式,此等不同口可係該等工具中之一者之不同裝載口,其中該工具配備有多個裝載口。以進一步非限制性實例方式,該等不同口可係一緩衝站或其他介接單元之不同口,其中該系統配備有用於在該等不同口之間及/或自該等不同口至該工具之一裝載口移動批次之一機構。
在某些實施例中,該設備級別AMHS及該專用OHT中之至少一者 可包括具有橫向運動能力之一車以便接達其位置自該軌道橫向偏移之口,從而將使得一車能夠接達並非安置於其各別軌道正下方之一口。此將使得該兩個系統能夠介接同一口而無需其各別軌道彼此介接。
在某些實施例中,提供可佔據複數個位置之一可移動口,且該fab級別AMHS及專用材料處理模組可在該口之不同位置處介接該口。一可移動口之一項實例由闡述其中使一儲料機中之複數個裝載口及/或儲存位置以一旋轉方式移動至不同位置之一轉盤式儲料機之7,771,153闡述。在本發明之某些實施例中,一材料處理系統可包括皆諸如(例如)藉由界定鄰近該轉移式儲料機之相對側之路線來介接該轉盤式儲料機之一fab級別AMHS及一專用材料處理模組。該等不同路線可藉此使得該fab級別AMHS能夠接達該儲料器之一口而無需該專用材料處理模組之該軌道介接。以非限制性實例方式,此儲料器可進一步位於一工具之前端處或附近,且一專用AMHS可經組態以自該儲料器至該工具傳送批次。
以實例方式而非以限制方式,該專用材料處理模組可包括係相對於該fab級別AMHS之一不同類型之一系統。舉例而言,若該fab級別AMHS利用一OHT系統,則該專用材料處理模組可包括一不同類型之系統,諸如一RGV系統。每一系統之車可接達該等工具之相同裝載口或儲存單元而無需其各別導軌彼此介接,例如,無需可安置於一設備之一地板附近之該專用RGV之該軌道介接可安置於該設備之一天花板附近之該fab級別OHT系統之一軌道。
應進一步注意,以上所述之某一組合可用於最佳化材料於該專用路線中及/或於由該fab級別AMHS界定之一路線中之流動且實現該等工具及根據需要各別材料處理系統之間的介接。
本發明之一有利態樣在於一專用材料模組可改型至一現有設備AMHS。舉例而言,參見圖5之說明性實施例,第一AMHS 550可係一 製造設備中之一預先存在設備級別AMHS,且專用模組555可改型至該系統上以達成本文中所闡述之益處中之某些益處或所有益處。此確保靈活性及與各種材料處理架構之相容性同時仍達成上文所提及之結果時間益處。
本發明之態樣提供圖1中所繪示之獨立組態與圖2及圖3中所繪示之嵌入式及整合式組態之間的一中間解決方案。根據本發明之態樣,可達成該獨立組態之實質所有擁有成本益處,同時亦實現類似於上文所提及之整合式及嵌入式組態之實質結果時間加速。
儘管已具體參照一半導體製造設備之一微影單元中之實施方案闡述了本發明之態樣,但應理解,本發明可廣泛結合諸如(例如)蝕刻、離子植入、沈積等其他程序模組來實施。
雖然以上所述係對本發明之較佳實施例之一完整說明,但可使用各種替代形式、修改形式及等效形式。因此,本發明之範疇不應根據以上說明來加以判定,而是應根據隨附申請專利範圍連同其全範圍之等效形式來加以判定。任一特徵(無論較佳與否)可與任一其他特徵(無論較佳與否)組合。在隨附申請專利範圍中,不定冠詞「一(A)」或「一(An)」係指該冠詞之後的項目之一個或一個以上之一數量,除非另有明確規定。隨附申請專利範圍不應視為包括技術功能手段限制,除非此一限制使用片語「手段方式」明確敍述於一既定申請專利範圍中。
400‧‧‧裝置
405a‧‧‧分析工具/計量站
405b‧‧‧製程工具/微影製程單元
410‧‧‧晶圓處理器
430‧‧‧控制器
455‧‧‧專用材料處理模組

Claims (20)

  1. 一種裝置,其包含:一專用材料處理模組,其具有界定選自一製造設備中之複數個工具之一第一工具與一第二工具之間的一輸送路線之一專用自動化材料處理系統(AMHS),其中該專用AMHS經組態以獨立於經組態以在該複數個工具之間輸送晶圓載體之一製造設備AMHS而在該第一工具與該第二工具或反之亦然之間輸送晶圓載體。
  2. 如請求項1之裝置,其進一步包含:該第一工具。
  3. 如請求項1之裝置,其中該第一工具係一基板製程工具且該第二工具係一分析工具。
  4. 如請求項3之裝置,其中該製程工具係一微影工具且該分析工具係一計量工具或一檢驗工具。
  5. 如請求項3之裝置,其中該專用材料處理模組進一步包括一小型儲料器,其中該小型儲料器與該專用AMHS之該輸送路線介接。
  6. 如請求項3之裝置,其中該製造設備AMHS係一高架提升輸送(OHT)系統且該製造設備AMHS之一輸送路線係由延伸至該複數個工具中之每一工具之一軌道界定。
  7. 如請求項3之裝置,其中該專用AMHS係一OHT系統且該專用AMHS之該輸送路線係由延伸於該製程工具與該分析工具之間的一軌道界定。
  8. 如請求項3之裝置,其中該等基板載體係前開口式聯合吊艙(FOUP)。
  9. 如請求項1之裝置, 其中該等晶圓載體係FOUP;其中該專用材料處理模組進一步包括一小型儲料器;其中該設備AMHS係一OHT系統且該第一AMHS之該輸送路線係由延伸至該複數個工具中之該每一工具之一軌道界定;且其中該專用AMHS係一OHT系統且該專用AMHS之該輸送路線係由與該小型儲料器介接且延伸於該第一工具與該第二工具之間的一軌道界定。
  10. 如請求項1之裝置,其中該製造設備AMHS係一OHT系統且該設備AMHS之一輸送路線係由延伸至該複數個工具中之該每一工具之一軌道界定;其中該專用AMHS係一OHT系統且該專用AMHS之該輸送路線係由延伸至該子組工具中之每一工具之一軌道界定。
  11. 一種方法,在具有一設備材料處理系統(AMHS)及複數個工具之一製造設備中,該設備材料處理系統具有界定連接該製造設備中之該複數個工具之一輸送路線之一第一AMHS,該設備AMHS經組態以輸送晶圓載體,該方法包含:在該設備處安裝一專用材料處理模組,該專用材料處理模組包括界定連接選自該複數個工具之一第一工具與一第二工具之一輸送路線之一專用AMHS,其中該專用AMHS經組態以獨立於該設備AMHS而在該第一工具與該第二工具或反之亦然之間輸送基板載體。
  12. 如請求項10之方法,其中該第一工具係一製程工具且該第二工具係一分析工具。
  13. 如請求項11之方法,其中該製程工具係一微影工具且該分析工具係一計量工具或檢驗工具。
  14. 如請求項11之方法,其中該設備AMHS係一高架軌道(OHT)系 統,其中該專用AMHS係一OHT系統,且其中該安裝該專用材料處理模組包括:將該第二AMHS之一軌道定位於該製造設備之一天花板附近及該第一AMHS之一軌道下方。
  15. 如請求項11之方法,其中該安裝該專用材料處理模組包括:將該第二AMHS之一軌道定位於該製程工具與該分析工具之間。
  16. 如請求項11之方法,其中該專用材料處理模組進一步包括一小型儲料器。
  17. 一種方法,在具有一設備材料處理系統(AMHS)及複數個工具之一製造設備中,該設備材料處理系統具有界定連接該製造設備中之該複數個工具之一輸送路線之一第一AMHS,該設備AMHS經組態以輸送晶圓載體,該方法包含:使用該複數個工具之一第一工具來對一或多個半導體晶圓執行一第一組一或多個晶圓製程步驟;經由一專用材料處理模組在一晶圓載體中將該一或多個半導體晶圓自該第一工具傳送至選自該複數個工具之一第二工具,其中該專用材料處理模組包括界定連接該第一工具與該第二工具之一輸送路線之一專用AMHS,其中該專用AMHS經組態以獨立於該設備AMHS而在該第一工具與該第二工具或反之亦然之間輸送基板載體。
  18. 如請求項17之方法,其中執行該第一組晶圓製程步驟包括:在一晶圓上製造一半導體器件。
  19. 如請求項17之方法,其中該第二工具係一分析工具。
  20. 如請求項18之方法,其進一步包含藉助該分析工具來分析該晶圓以獲得結果,及在該等結果超出預定極限時藉助該專用材料處理模組在一晶圓載體中將該晶圓輸送回至該第一工具。
TW102115951A 2012-05-04 2013-05-03 在半導體晶圓製程中之高效率材料處理 TWI578432B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261642747P 2012-05-04 2012-05-04
US201261643539P 2012-05-07 2012-05-07
US13/874,764 US9558978B2 (en) 2012-05-04 2013-05-01 Material handling with dedicated automated material handling system

Publications (2)

Publication Number Publication Date
TW201401414A true TW201401414A (zh) 2014-01-01
TWI578432B TWI578432B (zh) 2017-04-11

Family

ID=49512626

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102115951A TWI578432B (zh) 2012-05-04 2013-05-03 在半導體晶圓製程中之高效率材料處理

Country Status (6)

Country Link
US (1) US9558978B2 (zh)
JP (1) JP2015518662A (zh)
KR (1) KR20150013691A (zh)
CN (1) CN104380454B (zh)
TW (1) TWI578432B (zh)
WO (1) WO2013166383A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9171765B2 (en) * 2014-02-21 2015-10-27 Globalfoundries Inc. Inline residual layer detection and characterization post via post etch using CD-SEM
CN108155110B (zh) * 2016-12-05 2019-12-31 上海新昇半导体科技有限公司 晶圆表面平坦度测量系统
US10504760B2 (en) * 2017-06-28 2019-12-10 Taiwan Semiconductor Manufacturing Company Ltd. System for a semiconductor fabrication facility and method for operating the same
DE102018106751A1 (de) * 2017-07-31 2019-01-31 Taiwan Semiconductor Manufacturing Co. Ltd. Automatisiertes inspektionswerkzeug
US10622236B2 (en) * 2017-08-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for handling wafer carrier doors
KR20200110813A (ko) * 2018-02-15 2020-09-25 램 리써치 코포레이션 기판 이송 챔버 이동
US11527425B2 (en) 2019-12-31 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for tray cassette warehousing

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6240335B1 (en) 1998-12-14 2001-05-29 Palo Alto Technologies, Inc. Distributed control system architecture and method for a material transport system
EP1184805A1 (en) 2000-08-29 2002-03-06 Motorola, Inc. Electronic device for a wafer container, wafer manufacturing system, and method
US20020197136A1 (en) 2001-06-21 2002-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for aligning the loading/unloading of a wafer cassette to/from a loadport by an overhead hoist transport system
US6726429B2 (en) 2002-02-19 2004-04-27 Vertical Solutions, Inc. Local store for a wafer processing station
CN100520706C (zh) * 2002-06-19 2009-07-29 布鲁克斯自动技术公司 半导体制造用竖直传送带与空中升降机组合式自动物料搬运系统
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
KR101544699B1 (ko) * 2002-10-11 2015-08-18 무라다기카이가부시끼가이샤 오버헤드 호이스트를 탑재한 오버헤드 호이스트 수송 차량
US7778721B2 (en) 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US6990721B2 (en) 2003-03-21 2006-01-31 Brooks Automation, Inc. Growth model automated material handling system
US7403259B2 (en) 2003-10-17 2008-07-22 Asml Netherlands B.V. Lithographic processing cell, lithographic apparatus, track and device manufacturing method
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
JP5152700B2 (ja) 2005-05-16 2013-02-27 村田機械株式会社 高処理量amhsのためのモジュール式ターミナル
US8267634B2 (en) * 2005-11-07 2012-09-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
US7798758B2 (en) * 2005-11-07 2010-09-21 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
US20080107507A1 (en) 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
JP4849969B2 (ja) 2006-06-15 2012-01-11 東京エレクトロン株式会社 基板処理システムおよび基板搬送方法
US7925380B2 (en) * 2006-07-19 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated transportation control for wafer fabrication facility
CN101578700B (zh) * 2006-08-18 2012-11-14 布鲁克斯自动化公司 容量减少的载物台,传送,装载端口,缓冲系统
JP4904995B2 (ja) 2006-08-28 2012-03-28 シンフォニアテクノロジー株式会社 ロードポート装置
US7531368B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited In-line lithography and etch system
JP4321631B2 (ja) 2007-07-05 2009-08-26 村田機械株式会社 搬送システム、搬送方法および搬送車
US7854202B2 (en) 2007-07-26 2010-12-21 International Business Machines Corporation Overhead transport service vehicle and method
DE102007035839B4 (de) * 2007-07-31 2017-06-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum lokalen Aufbewahren von Substratbehältern in einem Deckentransportsystem zum Verbessern der Aufnahme/Abgabe-Kapazitäten von Prozessanlagen
GB0804499D0 (en) * 2008-03-11 2008-04-16 Metryx Ltd Measurement apparatus and method
KR20110009687A (ko) * 2008-06-20 2011-01-28 무라텍 오토메이션 가부시키가이샤 컨베이어 시스템으로 그리고 컨베이어 시스템으로부터의 다이렉트 로딩
TWI380146B (en) 2008-09-24 2012-12-21 Inotera Memories Inc A automatic wafer storage system and a method of controlling the said system
US8483866B2 (en) * 2009-04-30 2013-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Automated materials handling system having multiple categories of overhead buffers
US9184078B2 (en) 2011-05-07 2015-11-10 Brooks Automation, Inc. Narrow width loadport mechanism for cleanroom material transfer systems
TWI473194B (zh) 2011-09-08 2015-02-11 Inotera Memories Inc 懸吊式晶圓傳輸系統
US8944739B2 (en) * 2012-06-01 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Loadport bridge for semiconductor fabrication tools

Also Published As

Publication number Publication date
US20130294871A1 (en) 2013-11-07
CN104380454B (zh) 2018-12-07
CN104380454A (zh) 2015-02-25
KR20150013691A (ko) 2015-02-05
TWI578432B (zh) 2017-04-11
US9558978B2 (en) 2017-01-31
WO2013166383A1 (en) 2013-11-07
JP2015518662A (ja) 2015-07-02

Similar Documents

Publication Publication Date Title
TWI578432B (zh) 在半導體晶圓製程中之高效率材料處理
US6593045B2 (en) Substrate processing apparatus and method
TWI787204B (zh) 測量系統及基板處理系統、及元件製造方法、及基板測量方法
US6790286B2 (en) Substrate processing apparatus
KR101751551B1 (ko) 기판 처리 시스템, 기판 반송 방법 및 컴퓨터 기억 매체
JP2005057294A (ja) インタフェースユニット、該インタフェースユニットを含むリソグラフィ投影装置、及びデバイス製造方法
US20110180108A1 (en) Reticle cleaning method for a lithography tool and a reticle cleaning system thereof
TWI818915B (zh) 度量衡裝置及基板載物台處置器系統
KR101355693B1 (ko) 기판 반송 처리 장치
US10510571B2 (en) Reticle transfer system and method
US20180164700A1 (en) Substrate treatment system, substrate transfer method, and computer storage medium
KR20170006263A (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
JP2016154214A (ja) リソグラフィ装置、リソグラフィ方法、プログラム、リソグラフィシステムおよび物品製造方法
JP4298238B2 (ja) 基板処理装置および基板処理システム
JP5183861B2 (ja) 小ロットサイズ基板キャリアを使用する方法および半導体デバイス製造施設
US10861723B2 (en) EFEM robot auto teaching methodology
TW201317720A (zh) 微影裝置及元件製造方法
JP2013098476A (ja) 基板処理システム、基板搬送方法、プログラム及びコンピュータ記憶媒体
US9020633B1 (en) Automating storage, retrieval, and routine for process flow
JP2005244232A (ja) リソグラフィ装置用の搬送システム及びデバイス製造方法
TW202040641A (zh) 基板處理設備以及物品製造方法
US11984331B2 (en) EFEM robot auto teaching methodology
JP3818620B2 (ja) 露光装置
CN117747506A (zh) 对efem机器人进行编程的方法及其自动教学元件
KR20230058659A (ko) 인 시투 스테이지 수정을 위한 능동 레티클 캐리어