JP2015518662A - 半導体ウエハ加工における効率的な材料処理 - Google Patents

半導体ウエハ加工における効率的な材料処理 Download PDF

Info

Publication number
JP2015518662A
JP2015518662A JP2015510479A JP2015510479A JP2015518662A JP 2015518662 A JP2015518662 A JP 2015518662A JP 2015510479 A JP2015510479 A JP 2015510479A JP 2015510479 A JP2015510479 A JP 2015510479A JP 2015518662 A JP2015518662 A JP 2015518662A
Authority
JP
Japan
Prior art keywords
tool
amhs
dedicated
tools
material processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015510479A
Other languages
English (en)
Inventor
アミール ヴィトマン
アミール ヴィトマン
ミカエル イー アデル
ミカエル イー アデル
パティ セクラ
パティ セクラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2015518662A publication Critical patent/JP2015518662A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Abstract

装置は、搬送経路を製造設備内の複数のツールから選択された第1のツールと第2のツールとの間に画定する、専用自動材料処理システム(AMHS)を有する、専用材料処理モジュールを含む。専用AMHSは、ウエハキャリアを第1のツールと第2のツールとの間で搬送するように構成されるか、または逆に、ウエハキャリアを複数のツール間で搬送するように構成される製造設備AMHSから独立して構成される。本要約は、研究者または他の読者が技術的開示の性質をすぐに確認できるように、要約を必要とする規則に準拠して提供されるものであることを強調しておく。これは、本特許請求項の範囲または意味を解釈または限定するために使用されるものではないという理解のもとに提出される。

Description

優先権の主張
本出願は、その開示全体が参照によって本明細書に組み込まれる、Amir Widmannらにより2012年5月4日に出願され、「リソグラフィセル付近における効率的な材料処理」という名称の、共同所有で同時係属の米国特許仮出願第61/642,747号の非仮出願であり、その優先権利益を主張する。
本出願は、その開示全体が参照によって本明細書に組み込まれる、Amir Widmannらにより2012年5月7日に出願され、「リソグラフィセル付近における効率的な材料処理」という名称の、共同所有で同時係属の米国特許仮出願第61/643,539号の非仮出願であり、その優先権利益を主張する。
本開示は、一般に材料処理システムに関し、より詳細にはリソグラフィと検査ステーションとの間の仕掛品(WIP)の効率的な搬送が可能な、半導体設備における自動材料処理システム(AMHS)に関する。
現代の半導体製造設備(fab)は、通常様々な異なるツールを使用して、集積回路(IC)をシリコンウエハ上に生産する際など、様々な製造ステップをウエハ上で実行する。例えば、fabは様々な異なる加工および検査ステップ、例えば、リソグラフィ、計測、エッチング、イオン注入、蒸着などを実行するためのツールを含んでもよい。様々な異なるツールの中で仕掛品(WIP)を効率的に搬送して異なる製造ステップをWIPに実行するために、材料処理システムは、概ねfabにおいて利用される。現在および次世代のfabにおける材料処理システムは、通常自動材料処理システム(AMHS)を含み、また設備内で作業者による若干の材料の人力搬送も含んでもよい。
一般に製造設備内で利用される自動材料処理システムには、例えば、天井吊下式搬送装置(OHT)、有軌道搬送車(RGV)、自動搬送車(AGV)、天井シャトル(OHS)、コンベアシステム、およびそれらの組合せが含まれる。設備内で使用される特定のタイプのAMHSに関わらず、AMHSは、ウエハをカセットまたはキャリアと呼ばれるロットコンテナ内の様々なツールに搬送することが多く、カセットまたはキャリアのそれぞれは複数のウエハを一度に保持する。一般に利用されるウエハキャリアは、機械的インターフェース(SMIF)ポッドおよび正面開口式一体型ポッド(FOUP)を含み、そのそれぞれは複数のウエハを保持し、それぞれのツールの材料ハンドラによりその中に含まれた個々のウエハにアクセス可能であってもよい。300mmウエハの出現によって、FOUP内のウエハを搬送する自動OHTシステムが次第に普及してきた。
それぞれのツールのステーションは、概してfabのAMHSを介してロット内の材料ハンドラに搬送されるWIPを処理するための、1つまたは複数の材料ハンドラを含んでもよい。ツールのステーションにおける材料ハンドラは、概してfabのAMHSを介してFOUPを積み降ろしするための1つまたは複数のロードポート(LP)、ならびに個々のウエハをFOUPから取り除き、特定の加工または測定ステップのために個々のウエハをツールに搬送するためのロボット処理システムを含んでもよい。
またfab設備の材料処理システムは、ロットを保管するため、例えば、様々なツールの中の時間偏差に起因してウエハを一時的に保存するため、または様々な製造ベイ間でストッカの搬送を容易にするために保管するなどのために、1つまたは複数のストッカを有してもよい。それぞれのストッカは、FOUPをfabのAMHSに積み降ろしするための1つまたは複数のロードポートを有してもよい。また設備AMHSは、設備内のWIPの流れを制御し、AMHS内の様々な搬送およびストッカモジュールにコマンドを発するための、材料制御システム(MCS)を含んでもよい。
例として、かつ非限定として、半導体fabの典型的なAMHSは、設備内の様々なツールおよび/またはストッカ間の経路を画定する軌道を含む、OHTシステムを利用してもよい。軌道は、設備の天井付近に配置され、軌道上を移動する1つまたは複数のコンピュータで制御されたOHT車両を有してもよい。それぞれの車両は、FOUPをストッカまたはツールのロードポートから把持するための把持部、およびFOUPを上下させるために把持部を上下させる吊下げ機構を含んでもよい。通常作動では、OHT車両は、把持部を下げ、FOUPをロードポートから取得するために、ツールまたはストッカのロードポートの上に位置付けられてもよい。吊下げ機構はFOUPを上昇させ、次いでOHT車両は、保管または次の製造ステップのためにFOUPを降ろすために、FOUPを軌道に沿ってツールまたはストッカの別のロードポートに搬送する。これらのロットの搬送のそれぞれは、fabのMCSから受信された搬送コマンドに従って実行されてもよい。
リソグラフィ加工ステーションと計測または他の検査ステーションとの間でウエハを移動させるために、いくつかの異なる半導体製造設備配置および材料搬送構造が現在利用可能である。このような構造で頻繁に実行される計測および/または検査行為の例には、重ね合わせ、臨界寸法、焦点、注入量(dose)、膜厚、ならびにマクロおよびミクロ欠陥検査が含まれるが、これらに限定されない。WIPをリソグラフィ加工セルから計測ステーションに迅速に搬送するには、リソグラフィの再加工の可能性のためにリソグラフィ半導体加工が特に必要不可欠であり、例えば、計測または検査結果が所定限度を超える場合に、レジスト除去および追加のリソグラフィ加工のために、計測または検査結果後にWIPはリソグラフィ加工セルに戻される。
図1では、独立型構成100を有するような1つの配置が示されており、リソグラフィ後の計測ステーション105aは、それ自体のウエハ・ハンドラ110を備えた個別のものである。セル105b内のロボット120は、個々のウエハを1つまたは複数のロードポート104におけるFOUPと加工ツール106との間で搬送する。ロボット120は、ウエハをセル105bとツール106との間でスリットバルブ108を介して搬送する。計測ステーション105aを製造設備内に任意に配置することができるが、リソグラフィ加工セル105bに近接して配置することが多い。FOUPを計測状態105aとセル105bとの間でfabのAMHSを介して搬送することができる。この構成により、製造設備AMHSが材料をステーションから出し入れして運ぶのに依存して、単一の計測ステーションが多数のリソグラフィ加工セルの役目を果たすことができるので、この構成は柔軟性および所有コストの観点から有利である。この独立型構成では、ウエハは、概してリソグラフィ加工セル105bとカセット内の計測ステーション105aとの間で搬送される。しかし、独立型構成100には、設備AMHSが完成したロットをリソグラフィセル105bから搬送するのを計測システム105aが待機中に、結果を得るまでの時間が長く変動するという大きな難点がある。
図2では、一体型計測構造200を有するような別の配置を示し、計測ステーション205aはリソグラフィ加工セル205bと一体化されている。図1に示された構成100におけるように、ウエハは1つまたは複数のロードポート204におけるFOUPとセル205bとの間をロボット220によって搬送される。この構成では、ロボット220は、単一のウエハを測定のためにリソグラフィツール206と計測ステーション205aとの間で直接搬送することができる。一体型構成200の極めて重要な恩恵は、結果を得るまでの時間であり、ロット全体の加工を完成する前にウエハを個々に計測ステーション205aに搬送でき、それによってロットの加工時間内に結果をフィードバックできるためである。
図3では、組込型計測構成300を有するようなさらに別の配置を示し、それによって計測ステーション305aが露光ツール325に一体化され、露光の直後に、また現像または露光後ベーキングなどの次の加工前に測定するために、単一のウエハを露光ツール325から計測ステーション305aに搬送する。これは、結果を得るまでの時間に関してさらなる恩恵を有するが、加工前は画像のコントラストが低いため計測が困難であるという難点がある。
一体型構成および組込型計測構成のどちらも、計測ステーションはリソグラフィセル専用であり、その結果ロット加工期間中、およびロット加工期間とロット加工期間との間で計測ステーションに対して著しい空き時間をもたらすので、所有コストの不利益を被る。同様に、独立型構成は、完成したロットをリソグラフィステーションから搬送するために設備レベルのAMHSに依存するので、独立型構成は、結果を得るまでの時間が長く変動するという大きな難点がある。
こうした背景のもとに、本開示の態様が生じる。
本開示の一態様によれば、装置は、搬送経路を製造設備内の複数のツールから選択された第1のツールと第2のツールとの間に画定する、専用自動材料処理システム(AMHS)を有する、専用材料処理モジュールを備えてもよい。専用システムは、ウエハキャリアを第1のツールと第2のツールとの間で搬送するように構成されてもよく、または逆にウエハキャリアを複数のツール間で搬送するように構成された製造設備AMHSから独立して構成されてもよい。
一部の実装形態では、装置は、第1のツールをさらに含んでもよい。一部の実装形態では、第1のツールは基板加工ツールであってもよく、第2のツールは分析ツールであってもよい。一部の実装形態では、加工ツールはリソグラフィツールであってもよく、分析ツールは計測ツールまたは検査ツールであってもよい。他の実装形態では、専用材料処理モジュールはミニストッカをさらに含んでもよく、ミニストッカは専用AMHSの搬送経路と接続する。一層他の実装形態では、製造設備AMHSは、天井吊下式搬送装置(OHT)システムであってもよく、製造設備AMHSの搬送経路は、複数の各ツールに延在する軌道によって画定されてもよい。さらに他の追加の実装形態では、専用AMHSはOHTシステムであってもよく、専用AMHSの搬送経路は加工ツールとレビューツールとの間に延在する軌道によって画定されてもよい。さらなる実装形態では、基板キャリアは正面開口式一体型ポッド(FOUP)であってもよい。
ある特定の代替的実装形態では、ウエハキャリアはFOUPであってもよく、専用材料処理モジュールはミニストッカをさらに含んでもよく、設備AMHSはOHTシステムであり、第1のAMHSの搬送経路は、複数の各ツールに延在する軌道によって画定され、専用AMHSはOHTシステムであり、第2のAMHSの搬送経路は、ミニストッカと接続し、第1のツールと第2のツールとの間に延在する軌道によって画定されてもよい。
ある特定の他の代替的実装形態では、製造設備AMHSはOHTシステムであってもよく、設備AMHSの搬送経路は、複数の各ツールに延在する軌道によって画定されてもよく、専用AMHSもOHTシステムであってもよく、専用AMHSの搬送経路は、サブセット内の各ツールに延在する軌道によって画定されてもよい。
本開示の別の態様によれば、方法は、設備材料処理システム(AMHS)および複数のツールを有する製造設備において実施されてもよい。設備材料処理システムは、製造設備内で複数のツールを連結させる搬送経路を画定し、設備AMHSはウエハキャリアを搬送するように構成されてもよい。方法は専用材料処理モジュールを設備に設置することを含んでもよく、該専用材料処理モジュールは、複数のツールから選択された第1のツールと第2のツールを連結させる搬送経路を画定する専用AMHSを含む。専用AMHSは、基板キャリアを第1のツールと第2のツールとの間で搬送するように構成され、または逆に設備AMHSから独立して構成される。ある特定の実装形態によれば、第1のツールは加工ツールであってもよく、第2のツールは分析ツールであってもよい。加工ツールはリソグラフィツールであってもよく、分析ツールは計測ツールまたは検査ツールであってもよい。一部の実装形態では、設備AMHSは、天井吊下式搬送装置(OHT)システムであってもよく、専用AMHSも天井吊下式搬送装置(OHT)システムであってもよい。このような実装形態では、専用材料処理モジュールを設置することは、第2のAMHSの軌道を製造設備の天井付近、および第1のAMHSの軌道の下に位置付けることを含んでもよい。一部の実装形態では、専用材料処理モジュールを設置することは、第2のAMHSの軌道を加工ツールと分析ツールとの間に位置付けることを含んでもよい。一部の実装形態では、専用材料処理モジュールは、ミニストッカをさらに含む。
本開示の追加の態様によれば、代替的方法は、設備材料処理システム(AMHS)および複数のツールを有する製造設備内で実施されてもよい。設備材料処理システムは、製造設備内の複数のツールを連結させる搬送経路を画定してもよい。設備AMHSはウエハキャリアを搬送するように構成されてもよい。代替的方法は、1つまたは複数のウエハ加工ステップの第1の組を1つまたは複数の半導体ウエハ上で複数のツールの第1のツールを使用して実行すること、および1つまたは複数の半導体ウエハをウエハキャリア内の複数のツールから選択された第1のツールから第2のツールに専用材料処理モジュールを介して搬送することを含んでもよい。専用材料処理モジュールは、第1のツールと第2のツールを連結させる搬送経路を画定する専用AMHSを含んでもよい。専用AMHSは、基板キャリアを第1のツールと第2のツールとの間で搬送するように構成されてもよく、または逆に設備AMHSから独立して構成されてもよい。
代替的方法の一部の実装形態では、ウエハ加工ステップの第1の組を実行することは、半導体デバイスをウエハ上で製造することを含んでもよい。一部の実装形態では、第2のツールは分析ツールであってもよい。一部の実装形態では、代替的方法は、結果を獲得するためにウエハを分析ツールで分析すること、および結果が所定限度を超える際に、ウエハキャリア内のウエハを専用材料処理モジュールを備えた第1のツールに送り返すことをさらに含んでもよい。
本開示の目的および利点は、以下の詳細を読み、添付図面を参照にすると明らかとなろう。
独立型計測構成を有するfab設備配置の概略図である。 一体型計測構成を有するfab設備配置の概略図である。 組込型計測構成を有するfab設備配置の概略図である。 本開示の一実施形態による、専用材料処理モジュールを有するfab設備配置の概略図である。 本開示の一実施形態による、専用材料処理モジュールおよび設備レベルAMHSを有するfab設備の概略図である。
以下の詳述は、例示目的のために多くの具体的な詳細を含むが、以下の詳細の多くの変形形態および代替形態は、本発明の範囲内であることが当業者には理解されよう。したがって、以下に記載された本発明の例示的実施形態は、特許請求された発明のいかなる一般性を失うことなく、また特許請求された発明に制限を課すことなく説明される。
本開示の態様は、設備内の複数のツール間で材料のロットを搬送する設備レベルのAMHS、および材料のロットを設備内の複数のツールから選択された第1のツールと第2のツールとの間で搬送する専用材料処理モジュールの両方を含む、製造設備における材料処理システムに関する。設備レベルのAMHSと同じく、専用材料処理モジュールは、異なるツールでの製造ステップに対する設備内のツール間の個々のウエハより、むしろFOUPまたは他のウエハキャリアなどの、容器内のウエハロットを搬送するように構成されてもよい。設備内のすべてまたは多くのツールの中でWIPの搬送に関与する設備レベルのAMHSと違い、専用材料処理モジュールの範囲は、2つの選択されたツールの付近でWIPの搬送の効率を最大にするために、2つの選択されたツール間のロットの搬送に限定される。2つのツールは、製造過程に関する材料の流れの問題に起因して、そのために2つのツール間で反復される搬送が設備内で一般的であるサブセットとして、複数の設備ツールから選択されてもよい。したがって、専用材料処理モジュールは、そうでなければ材料を設備レベルのAMHSを介して搬送することによって達成されるはずであるより、効率的な材料の搬送をそれらのツール間で促進し得る。
本開示の一態様では、これらの2つのツールは、所定限度を超えるにレビューツールからの結果に起因して再加工を加速するために、加工ツールおよび分析ツールであってもよい。例として、かつ非限定として、レビューツールは検査または計測ツールであってもよく、加工ツールは、露光ツール、エッチングツール、蒸着ツール、または一般に半導体fabに利用され得るような他のツールなどのリソグラフィツールであってもよい。
本明細書において使用される場合、用語「分析ツール」は、基板加工ステップ前、基板加工ステップ中、基板加工ステップ後、または基板加工ステップと基板加工ステップとの間に、基板上で測定を実行するために使用されるツールを網羅することを意図する。分析ツールは、計測ツール、検査ツール、およびレビューツールを含むがこれらに限定されない部分集合に分類されてもよい。
計測ツールは、概して測定を行い、一部の物理的特性の値に対応する出力を提供することにより、分析を実行するように構成される。出力値は、通常数値または数値の組であり、これはアナログまたはデジタルの形で送信または記憶されてもよい。計測ツールの例には、重ね合わせツール、干渉計、臨界寸法(CD)ツール(例えば、CD走査型電子顕微鏡(CD−SEM))、膜厚ツール、イオン注入計測ツール、表面形状ツール、抵抗率計測ツール、レチクルパターン配置計測ツール、端部計測ツール、反射率計、および偏光解析器が含まれるが、これらに限定されない。
市販の重ね合わせ計測ツールの具体例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のArcherシリーズの重ね合わせツールが含まれる。
光学CD計測ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のSpectraShapeの光学CDツールが含まれる。
光学膜厚/屈折率/応力計測ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のAlerisファミリー、ASET−F5x、およびSpectraFxツールが含まれる。
ウエハ形状およびトポグラフィを測定するための計測ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のWaferSightツールが含まれる。加えて、KLA−Tencor製のSurfscan SPxシリーズのツールのためのSURFmonitorモジュールは、ブランケット膜およびベア基板上のサブオングスロトーム表面トポグラフィの変動を示すことができる。
イオン注入計測ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のThermaProbeツールが含まれる。
表面形状計測ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のHRP−x50自動触針式表面粗さ計が含まれる。
抵抗率計測ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のRS−x00シート抵抗マッピングツールが含まれる。
レチクルパターン配置計測ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のIPROシリーズのツールが含まれる。
端部計測ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のVisEdgeファミリーのツールが含まれる。
検査ツールは、概して欠陥、すなわち異常であるものを探すように構成されている。検査ツールの通常の出力は、基板または基板の一部に対して領域当たりの欠陥のカウントである。検査ツールの例には、パターン形成された、またはパターン形成されていないウエハに対する光学および電子ビームウエハ検査システム、マクロ欠陥検査ツール、端部欠陥検査ツール、赤外線検査ツール、およびレチクル検査ツールが含まれるが、これらに限定されない。
市販の検査ツールの具体例には、以下が含まれるが、これらに限定されない。
パターン形成されたウエハに対する光学ウエハ検査ツールには、28XXシリーズおよび29XXシリーズの広帯域光学欠陥検査ツール、ならびにPumaシリーズのレーザーを基にした光学欠陥検査ツール、ならびに8900高速明視野/暗視野光学欠陥検査ツールが含まれ、それらはすべて、米国カリフォルニア州MilpitasのKLA−Tencor Corporationから市販されている。
パターン形成されたウエハに対する電子ビームウエハ検査ツールには、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のeS800電子ビーム欠陥検査システムが含まれる。
パターン形成されていないウエハに対する光学ウエハ検査ツールには、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のSurfscan SPxおよびシリーズおよびSURFmonitor(SURFmonitorはSPxツールのオプションである)ウエハ欠陥検査ツールが含まれる。
端部欠陥検査ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のVisEdgeファミリーのツールが含まれる。
マクロ欠陥検査ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のLDS赤外線欠陥検査ツールおよびレビューステーションが含まれる。
赤外線欠陥検査ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のIRIS赤外線欠陥検査ツールおよびレビューステーションが含まれる。
レチクル検査ツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のTeraFabシリーズのPhotomask検査ツールが含まれる。
また検査ツールは、製造されたデバイスのバック・エンド・オブ・ライン(BEOL)検査用に構成されてもよい。BEOL検査ツールの例には、マイクロプロセッサまたはメモリチップなどの、トレイ内で処理される様々な半導体部品を検査するように構成された部品検査ツールが含まれるが、これに限定されない。部品欠陥検査ツール機能には、梱包の表面外観、識別マーク、および配向を確認するために、三次元共平面検査(3D coplanarity inspection)、接触の均一性の測定、ならびに二次元表面検査(2D surface inspection)が含まれるが、これに限定されない。またBEOL検査ツールは、ダイシングされたウエハもしくはダイシングされていないウエハ、またはフィルムフレーム・キャリア上に装着されたダイシングされたウエハを検査するように構成されてもよい。このようなツールは、ウエハの表面品質、ウエハ切断の品質、またはウエハバンプを検査するように構成されてもよい。
BEOL検査ツールの市販の例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のICOS CI−T620、ICOS CI−T120/CI−T130、ICOS CI−T120S/CI−T130S、およびICOS CI−3050モデルツールが含まれるが、これらに限定されない。
レビューツールは、概して計測または検査ツールの出力を取り、さらに調査するように構成される。計測を見直すために、レビューツールは、値が異なる理由を調査するように構成されてもよい。検査のためにレビューツールは、検査ツールによって発見された欠陥の性質を調査するように構成されてもよい。レビューツールの例には、電子ビーム、光学、または赤外線レビューツールが含まれる。電子ビームレビューツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のeDR−7000シリーズのSEMを基にした欠陥レビューおよび分類システムが含まれる。光学レビューツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のINX−3x00シリーズの自動全長波域光学レビューシステムが含まれる。赤外線レビューツールの例には、米国カリフォルニア州MilpitasのKLA−Tencor Corporation製のIRIS赤外線検査およびレビューステーションが含まれる。
計測およびレビュー機能の両方を実施することができるツールがあり、レビューおよび検査機能を実施することができるものもあり、計測および検査機能を実施することができるものもあり、3つの機能すべてを実施することができるものもあることに留意されたい。したがって、これらの機能の2つ以上が同じタイプのツール、または場合によっては同じツールによって実施することが可能である。
本明細書において使用される場合、用語「加工ツール」は、概して基板上で様々な製造過程を実行するために使用されるあらゆる数の異なるタイプのツールを指す。このような過程の例には、パターン形成、エッチング、材料蒸着、レジスト剥離(resist stripping)、洗浄、研磨、イオン注入、焼きなましが含まれるが、これらに限定されない。
本発明の実施形態の例として、かつ非限定として、加工ツールは、概してスキャナまたはステッパ現像装置などのリソグラフのパターン形成ツールを含んでもよい。このような現像装置は、スライド映写機または写真用引伸機の作動に類似している。このようなツールは、半導体ウエハの基板上に微細回路素子を形成するために使用されるフォトリソグラフィ工程に使用されることが多い。パターン形成ツールでは、基板、例えば半導体ウエハはステージ上に保持されてもよく、これはチャック、例えば、真空チャックまたは静電チャックを含んでもよい。回路またはIC上に生成される他の構成要素の素子は、フォトマスクまたはレチクルの表面上の透明領域および不透明領域のパターンに再生される。レチクル上のパターンは、単一のダイまたはチップに対するパターンに対応することが多い。ソースからの光はレチクルを通過し、レチクルパターンの画像を形成する。画像はレンズによって焦点を合わせられ、場合によっては縮小され、フォトレジストで被覆された基板の表面上に投影される。レジスト上に焦点を合わせた画像は、露光領域と呼ばれることが多い。露光後、被覆された基板は、化学的に現像されてもよく、露光中に受けた領域への光の量により、フォトレジストが特定の領域で溶解する。これによりレチクル上のパターンがレジストに伝達される。パターン形成ツールは、露光の前後いずれかにレジストの加熱を促進する、例えばレジストを硬化するために、加熱ランプなどの加熱要素を備えてもよい。パターン形成ツールは、1つのダイを露光後に基板を動かす整合システムをもつステッパであってもよく、その結果、基板の別の部分が同じ露光領域で露光され得る。
加工ツールは、別法としてスキャナとして構成されてもよい。スキャナは、レチクルおよびステージが露光中に互いに反対方向に移動することにより、露光領域の長さを増加させるステッパである。領域全体を一度に露光する代わりに、露光は露光領域と同じ広さだがその長さのほんの一部(例えば、33x26mm領域に対して8x26mmのスリットが業界基準である)である「露光スリット」を通って行われる。露光スリットからの画像は、基板上の露光領域を横切って走査される。
例として、かつ一般性を失うことなく、加工ツールはまた、電子ビームリソグラフィツールとして構成されてもよい。スキャナおよびステッパと違い、電子ビームリソグラフィツールは直接書き込むリソグラフィシステムである。電子のビームは、フォトマスクを必要とすることなく、レジストで被覆された基板を直接横切る。例として、かつ一般性を失うことなく、加工ツールはまた、誘導自己組織化(DSA)リソグラフィツールであってもよい。DSAは、規則構造を生成するために、材料内で好ましい接着配向などの自然工程を利用する。これらの工程の操作を使用して、ストリップを形成でき、ナノメートルの外形を達成するために化学変化することによって微調整できる。
半導体製造において、現像されたレジストを備える基板は、さらなる加工、例えばエッチングまたは蒸着を受けてもよい。このような工程は、他のタイプの加工ツールで生じてもよい。このような加工ツールは、スピンコータを含んでもよく、スピンコータはレジストを基板または予備焼成チャンバ上に堆積し、レジストは露光または露光ツール内に現像する前に加熱される。例として、かつ一般性を失うことなく、他のタイプの加工ツールには、蒸着ツール、エッチングツール、イオン注入ツール、レジスト塗布ツール、レジスト剥離ツール、および化学機械研磨(CMP)ツールが含まれるが、これらに限定されない。
設備レベルのAMHSは、例えば、天井吊下式搬送装置(OHT)システム、有軌道搬送車(RGV)システム、自動搬送車(AGV)システム、天井シャトル(OHS)システム、コンベアシステム、またはそれらの一部の組合せなどの、半導体fabで通常利用されるあらゆる様々な材料処理システムを含んでもよい。
また専用材料処理モジュールは、自動材料処理システムを含んでもよく、自動材料処理システムの範囲は、それに対して専用材料処理モジュールが選択されるツールのサブセットに限定される。専用モジュールによって利用されるAMHSは、例えば、天井吊下式搬送装置(OHT)システム、有軌道搬送車(RGV)システム、自動搬送車(AGV)システム、天井シャトル(OHS)システム、またはコンベアシステムであってもよい。専用材料処理モジュールによって利用される材料システムのタイプは、設備レベルのAMHSによって利用されるシステムと同じタイプであってもよく、または設備レベルのAMHSと異なるタイプであってもよい。
専用材料処理モジュールは、例えば、ツールのサブセット間の搬送のためにロットを一時的に保管するための1つまたは複数のロードポートを含む、ストッカ、ミニストッカ、またはバッファステーションなどの、一時保管装置をさらに含んでもよい。一時保管装置は、一時保管装置が設備内に追加の床面積を使わないように、設備の天井付近に、かつ専用材料処理モジュールの軌道の下または隣接して配置された、天井バッファ(OHB)ステーションの形のミニストッカであってもよい。このOHBステーションは、サブセット内のツールの1つの上、または専用材料処理モジュールによって画定された経路に任意に沿って配置されてもよい。AMHSシステムが使用可能な別のタイプのミニストッカは、「近接ツールバッファ(Near Tool Buffer)」であり、これは加工/計測ツールの正面または隣に配置されてもよい。このようなミニストッカは全体的なAMHSシステムの一部であってもよい。
本開示の一態様による装置400の一例は図4に示されているが、多くの詳細が例示を目的として図4に示された実施形態から割愛されていることが理解されよう。図1に示された独立型構成と同様に、計測ステーション405aは、ロットカセットを受領し、ウエハを計測測定チャンク上に搬送するために、ウエハ・ハンドラ410を含む。しかし図1〜3を参照して上に記載した構成とは対照的に、ロットカセットを加工ツール405b(例えば、リソグラフィ加工セル)と分析ツール405a、例えば計測ステーションとの間で搬送する、追加の専用材料処理モジュール455が存在する。
分析ツール405a、加工ツール405b、および専用材料処理モジュール455は、制御装置430の制御の下で作動してもよい。
一実施形態では、専用材料処理モジュール455は、ミニストッカおよび自動材料処理システムを天井吊下式搬送装置(OHT)システムの形で含んでもよい。本開示による専用材料処理モジュール内に実装されてもよいOHTシステムの一例は、その開示全体が参照によって本明細書に組み込まれる、Dohertyらによって出願された「垂直回転と天井吊下の組合せに基づいた半導体製造のための自動材料処理システム(AUTOMATED MATERIAL HANDLING SYSTEM FOR SEMICONDUCTOR MANUFACTURING BASED ON A COMBINATION OF VERTICAL CAROUSELS AND OVERHEAD HOISTS)」という名称の米国特許第7,771,153号に記載されている。
図1〜3を参照して上に記載された構成および図4の実施形態に特有の構成とは対照的に、リソグラフィ加工セル405bと計測ステーション405aとの間の専用材料処理モジュールとして、このミニストッカおよびOHTシステムを使用することは、上述の再加工のオプションの加速に起因して特別な意味をもつ。これは、一体型または組込型構成によって達成されるのと同じ、結果を得るまでの時間の加速を達成しないことがあるが、これは総所有コストに利点を与えることができる。非常に高額の計測ステーションに費やす一体型構成または組込型構成とは対照的に、材料処理モジュール455のミニストッカおよびOHTシステムのみが、リソグラフィ加工セル405bに専用となる。したがって、計測ステーション405aは、WIPを隣接のリソグラフィ加工セル405bから専用材料処理モジュール455を介して、または材料が局所的に得られない場合、例えば、他のリソグラフィセルもしくはポストエッチング加工などの設備内の他の場所からfabレベルのAMHS全体を介して迅速に直接受領することができる。
さらに、専用材料処理モジュールをこの手法で利用して結果を得るまでの時間の利点は、2要素からなり得ることに留意されたい。一つは、ロットを得るまでの平均時間は、専用材料処理モジュールが計測ステーションとリソグラフィステーションとの間に直接連結部を生成することに起因して低減され得ることである。もう一つは、結果を得るまでの時間の分布の幅(すなわち、標準偏差)は、専用モジュールの役割が限定されたことに起因して著しく減少することがあり、それによってより予想可能で確定的な材料の流れが製造設備内に生み出される。
本開示のさらなる利点は、一部の実施形態では、該構成は既存の製造設備建築に改造を加えてもよい一方で、製造設備の不動産への消費は最低であるか、または製造設備の不動産をさらに消費することがないことであることがある。非限定的例として、一部の実施形態では、ミニストッカは、専用OHTシステムの軌道の下または隣接して配置された天井バッファ(OHB)ステーションの形であってもよい。したがって、2つのステーションの間に専用に追加される材料処理モジュールが比較的安いコストであるために、結果を得るまでの時間を向上させ得る。例示的実施形態では、設備の向上は、専用材料処理モジュールをリソグラフィセルと計測ステーションとの間に、かつfabレベルのAMHSの物理的下に挿入することによって実施することができる。
工場自動化の観点から、計測ステーションは今や2つのシナリオを果たすことができることにさらに留意されたい。一つは、計測ステーションは、計測ステーションが専用材料処理モジュールを通って連結される特定のリソグラフィ加工セルからWIPを受領し得るものである。もう一つは、計測ステーションは、独立型計測ステーションとしてfabレベルのAMHSを介してWIPを受領し得るものである。この柔軟性により、特定のリソグラフィ加工セルが、例えば定期保守などによって停止している際に、計測ステーションが止まらないことを確実にすることができる。
図5では、本開示の実施形態による半導体製造設備内の材料処理システムが示されている。示された実施形態では、ベイ内AMHSを有する設備の単一のベイが例示目的で示されているが、本開示による材料処理システムは、例えば、ベイ間システム、ベイ内システム、またはそれらの組合せを含んでもよいことが理解できる。
示された実施形態では、材料処理システム500は、第1のAMHS550および専用材料処理モジュール555を含む。第1のAMHS550は、複数のツール505a〜hとメインストッカ570を連結する搬送経路560を画定してもよい。ストッカ570は、概してカセットの入出力のための1つまたは複数のロードポートを有してもよく、例えば、異なるツールのステーション505a〜hで実行された製造ステップのタイミングの偏差に起因して、または別のベイへのカセットの搬送を促進するために望まれ得るように、製造中にWIPの保管を提供してもよい。
示された実施形態では、第1のAMHS550は、軌道560を有する天井吊下式搬送装置(OHT)システムとして示されており、これは、製造設備の天井付近に固定されてもよい。軌道560は、複数のツール505a〜hならびにストッカ570への経路を画定する。また第1のOHTシステムは、軌道560上を移動する1つまたは複数の搬送車両552を有してもよく、これは、仕掛品(WIP)を様々なツール505a〜hおよびストッカ570の中から搬送するために、ウエハキャリアを把持する。また材料処理システムは、WIPのベイ間で搬送するための別の自動システムを含んでもよく、この場合は設備の材料処理システムは、ベイ間の搬送を促進するために複数のメインストッカを含んでもよい。
示された実施形態では、材料処理システム500は、専用材料処理モジュール555をさらに含み、専用材料処理モジュール555は、カセットをリソグラフィステーション505aと検査ステーション505bとの間での搬送に専用にされた第2のAMHSを有し、それによってステーション505bで実行される検査からの結果に基づいた再加工に起因して、結果を得るまでの時間が加速される。また専用材料処理モジュール555のAMHSは、専用軌道575を有するOHTシステムを含むように示されており、専用軌道575は、例えば、製造設備の天井に近いが第1のAMHSの軌道560より低く配置されてもよい。専用軌道575は、2つのツール505aと505bとの間の環を走り、またミニストッカ580と接続する、2つのツール505aと505bとの間に搬送経路を画定する。またミニストッカは、2つのツール間で搬送されたロットを一時的に保管できるために、1つまたは複数のロートポートを有してもよい。1つまたは複数の専用OHT車両558は軌道上を移動してもよく、FOUPなどのウエハキャリアを搬送し、ツール505a、505b、およびミニストッカ580におけるロードポートと接続するように構成される。
材料処理システム500では、複数のツール505a〜hのそれぞれは、ロットをウエハキャリアに材料処理システム500の車両を介して積み降ろしするために、1つまたは複数のロードポートを有してもよい。複数のツール505a〜hのロードポートは、第1のAMHS550の軌道560と接続する一方で、リソグラフィステーション505aおよび検査ステーション505bのロードポートは、専用材料処理モジュール555の軌道575とさらに接続する。したがって、ツール505aおよび505bのサブセットは、第1のAMHS550および専用材料処理モジュール555の第2のAMHSの両方と両者のそれぞれの軌道を介して接続してもよく、それによってロットの入出がfabレベルのAMHS、専用材料処理モジュール555、またはそれらの組合せを介して可能になる。
示された実施形態では、専用モジュール555のミニストッカ580は、専用モジュールの軌道575によって画定された経路に任意に沿って配置されてもよい。しかし一部の実施形態では、ミニストッカは、リソグラフィツール505bなどのツールの1つに、ツールの上に直接配置される、またはツールの前端部に一体化されることによって配置されてもよい。またさらなる実施形態では、ミニストッカは、設備の床上に任意に配置された自立型ストッカであってもよい。
このようにして、検査ステーション505aは、専用材料処理モジュール555を介してWIPをリソグラフィステーションに直接搬送するために、専用OHTと接続することができるが、専用リソグラフィステーション505bが定期保守により停止した際に、必要に応じて、例えば、設備内の別のリソグラフィステーションなどの、設備内の他のツールにWIPを搬送するために、fabレベルのAMHS550と接続したままでもよい。図5に示された実施形態を利用して、検査ステーション505aを、リソグラフィステーション505bに専用処理モジュール555を介して連結でき、それによって図2および3を参照して上に記載された組込型構成および一体型構成の結果を得るまでの時間の利点の一部が達成される。しかし検査ステーション505aが設備レベルのAMHS550と接続したままでもよいので、検査ステーション505aは、組込型および一体型構成におけるように、検査ステーション505aが連結されているリソグラフィステーション505bに完全には依存するわけではない。この柔軟性により、材料が連結されたステーション505bから局所的に得られない際に、高額の検査ステーション505aが、例えば別のリソグラフィステーションなどの設備内の他の場所からWIPを受領できるようになる。
WIPを連結されたツールにいずれかのシステムを介して搬送することを可能にするために、専用モジュールによって連結された少なくとも1つのツールは、直接または中間インターフェースを介して、fabレベルのAMHSおよび専用材料処理モジュールのAMHSの両方によってアクセス可能なロードポートを有してもよい。一部の実施形態では、連結されたツールのそれぞれは、専用材料処理モジュールおよびfabレベルのAMHSの両方によってアクセス可能であり、その結果、WIPは、システムの予定に応じていずれかのシステムを介していずれかの連結されたツールに、またいずれかの連結されたツールから搬送されてもよい。一部の他の実施形態では、検査ステーションなどの連結されたツールの一方のみが、fabレベルの材料処理システムおよび専用モジュールのAMHSの両方によってアクセス可能である一方で、他方は専用モジュールのみにアクセス可能である。
専用モジュールおよび設備レベルのAMHSの両方によってツールのロードポートにアクセス可能にするために、本開示による材料処理システムは様々な方法で設定されてもよい。
例として、かつ非限定として、設備レベルのAMHSおよび専用モジュールの両方が同じタイプのシステムを利用する場合、両者は、それらの誘導がその他のシステムの車両によりツールへのアクセスを妨げないような方法で設定されてもよい。例えば、fabレベルのAMHSおよび専用材料処理モジュールがそれぞれOHTシステムを利用する場合は、専用OHTの軌道は、専用OHTの軌道がfabレベルのOHTの軌道上の車両によってツールへのアクセスを物理的に遮断しないような方法で設定されてもよい。
一部の実施形態では、専用OHTの軌道がツールへのアクセスをfabレベルのAMHSの車両によって妨害されないために、2つの軌道のそれぞれは、異なるそれぞれのポートにアクセスするように構成されてもよく、これらの異なるそれぞれのポートは特定のツールと接続してもよい。例えば、専用OHTの軌道は第1のポートの上を通過する経路を有してもよく、fabレベルのAMHSの軌道は第2のポートの上を通過する経路を有してもよく、専用OHTの軌道は、第2のポートを通過せず、それによって第2のポートは妨げられないままであることにより、fabレベルのAMHSの車両は専用OHTの軌道を過ぎて下降し、第2のポートにアクセス可能になる。例として、かつ非限定として、これらの異なるポートは、ツールの1つの異なるロードポートであってもよく、そのツールは複数のロードポートを備える。さらに非限定例として、異なるポートは、バッファステーションまたは他のインターフェース接続装置の異なるポートであってもよく、システムは、異なるポート間で、および/または異なるポートからツールのロードポートにロットを動かすための機構を備える。
一部の実施形態では、設備レベルのAMHSおよび専用OHTの少なくとも1つは、軌道から横方向にずらして配置されたポートにアクセスするために、横運動能力を有する車両を含んでもよく、これにより車両はポートのそれぞれの軌道の下に直接配置されないポートにアクセスできるだろう。これにより、2つのシステムがそれらのそれぞれの軌道を互いに妨げることなく、同じポートと接続することが可能になるだろう。
一部の実施形態では、複数の位置を占め得る移動可能なポートが提供され、fabレベルのAMHSおよび専用材料処理モジュールは、ポートの異なる位置でポートと接続してもよい。移動可能なポートの一例は、米国特許第7,771,153号に記載されており、これには、複数のロードポートおよび/またはストッカ内の保管場所が回転方式で異なる位置に移動する、回転型ストッカが記載されている。本開示の一部の実施形態では、材料処理システムは、fabレベルのAMHSおよび専用材料処理モジュールを含んでもよく、両者は例えば、回転型ストッカの両側部に隣接した経路を画定することなどにより、回転型ストッカと接続する。それによって異なる経路は、専用材料処理モジュールの軌道が妨げることなくfabレベルのAMHSをストッカのポートにアクセスさせることを可能にし得る。非限定例として、このストッカはさらに、ツールの前端部または前端部付近に配置されてもよく、専用AMHSはロットをストッカからツールに搬送するように構成されてもよい。
例として、かつ非限定として、専用材料処理モジュールは、fabレベルのAMHSと異なるタイプのシステムを含んでもよい。例えば、fabレベルのAMHSがOHTシステムを利用する場合、専用材料処理モジュールは、RGVシステムなどの異なるタイプのシステムを含んでもよい。各システムの車両は、それぞれの誘導が互いに妨げることなく、例えば、設備の床付近に配置されてもよい専用RGVのレールが、設備の天井付近に配置されてもよいfabレベルのOHTシステムの軌道を妨げることなく、ツールの同じロードポートまたは保管設備にアクセスしてもよい。
上の一部の組合せを使用して、専用経路および/またはfabレベルのAMHSによって画定された経路内の材料の流れを最適化してもよく、要望通りにツールとそれぞれの材料処理システムとの間の接続を可能にしてもよいことにさらに留意されたい。
本開示の有利な態様は、専用材料モジュールが既存設備AMHSに改造を加えられてもよいことである。例えば、図5の例示的実施形態に戻ると、第1のAMHS550は、製造設備内に既存の設備レベルのAMHSであってもよく、専用モジュール555は、本明細書に説明された利点の一部またはすべてを達成するために、システム上に改造を加えられてもよい。これにより柔軟性および様々な材料処理構造との互換性を確実にする一方で、上記の結果を得るまでの時間の恩恵を得たままである。
本開示の態様は、図1に示された独立型構成と図2および図3に示された組込型および一体型構成との間に中間解を提供する。本開示の態様によれば、独立型の所有コストの恩恵の実質的にすべてを達成し得る一方で、また上記の一体型および組込型構成と同様の結果を得るまでの時間の実質的な加速が可能になる。
本開示の態様は、特に半導体製造設備のリソグラフィセルにおける実施を参照して説明したが、本開示は、例えば、エッチング、イオン注入、蒸着などの他の工程モジュールと併用して広く実施されてもよいことを理解されたい。
上記は本発明の好ましい実施形態の完全な記述であるが、様々な代替形態、修正形態および等価物を使用することが可能である。したがって、本発明の範囲は、上述の説明を参照することで決定されるべきではなく、代わりに添付された特許請求の範囲をそれらの等価物の十分な範囲とともに参照して決定されるべきである。好むと好まざるとに関わらず、あらゆる特徴は、好むと好まざるとに関わらず、あらゆる他の特徴と組み合わせてもよい。以下の特許請求の範囲において、不定冠詞「A」または「An」は、明示的に別段の定めがある場合を除き、その冠詞に続くものについて1つまたは複数の量を指す。添付された特許請求の範囲は、手段プラス機能の制限が語句「means for(のための手段)」を用いて所与の請求項において明確に与えられていない限り、このような制限を含んでいると解釈されるべきではない。

Claims (20)

  1. 製造設備内の複数のツールから選択された第1のツールと第2のツールとの間の搬送経路を画定する、専用自動材料処理システム(AMHS)を有する、専用材料処理モジュールを備え、
    前記専用AMHSが、前記第1のツールと前記第2のツールとの間でウエハキャリアを搬送するように構成されるか、または逆に、前記複数のツール間でウエハキャリアを搬送するように構成される製造設備AMHSから独立して構成される、装置。
  2. 前記第1のツールをさらに備える、請求項1に記載の装置。
  3. 前記第1のツールは基板加工ツールであり、前記第2のツールは分析ツールである、請求項1に記載の装置。
  4. 前記加工ツールはリソグラフィツールであり、前記分析ツールは計測ツールまたは検査ツールである、請求項3に記載の装置。
  5. 前記専用材料処理モジュールはミニストッカをさらに含み、前記ミニストッカは前記専用AMHSの前記搬送経路と接続する、請求項3に記載の装置。
  6. 前記製造設備AMHSは、天井吊下式搬送装置(OHT)システムであり、前記製造設備AMHSの搬送経路は、前記複数の各ツールに延在する軌道によって画定される、請求項3に記載の装置。
  7. 前記専用AMHSはOHTシステムであり、前記専用AMHSの前記搬送経路は、前記加工ツールと前記分析ツールとの間に延在する軌道によって画定される、請求項3に記載の装置。
  8. 基板キャリアは正面開口式一体型ポッド(FOUP)である、請求項3に記載の装置。
  9. 前記ウエハキャリアはFOUPであり、
    前記専用材料処理モジュールはミニストッカをさらに含み
    前記設備AMHSはOHTシステムであり、第1のAMHSの前記搬送経路は、前記複数の前記各ツールに延在する軌道によって画定され、および
    前記専用AMHSはOHTシステムであり、前記専用AMHSの前記搬送経路は、ミニストッカと接続し、前記第1のツールと前記第2のツールとの間に延在する軌道によって画定される、請求項1に記載の装置。
  10. 前記製造設備AMHSはOHTシステムであり、前記設備AMHSの搬送経路は、前記複数の前記各ツールに延在する軌道によって画定され、
    前記専用AMHSはOHTシステムであり、前記専用AMHSの前記搬送経路は、サブセット内の各ツールに延在する軌道によって画定される、請求項1に記載の装置。
  11. 設備材料処理システム(AMHS)および複数のツールを有する製造設備において、前記設備材料処理システムは、前記製造設備内で前記複数のツールを連結させる搬送経路を画定する第1のAMHSを有し、前記設備AMHSはウエハキャリアを搬送するように構成され、
    専用材料処理モジュールを前記設備に設置することであって、前記専用材料処理モジュールは、前記複数のツールから選択された第1のツールと第2のツールとを連結させる搬送経路を画定する専用AMHSを含み、前記専用AMHSは、基板キャリアを前記第1のツールと前記第2のツールとの間で搬送するように構成されるか、または逆に前記設備AMHSから独立して構成される、ことを含む、方法。
  12. 前記第1のツールは加工ツールであり、前記第2のツールは分析ツールである、請求項10に記載の方法。
  13. 前記加工ツールはリソグラフィツールであり、前記分析ツールは計測ツールまたは検査ツールである、請求項11に記載の方法。
  14. 前記設備AMHSは天井軌道(OHT)システムであり、前記専用AMHSはOHTシステムであり、前記専用材料処理モジュールの前記設置は、第2のAMHSの軌道を前記製造設備の天井付近、および前記第1のAMHSの軌道の下に位置付けることを含む、請求項11に記載の方法。
  15. 前記専用材料処理モジュールの前記設置は、第2のAMHSの軌道を前記加工ツールと前記分析ツールとの間に位置付けることを含む、請求項11に記載の方法。
  16. 前記専用材料処理モジュールは、ミニストッカをさらに含む、請求項11に記載の方法。
  17. 設備材料処理システム(AMHS)および複数のツールを有する製造設備において、前記設備材料処理システムは、前記製造設備内で前記複数のツールを連結させる搬送経路を画定する第1のAMHSを有し、前記設備AMHSはウエハキャリアを搬送するように構成され、
    1つまたは複数のウエハ加工ステップの第1の組を1つまたは複数の半導体ウエハ上で前記複数のツールの第1のツールを使用して実行することと、
    前記1つまたは複数の半導体ウエハをウエハキャリア内の前記複数のツールから選択された前記第1のツールから第2のツールに専用材料処理モジュールを介して搬送することであって、前記専用材料処理モジュールは、前記第1のツールと前記第2のツールとを連結させる搬送経路を画定する専用AMHSを含み、前記専用AMHSは基板キャリアを前記第1のツールと前記第2のツールとの間で搬送するように構成されるか、または逆に前記設備AMHSから独立して構成される、ことと、を含む、方法。
  18. ウエハ加工ステップの前記第1の組を実行することは、半導体デバイスをウエハ上で製造することを含む、請求項17に記載の方法。
  19. 前記第2のツールは分析ツールである、請求項17に記載の方法。
  20. 前記ウエハを分析ツールで分析して結果を得ることと、および前記結果が所定限度を超える際に、ウエハキャリア内の前記ウエハを前記専用材料処理モジュールを備えた前記第1のツールに送り返すことと、をさらに含む、請求項18に記載の方法。
JP2015510479A 2012-05-04 2013-05-03 半導体ウエハ加工における効率的な材料処理 Pending JP2015518662A (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261642747P 2012-05-04 2012-05-04
US61/642,747 2012-05-04
US201261643539P 2012-05-07 2012-05-07
US61/643,539 2012-05-07
US13/874,764 US9558978B2 (en) 2012-05-04 2013-05-01 Material handling with dedicated automated material handling system
US13/874,764 2013-05-01
PCT/US2013/039462 WO2013166383A1 (en) 2012-05-04 2013-05-03 Efficient material handling in semiconductor wafer processing

Publications (1)

Publication Number Publication Date
JP2015518662A true JP2015518662A (ja) 2015-07-02

Family

ID=49512626

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015510479A Pending JP2015518662A (ja) 2012-05-04 2013-05-03 半導体ウエハ加工における効率的な材料処理

Country Status (6)

Country Link
US (1) US9558978B2 (ja)
JP (1) JP2015518662A (ja)
KR (1) KR20150013691A (ja)
CN (1) CN104380454B (ja)
TW (1) TWI578432B (ja)
WO (1) WO2013166383A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9171765B2 (en) * 2014-02-21 2015-10-27 Globalfoundries Inc. Inline residual layer detection and characterization post via post etch using CD-SEM
CN108155110B (zh) * 2016-12-05 2019-12-31 上海新昇半导体科技有限公司 晶圆表面平坦度测量系统
US10504760B2 (en) 2017-06-28 2019-12-10 Taiwan Semiconductor Manufacturing Company Ltd. System for a semiconductor fabrication facility and method for operating the same
DE102018106751A1 (de) * 2017-07-31 2019-01-31 Taiwan Semiconductor Manufacturing Co. Ltd. Automatisiertes inspektionswerkzeug
US10622236B2 (en) * 2017-08-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for handling wafer carrier doors
US11282737B2 (en) * 2018-02-15 2022-03-22 Lam Research Corporation Moving substrate transfer chamber
US11527425B2 (en) * 2019-12-31 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for tray cassette warehousing

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6240335B1 (en) 1998-12-14 2001-05-29 Palo Alto Technologies, Inc. Distributed control system architecture and method for a material transport system
EP1184805A1 (en) 2000-08-29 2002-03-06 Motorola, Inc. Electronic device for a wafer container, wafer manufacturing system, and method
US20020197136A1 (en) 2001-06-21 2002-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for aligning the loading/unloading of a wafer cassette to/from a loadport by an overhead hoist transport system
US6726429B2 (en) 2002-02-19 2004-04-27 Vertical Solutions, Inc. Local store for a wafer processing station
US7165927B2 (en) * 2002-06-19 2007-01-23 Brooks Automation, Inc. Automated material handling system for semiconductor manufacturing based on a combination of vertical carousels and overhead hoists
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
AU2003284051A1 (en) * 2002-10-11 2004-05-04 Brooks Automation, Inc. Access to one or more levels of material storage shelves by an overhead hoist transport vehicle from a single track position
US7778721B2 (en) 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US6990721B2 (en) 2003-03-21 2006-01-31 Brooks Automation, Inc. Growth model automated material handling system
JP4331092B2 (ja) 2003-10-17 2009-09-16 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ処理セル、リソグラフィ装置、トラック、及びデバイス製造法
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
EP1883958A2 (en) 2005-05-16 2008-02-06 Asyst Technologies, Inc. Modular terminal for high-throughput amhs
EP1945541B1 (en) * 2005-11-07 2013-04-10 Brooks Automation, Inc. Transport system
US20080107507A1 (en) 2005-11-07 2008-05-08 Bufano Michael L Reduced capacity carrier, transport, load port, buffer system
US8267634B2 (en) * 2005-11-07 2012-09-18 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system
JP4849969B2 (ja) 2006-06-15 2012-01-11 東京エレクトロン株式会社 基板処理システムおよび基板搬送方法
US7925380B2 (en) * 2006-07-19 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated transportation control for wafer fabrication facility
KR20140069354A (ko) * 2006-08-18 2014-06-09 브룩스 오토메이션 인코퍼레이티드 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
JP4904995B2 (ja) 2006-08-28 2012-03-28 シンフォニアテクノロジー株式会社 ロードポート装置
US7531368B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited In-line lithography and etch system
JP4321631B2 (ja) 2007-07-05 2009-08-26 村田機械株式会社 搬送システム、搬送方法および搬送車
US7854202B2 (en) 2007-07-26 2010-12-21 International Business Machines Corporation Overhead transport service vehicle and method
DE102007035839B4 (de) * 2007-07-31 2017-06-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren und System zum lokalen Aufbewahren von Substratbehältern in einem Deckentransportsystem zum Verbessern der Aufnahme/Abgabe-Kapazitäten von Prozessanlagen
GB0804499D0 (en) * 2008-03-11 2008-04-16 Metryx Ltd Measurement apparatus and method
EP2308083A1 (en) * 2008-06-20 2011-04-13 Muratec Automation Co., Ltd. Direct loading to and from a conveyor system
TWI380146B (en) 2008-09-24 2012-12-21 Inotera Memories Inc A automatic wafer storage system and a method of controlling the said system
US8483866B2 (en) * 2009-04-30 2013-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Automated materials handling system having multiple categories of overhead buffers
US9184078B2 (en) 2011-05-07 2015-11-10 Brooks Automation, Inc. Narrow width loadport mechanism for cleanroom material transfer systems
TWI473194B (zh) 2011-09-08 2015-02-11 Inotera Memories Inc 懸吊式晶圓傳輸系統
US8944739B2 (en) * 2012-06-01 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Loadport bridge for semiconductor fabrication tools

Also Published As

Publication number Publication date
KR20150013691A (ko) 2015-02-05
US20130294871A1 (en) 2013-11-07
US9558978B2 (en) 2017-01-31
CN104380454B (zh) 2018-12-07
CN104380454A (zh) 2015-02-25
TWI578432B (zh) 2017-04-11
TW201401414A (zh) 2014-01-01
WO2013166383A1 (en) 2013-11-07

Similar Documents

Publication Publication Date Title
US9558978B2 (en) Material handling with dedicated automated material handling system
US9984905B2 (en) Substrate treatment system, substrate transfer method and computer storage medium
US6593045B2 (en) Substrate processing apparatus and method
US6790286B2 (en) Substrate processing apparatus
KR100937083B1 (ko) 기판처리장치 및 기판처리방법
JP4816217B2 (ja) 塗布、現像装置、塗布、現像方法及び記憶媒体
JP3513437B2 (ja) 基板管理方法及び半導体露光装置
US9287145B2 (en) Substrate treatment system, substrate transfer method, and a non-transitory computer storage medium
JP2005057294A (ja) インタフェースユニット、該インタフェースユニットを含むリソグラフィ投影装置、及びデバイス製造方法
TWI818915B (zh) 度量衡裝置及基板載物台處置器系統
US10991607B2 (en) Reticle transfer system and method
JP4298238B2 (ja) 基板処理装置および基板処理システム
JP2006253331A (ja) 製造検査解析システム、解析装置、解析装置制御プログラム、解析装置制御プログラムを記録した記録媒体、および製造検査解析方法
JP5183861B2 (ja) 小ロットサイズ基板キャリアを使用する方法および半導体デバイス製造施設
KR20010029611A (ko) 반도체제조장치, 포드운반장치, 포드운반방법, 및반도체디바이스제조방법
JP2011077549A (ja) 塗布、現像装置、塗布、現像方法及び記憶媒体
JP3878441B2 (ja) 基板処理装置
JP3818620B2 (ja) 露光装置
Mautz et al. Evaluation techniques for 300-mm equipment
CN117747506A (zh) 对efem机器人进行编程的方法及其自动教学元件
KR20090067236A (ko) 반도체 제조설비 및 그의 카세트 이송방법
US7595861B2 (en) Exposure apparatus and method of manufacturing device
JP2009076587A (ja) 基板搬送装置、基板搬送方法、基板処理システム及び露光方法並びにデバイスの製造方法
JP2009239055A (ja) 基板搬送装置、露光装置、及びデバイス製造方法
KR20060064788A (ko) 기판 가공 방법