TW201343960A - 處理模組 - Google Patents

處理模組 Download PDF

Info

Publication number
TW201343960A
TW201343960A TW102102647A TW102102647A TW201343960A TW 201343960 A TW201343960 A TW 201343960A TW 102102647 A TW102102647 A TW 102102647A TW 102102647 A TW102102647 A TW 102102647A TW 201343960 A TW201343960 A TW 201343960A
Authority
TW
Taiwan
Prior art keywords
processing module
processing
chamber
carrier device
processing chamber
Prior art date
Application number
TW102102647A
Other languages
English (en)
Other versions
TWI564430B (zh
Inventor
喬琴 梅
丹尼 穆勒
塞巴斯汀 瑞斯克
安德瑞斯 漢斯
Original Assignee
羅斯勞股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 羅斯勞股份有限公司 filed Critical 羅斯勞股份有限公司
Publication of TW201343960A publication Critical patent/TW201343960A/zh
Application granted granted Critical
Publication of TWI564430B publication Critical patent/TWI564430B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明涉及一種處理模組,該處理模組具有至少一個位於該處理模組內的、能夠被抽真空的處理室,以及至少一個水平穿過該處理模組、能夠在至少一個基片傳輸方向移動的載體裝置,該載體裝置用於分別容納至少一個平面式的、將在該處理室內被加工的基片。本發明之目的在於,提出上述類型的處理模組,該處理模組能夠在大的生產速度下,使得在盡可能低的設備成本下能夠實現所有基片均勻且高質量的處理。該目的係藉由開篇所述類型的處理模組實現的,其中該至少一個處理室能夠藉由該載體裝置相對該處理模組實體地封閉,所述載體裝置的位置能夠在至少一個橫跨基片傳輸方向的關閉方向上改變,其中該至少一個載體裝置形成該至少一個處理室的一底部。

Description

處理模組
本發明涉及一種處理模組,該處理模組具有至少一個位於該處理模組內的、能夠被抽真空的處理室、以及至少一個水平穿過該處理模組、能夠在至少一個基片傳輸方向移動的載體裝置,該載體裝置用於分別容納至少一個平面式的、要在該處理室內被加工的基片。
在大規模生產平面型產品(如太陽能電池)時,大型的連續式設備被證明是有效的。這種連續式設備例如有用於基片熱處理的連續式滾式爐、以及連續式塗覆設備,例如在檔WO 2011/080659 A1中所公知的。在一這類設備中,多個基片(例如方形的太陽能電池晶圓)被置於一載體裝置上,並隨後穿過一具有多個處理模組的模組化結構的設備,在該等處理模組中各完成一基片處理。廉價建造的連續式設備的問題在於,滿足所生產產品頻繁變化的要求。例如在基片的電漿處理過程中對氣體純度的更高要求就屬於這類問題。
電漿處理設備的高純度能夠在例如超高真空設備和高真空設備中實現。然而在低廉的產品的生產中由於成本原因排除這種昂貴的設備。平行板反應器在文件WO 2011/095846 A1中也是公知的,該平行板反應器需要滿足電漿輔助CVD沈積的高要求,而電漿輔助CVD沈積的設備成本卻相對低廉。然而仍然存在的希望是,提高產品的品質並降低生產成本。
因此本發明之目的在於,提出一種上述類型的處理模組,該處理模組使得在大的生產速度下,能夠在盡可能低廉的設備成本下均勻並高質量地加工所有的基片。
這個目的係藉由開篇所述類型的處理模組實現的,其中該至少一個處理室能夠藉由該載體裝置相對該處理模組實體地鎖定,所述載體裝置的位置能夠在至少一個橫跨基片傳輸方向的關閉方向上改變,其中該至少一個載體裝置形成該至少一個處理室的一底部。
該處理模組係根據腔室嵌套腔室的原理(Kammer-in-Kammer-Prinzip)構建的。其中,該處理模組設有一外腔室,在該外腔室內,該處理室被構建為內腔室。該處理室在此能夠固定在該處理模組的腔室蓋上、和/或借助特殊的承載元件由底部承載。在一些情況下,該處理室也能夠固定在該處理模組的一間蓋、或一間底(Zwischenboden)、和/或側壁上。固定變體的方式方法主要受該處理室 和/或該處理模組的技術要求和工藝要求的影響來確定。
與單個腔室相比,藉由這種構造實現該處理區域與外界大氣或與例如在處理模組內部的基片傳輸中需要的輔助裝置間的更好的分離。藉由這種方式,還能夠降低處理室內的雜質氣體的濃度,並改善處理室的溫度調節的精度。由於電漿加工處理(例如一電漿輔助化學氣相沈積)係依賴於溫度的,因此溫度調節精度的提高也導致基片處理均勻性上的品質提高。另一優點在於,能夠將基片處理的直接的處理區域這樣限定,使得主要依賴氣體交換的瞬態過程(Einschwingvorgänge)在電漿啟動時能夠更確定且更短地完成。
在根據本發明之處理模組中,特別簡單並且對應地廉價地實現該處理室的方式為,使載體裝置形成該處理室的底部。其中,無論如何都存在的載體裝置同時形成該處理室的底部與關閉裝置。其中只有載體裝置的側面在該處理室內,在該載體裝置的側面上至少設置有一基片。該載體裝置的其他的元件位於該處理室的外部。因此能夠使該處理室的內體積最小化,從而減小抽氣時間、清洗時間、以及通氣時間,結果能夠節省成本。
在此,該處理模組內還能夠安排多個處理室。例如,正像將在下文進一步闡述的,能夠存在兩個處理室,這兩個處理室的底部由兩個載體裝置形成。
根據本發明,該載體裝置能夠在該處理模組內水平移動。該處理模組在此能夠構建為例如一連續式設備的模組 。在這種情況下只存在一基片傳輸方向,即穿過該連續式設備的連續運行方向。在另一設計中,該處理模組還能夠為一末端模組,該末端模組僅具有一用於傳輸入或傳輸出至少一個載體裝置的開口。在這種情況下,存在兩種基片傳輸方向,一進入方向和一與其反向的退出方向。
根據所採用的傳輸系統,該基片傳輸方向可以是一維移動方向或也可以是彎曲的移動方向。該載體裝置在基片傳輸方向上的移動尚不導致該處理室的關閉。為了關閉該處理室,該載體裝置在關閉方向朝該處理室的不可移動部分的方向移動。通常該關閉方向為一向上的垂直移動,但該關閉方向也可以是一與垂直方向成一角度的移動。在任何情況下,該關閉方向不與該基片傳輸方向一致,而是橫跨的、即與基片傳輸方向成一大角度的方向。藉由載體裝置向該關閉方向的移動,能夠使該處理室關閉。藉由載體裝置與關閉方向相反的移動,能夠使該處理室對應地再次打開或使該載體裝置與該處理室之間的距離增大。處理室內的處理通常在處理室關閉的條件下進行,然而也能夠設定為在處理室開放的條件下處理。
在根據本發明之處理模組的一較佳的設計中,該至少一個載體裝置為導電的或具有至少一個導電的表面。因此,該載體裝置能夠形成一電極,該電極與該電漿耦合並使電荷載體從電漿向載體裝置的方向移動。為了形成包含該電漿與該載體裝置的電路,該載體裝置必須是導電的。
該載體裝置的表面必須在該處理室內且由與處理相容 的材料製成。也就是說,該載體裝置不允許被處理室內進行的處理損壞,並且不允許從該載體裝置向該處理室內釋放雜質。例如,當在處理室內交替地進行氮化矽的CVD-沈積和採用含氯和/或含氟清潔氣體的腔室清潔時,作為與處理相容的材料可以考慮鋁。在鋁和氮化矽之間存在良好的粘附,因此不用擔心剝落導致的過早的顆粒形成。此外,鋁在不同的刻蝕氣體中是穩定的,因此在清潔處理中不會出現載體裝置的不明確的腐蝕。在其他處理中,為達到處理相容性需要其他材料性質。例如,對於一氧氣電漿處理工藝要求可氧化性,或對於一高溫處理工藝要求熱穩定性。
對應地,在一根據本發明之處理模組的較佳的結構中,用於氣態、液態、和/或電介質的該處理室的介面設置於該處理模組的一蓋子和/或至少一個側壁上。該處理室內的處理通常需要特定的氣氛。為了創造這種特定的氣氛必須導入特定的氣體。其他氣體,例如一化學氣相反應的反應產物必須從處理室中被抽出。此外,偶爾向處理室內引入液體介質,例如冷卻水。此外為了生成電漿,還必須向該處理室內引入電能。用於各個介質的不同介面較佳的是實現於該處理室的不移動的部分中,即該處理室的蓋子或至少一個側壁內。不移動的介面通常比移動的介面更簡單且更可靠。該等介面可安排於該處理室的一壁內或分散式安排於多個壁上。
基片的處理主要在處理室內進行。因此,僅僅是該能 夠被抽真空的處理室設有一泵介面。在這種情況下,在該處理模組中,該處理室周圍的外部空間藉由該處理室的泵介面或者藉由該處理模組的一相鄰模組的泵介面被清潔。在該處理模組的一更有益的擴展結構中,該處理模組卻具有一自己的泵介面,使該處理模組能夠相對處理室獨立地抽氣,例如為了減少雜質。此外有利的是,該處理模組也具有一自己的氣體入口。
在一根據本發明之處理模組的較佳實施例中,該處理模組至少具有一個溫度控制元件用於控制該處理室的蓋子和/或至少一個側壁的溫度,其中該溫度控制元件為一加熱裝置和/或一冷卻裝置。若不僅是基片而是整個處理室的溫度被控制,則在處理過程中能夠特別可靠地調節溫度。根據所採用的處理溫度,可採用不同的溫度控制元件。在需要高的溫度時,該溫度控制模組通常被構建為加熱裝置。例如該加熱裝置可以是一電阻加熱器或一加熱燈。例如在處理溫度低時然而也可能需要的是,冷卻該處理室或在冷卻和加熱之間調節溫度。對於這種目的,可以採用由冷卻裝置和加熱裝置的一組合組成的、或具有可調節的溫度的溫度控制元件。例如可藉由受溫度調節的液體來使溫度調節成為可能。
在另一根據本發明之處理模組的實施方案中,該至少一個處理室具有至少一個用於該載體裝置的HF適用的介面或接觸件。當採用載體裝置作為該HF電漿的電極時,該載體裝置必須安裝到一HF電路中。對於HF電流的導 電體存在不同於直流電流導體的要求。因此,為了該載體裝置的電連接,設有一用於該載體裝置的介面或接觸件。對於一接觸件,該電連接僅藉由對載體裝置的擠壓形成;對於一介面,該電連接借助一機構形成。這種介面或接觸件較佳的是該處理室的一部件。在可選的設計中,該介面或接觸件也可以至少部分為該載體裝置和/或該處理模組的部分。
該HF適用的介面或連接通常為一接地連接。也就是說,該載體裝置藉由該介面或接觸件與大地連接,使得HF電流能流向大地。可選地,該介面或接觸件卻也可以是與大地隔絕的,使得該載體裝置具有一與接地電勢不同的電勢,或被施加一交流電壓。施加交流電壓能夠實現不同的目的。在一例子中,為了在處理室內生成一電漿,可偶聯一HF功率。在另一實施例中,該載體裝置能夠被施以一電壓,以去除由其他方式生成的電漿的離子。然而向載體裝置施加一不同於接地電勢的電勢係非常費力的,因為必須在構造上藉由合適的隔離器避免在處理室外以及在處理室的邊緣區域上的電漿點燃。該HF接觸件既可以構建為實體、機械的接觸件,也可以構建為電容式接觸件。例如,一電容式接觸件可以由一平行於載體裝置安排的電極板形成。
根據一較佳的結構設計,根據本發明之處理模組具有一用於將該載體裝置傳輸入該處理室和/或將該載體裝置傳輸出該處理室的傳輸系統,其中該傳輸入和/或傳輸出 發生在平行於該處理室的水平延伸的一傳輸平面上。特別是在機械上大型的載體裝置的加工中能夠採用根據本發明之處理模組。如應用於小且輕的基片的機械臂的傳輸裝置不適用於移動大並且重的基片和載體裝置。相反在載體裝置的傳輸上傳輸系統係有益的,該傳輸系統還在處理模組內確保對該載體裝置的支撐。其中,所採用的傳輸系統實現載入有基片的載體裝置的水平移動,直到該處理室以及在加工後離開該處理室。在一連續式設備中,該載體裝置的移動方向為該連續運行方向;在其他設備中,離開的傳輸方向可與進入的傳輸方向相反。
在根據本發明之處理模組的一特別合適的設計中,該傳輸系統具有多個傳輸輥和/或一直線電機驅動器。藉由傳輸輥與直線電機驅動器實現對載體裝置的有規律的或連續的支撐,以避免出現該載體裝置的下垂(Durchhängen)或變形。因此,能夠緊湊地構建該處理模組,並且無需為下垂的傳輸裝置提供空間。然而該傳輸系統並非必須具有傳輸輥或直線電機驅動器,其他的傳輸系統的設計也是可能的,例如一被支撐的傳輸臂。
特別有利的是,根據本發明之處理模組的傳輸系統的所有驅動部件均位於該處理室的外部。在這一設計中,能夠構建特別平整的處理室,並且該傳輸系統的驅動部件不會受到處理室內的處理的負荷。
在根據本發明之處理模組的一較佳的設計中,該處理模組具有用於提升位於處理平面中的載體裝置以及下降位 於傳輸平面中的載體裝置的一升降系統,其中位於處理平面的載體裝置設置為該處理室的底部。在此設計中,該載體裝置的傳輸機構與該處理室的關閉機構係分離的。在傳輸平面中,該載體裝置的簡單的傳輸係可能的,其中,因為處理模組與載體裝置之間有足夠大的距離,所以無需機械調節。處理室的關閉借助升降系統實現,該升降系統將該載體裝置壓向該處理室的不移動的部分,從而使該載體裝置形成該關閉的處理室的底部。該升降系統主要進行垂直的升降移動,在該升降移動中,該載體裝置被壓向密封面。藉由這種方式實現該處理室的良好的密封。首先,該處理室的運行狀態為關閉狀態,此時該載體裝置位於該處理平面中。然而該升降系統也允許將該載體裝置下降至位於處理平面以下的一平面。在這個平面內也能夠進行處理,例如清潔處理。替代性地,該處理模組卻也能夠不採用升降系統地實現,其中該處理室的關閉藉由另一機構(例如一合適的平面)實現。
在這種根據本發明之處理模組的一較佳的改進中,該升降系統具有至少一個加熱板或一輻射加熱器。該基片應該在處理室中具有一給定的溫度。該升降系統安排為在空間上靠近該載體裝置上放置的基片、並從而適合基片加熱的位置。在基片附近安排的加熱板或輻射加熱器適合基片溫度的調節或保持恒定,該加熱板或輻射加熱器為基片提供保持基片溫度恒定所需的熱量。較佳的是,該基片已被預調溫並以升高的溫度被傳輸,因此該加熱板或輻射加熱 器只需補充從基片散發或輻射的熱量。
在一抽真空的處理模組中,該加熱板與該載體裝置之間的良好的熱接觸能夠藉由例如碰撞連接(Stoßankopplung)實現,其中熱傳遞借助氣體粒子完成。在該碰撞連接中,藉由安排加熱板和載體裝置、或還藉由加熱板和/或載體裝置的一特定的輪廓或表面粗糙度,在加熱板與載體裝置之間形成一間隙,其中該加熱板與該載體裝置之間的平均距離約為在該間隙中的氣體粒子的平均自由程。在這樣的間隙中,該等氣體粒子在進行其熱運動時,較少相互碰撞,而主要與該載體裝置和該加熱板碰撞,從而使得藉由氣體的有效熱傳遞成為可能。在一實施例中,加熱板與載體裝置之間的平均距離或間隙寬度設為約50 μm,其中間隙中的與氣體類型相關的壓力最佳可為2...20 mbar。例如一在處理模組中總歸存在的氣體,如氦氣或氫氣,可用作碰撞連接的氣體。為使所用氣體最少化,用一密封件限制該間隙。這種良好的熱傳遞對於載體裝置的精確溫度控制係有利的,因此對基片的精確溫度控制也是有利的。其中,該加熱板不僅能夠用作熱量來源。該加熱板還能夠至少部分用作冷卻板,其中載有基片的載體裝置中另外引入的熱量能夠被散發。
在介於該至少一個基片與該載體裝置之間的處理室內,也能夠採用一類似的進行方式,以在那裡實現改善的熱偶聯。於是,例如,該載體裝置的上側可設有特定的粗糙度。
在根據本發明之處理模組的一特別有益的設計中,該加熱板或該輻射加熱器為可升降的。該可升降的加熱板或輻射加熱器可與該載體裝置的升降運動偶聯,從而使在該載體裝置提升時進行保持不變的加熱。
在另一實施變體中,該升降系統具有一導熱性很小的絕熱塊,其中該絕熱塊上設有該載體裝置的一支撐物。藉由該絕熱塊的很小導熱性實現載體裝置與升降系統間很少的熱傳遞,該升降系統可保持該載體裝置的對機械強度有益的低溫。雖然缺少加熱板的支持,例如藉由採用一輻射加熱器也可確保該載體裝置的均勻的溫度。
在根據本發明之處理模組的一較佳的結構中,該升降系統具有一從側面容納該載體裝置的升降框架。該基片有規律的位於該載體裝置的中心上,且該載體裝置的邊緣區域作為密封區域用於該處理室的密封。因此在該載體裝置的邊緣區域對恒定溫度的要求很小,這樣能夠在該載體裝置的邊緣區域特別合適地施加用於密封該處理室的機械力。藉由從側面容納該載體裝置的升降框架,能夠向該密封面直接傳遞壓力,並且藉由該升降框架的導熱極少影響該基片溫度。
在本發明的一有利的改進中,具有平面型的支撐面的一絕熱壓件形成該升降框架的一支撐物。藉由絕熱體傳遞微小的熱量,這樣該載體裝置的溫度很少受到絕熱的升降框架影響。藉由將該升降框架構建為具有一平面型的支撐面的壓件,該提升力和關閉力被均勻地並且大面積地傳遞 到該載體裝置上,這樣使得該載體裝置自身可以相對輕且廉價地構建。
如上文已經闡述的,如果根據本發明之處理室至少具有一密封件,則是有益的,其中設定的是,為密封該處理室,該載體裝置被壓向該密封件。在另一些實施方案中,該密封件也能夠被設在該載體裝置上。藉由該密封件實現處理室內部與外部的處理模組間的良好的分離,這樣使處理室的內部達到高度的清潔度,其中來自處理室的氣體(例如為有毒的)不會在處理室關閉時洩露到該處理模組內包圍該處理室的區域。根據在處理室內進行的處理以及該處理模組的結構,該處理室的關閉卻也可以不設密封件。其中,該處理室內的高清潔度可藉由例如一從內向外的氣流實現,其中,該氣體的流速大於外來氣體(Fremdgasen)從外向內的擴散速度。
在另一有利的結構中,根據本發明之處理模組至少具有一支撐輥作為對該載體裝置的支撐。由於該載體裝置的根據本發明可實現的大的機械尺度,該載體裝置可能容易發生機械變形。出現的力能夠被支撐件分攤,其中變形隨分攤的力成比例地減小。有利的是將該支撐件構建為支撐輥,該支撐輥可被小摩擦且小磨損地操作。然而該載體裝置的平面性並非只能藉由支撐得到保障,還存在其他可能性。例如,該載體裝置可為凸形地鼓起,並藉由施加於抽真空的處理室的外界壓力而被壓平。
特別有利的是,當該處理室的至少一個包圍該處理室 的或與該處理室鄰接的區域可被一氣體填充。藉由向該處理模組填充一氣體能夠在該處理模組內創造希望的氣氛。其中,該氣體既可以是一惰性氣體也可以是一具有氧化性或還原性作用的氣體。該氣體在一處理模組內可以是靜止的或流動的。其中該處理模組可為真空室。但該處理模組也可以是一大氣壓驅動的真空室。該處理模組還可以是一不能被抽真空的、由大氣壓驅動的腔室。一個這類不能被抽真空的腔室能夠特別廉價地生產。
在一改進中,根據本發明之處理模組具有至少一個能夠被抽真空的、包圍該處理室的隔離室(Isolierkammer),其中該隔離室具有至少一個隔離室門。在本發明之這一設計中,三個腔室依次嵌套。內部設有一處理室,該處理室被該隔離室包圍,且該隔離室被該處理模組包圍。相對一種兩層嵌套,藉由這種三層嵌套能夠使處理室與環境之間更好的熱隔絕以及化學隔絕。這種費力的以及高度發展的腔室只有在例如當因為處理有劇毒的物質而存在提高的安全要求時、或當對溫度一致性的要求特別高時才有意義。該隔離室也能夠設為用於將開放運行的處理室與該處理模組分離。例如在清洗處理中該處理室的開放運行狀態係有意義的,該等清洗處理還應該清洗該載體裝置的邊緣。
根據另一結構,該根據本發明之處理模組具有至少一個可抽真空的、鄰接該處理室的隔離空間(Isolierraum)。藉由該隔離空間能夠針對性地滿足存在的要求。例如能夠藉由一與該處理室的蓋子鄰接的隔離空間實現該處理室 的蓋子的良好的熱隔絕和/或實現該處理室內均勻的水平的溫度分佈。在這種情況下,不同於在採用隔離室的情況,在該處理室的側壁上不存在熱隔絕。在另一實施例中,真空作為電隔離器用於位於隔離空間中的HF分配。該隔離室的功能還在於,在處理室與處理模組之間附加地建立一化學限制。在這種情況下,該隔離空間為一附加的、密封該處理室的空間,例如一這種隔離空間能夠作為介於兩個密封件的差異泵空(differenziell gepumpter)的中間空間。
在根據本發明之處理模組的一較佳的設計中,該處理室由鋁或鋁合金製成,或內部由鋁或鋁合金包覆。鋁具有一系列有利的性質。例如,鋁具有很小的密度,從而使由鋁製成的載體裝置具有小的重量。此外,鋁具有良好的導電及導熱性能。鋁的表面形成一化學上穩定的且機械上薄的氧化鋁層。實踐證實鋁在清洗處理中是穩定的,其中可採用蝕刻氣體例如NF3、SF6、或含氯蝕刻氣體、或氟氯烴。此外,鋁與一半導體例如矽的接觸與例如銅對半導體的污染相比是更不造成問題的。在採用鋁合金時,除了鋁的有利性質外還利用了有利的合金性質。
根據另一根據本發明之處理模組的設計,設置有至少兩個垂直疊置的處理室。藉由在一共同的處理模組內設置兩個處理室能使生產能力達到近雙倍。然而由於兩個處理室分享一共同的處理模組,設備成本以及運行成本相對生產率以更小的尺度升高。例如能夠擁有共同的泵裝置,或 也能夠共同使用用於向多個處理室分配介質的裝置。
在一變體中,這種特殊的根據本發明之處理模組具有用於將該載體裝置至少在兩個傳輸平面上垂直傳輸的一升降器。該升降器能夠在彼此上下放置的處理室與傳輸平面之間移動載體裝置,從而在處理模組內實現一特殊的生產過程。其中,能夠驅動具有相同處理的兩個或多個處理室,在此過程中,借助升降器確保用於所有處理室的物流(Logistik)。然而該處理室也能夠進行不同的依次構造的處理,其中採用該升降器以實現希望的處理順序。
在根據本發明之處理模組的一較佳的實施例中,該處理室為一電漿室,該電漿室具有用作一第一HF電極的一氣體噴頭,其中該氣體噴頭與該載體裝置形成一平行板安排。平行板反應器為被認可的機器,在平行板反應器中達到高質量的處理結果。這種類型的平行板反應器較佳的是採用介於10kHz與約100MHz之間的激發頻率或混合的激發頻率來驅動。根據具體的HF電極的尺寸,可設置多個用於供給HF功率或氣體的介面。從而,例如特別是在高的激發頻率下,實現電流在電極上的均勻的分佈。其中,激發頻率既可以是按時間脈衝式觸發的,也可以是連續提供的。但脈衝觸發的直流電壓的電漿觸發也可以是有利的。在大多數情況下,藉由採用電漿,即使在較低溫度下的加工,還可以實現高的處理速度。該處理室並非必須是一平行板安排,在處理室內還可以採用例如一線性微波電漿源的陣列安排。該處理室還可以構建為用於無需電漿的處 理。可能的無需電漿的處理有例如催化沈積、“低壓CVD(LPCVD)”處理、“原子層沈積(ALD)”處理、以及熱處理。
對應根據本發明之處理模組的一可選的設計,該處理室為一電漿室,該電漿室具有多個電漿源的一安排。存在很多在電漿室內生成電漿的可能性,該等可能性具有特異的優點及缺點。在一些類型的電漿室中,在處理室內只設置有一電漿源,例如作為平行板安排。在其他處理室類型中,多個電漿源也可以用於生成局部作用的電漿區域或一大面積作用的電漿。該等電漿源可以為例如微波電漿,該微波電漿以高電荷載體密度以及高沈積率為特徵。
根據一結構,根據本發明之處理模組至少具有一設置於處理室內或處理室處的磁場安排,其中該磁場安排構建為固定的或可以動的。藉由額外的磁體安排,該磁體安排的磁場特定地穿過處理室的壁和/或穿過該載體裝置而作用,能夠對電漿形成造成有利影響,並從而達到對例如加工均勻性、加工品質、和/或加工速度的有利影響。該等磁體裝置既可以固定地安排,又可以特定地沿著該處理室的邊界壁和/或載體裝置移動。在另一實施變體中,還可以在處理室內部設置特定的磁體安排。磁體安排既可以是永磁體系統也可以是具有或不具有極靴安排的電線圈系統。
在另一較佳的實施例中,根據本發明之處理模組具有至少一個模組交接處(Modulschnittstelle),該模組交接 處帶有用於將該處理模組接入一基片加工設備的模組門。在一生產環境中,一基片加工設備除了一處理模組外通常還具有其他部件,例如鎖定模組、其他處理模組和測量模組。因此需要一模組交接處用於連接該基片加工設備與該處理模組。該模組交接處應盡可能為一標準化的交接處,該標準化的交接處允許基片加工設備的、由不同部件形成的、靈活的結構。該模組交接處較佳的是還具有一模組門,該模組門能夠被開放和關閉。藉由關閉的模組門將該處理模組及其中包含的氣氛與其餘的基片加工設備分離,從而使基片加工設備中的雜質遠離該處理模組。在模組門開放的狀態下,能夠藉由模組門進行該載體裝置在基片加工設備與處理模組間的傳輸。
1,1A,1B,1C,1D‧‧‧處理模組
2,2A,2B‧‧‧處理室
3‧‧‧載體裝置
4‧‧‧基片
5‧‧‧氣體連接元件
6‧‧‧接觸件
7‧‧‧密封件
8‧‧‧傳輸系統
9‧‧‧傳輸輥
10‧‧‧升降系統
11‧‧‧加熱板
12,12A‧‧‧升降框架
13‧‧‧模組門
14‧‧‧支撐物
15‧‧‧處理室泵接口
16‧‧‧支撐輥
17,17A,17B‧‧‧泵接口
18‧‧‧監測口
19‧‧‧監測鎖定元件
20,20A‧‧‧隔離室
21‧‧‧溫度控制元件
22‧‧‧熱反射器
23‧‧‧輻射加熱器
24‧‧‧冷卻元件
25‧‧‧絕熱塊
26‧‧‧隔離室門
27‧‧‧HF-輸入管道
28,29‧‧‧隔離空間
30‧‧‧加熱裝置
31‧‧‧噴淋器/噴頭
以下將根據附圖詳細解釋本發明之較佳實施方式及其構造、功能和優點,其中:圖1示意性示出一根據本發明之處理模組的實施方式沿一基片傳輸方向的一垂直剖面圖;圖2示意性示出圖1的處理模組在橫跨基片傳輸方向上的一垂直剖面圖;圖3示意性示出另一根據本發明之處理模組的實施方式,該處理模組具有兩個彼此垂直疊設的處理室;圖4示意性示出下一根據本發明之處理模組的實施方式,該處理模組具有一封閉於隔離室中的處理室; 圖5示意性示出圖4的處理模組的載入順序;圖6示意性示出另一根據本發明之處理模組的實施例,該處理模組具有兩個垂直疊設的、具有側面的介質介面的處理室;圖7示意性示出具有兩個由隔離室包圍的處理室和升降系統的、圖6的處理模組在基片傳輸方向上的一垂直剖面圖;以及圖8示意性示出另一根據本發明之處理模組的設計,該處理模組在處理室上方和下方具有隔離室。
圖1示意性示出一根據本發明之處理模組1的實施方式沿一基片傳輸方向的垂直剖面圖。在該處理模組1內設有一處理室2。一載體裝置3用作該處理室2的底部,在該載體裝置3上平放有基片4。在圖1中示範性地表示的處理室2為用於在基片4上的電漿輔助沈積層的一平行板反應器。
該層沈積的氣態原料在處理室2中藉由一氣體連接元件5被導入一氣體噴淋器31。該氣體噴淋器31用作該平行板反應器中的一第一HF電極。載有基片4的載體裝置3為該平行板反應器的第二電極,該第二電極與該氣體噴淋器31平行地取向。
為了使HF電功率能夠從該氣體噴淋器31通過該載體裝置3流動,需要該載體裝置3與該HF電路之間的電 連接。在圖1所示的實施例中,這種電連接藉由一HF適用的接觸件6形成,該接觸件6在所示的實施例中為一接地接觸件。除HF適用的接觸件6外,安排有一密封件7,在載體裝置3被提升時,該密封件7將處理室2相對於處理模組1密封。
在圖1的圖示中,該載體裝置3位於一傳輸平面內,且能夠藉由一傳輸系統8在基片傳輸方向上移動。在所示實施例中,該傳輸系統8為一輥傳輸系統,該輥傳輸系統具有傳輸輥9。該傳輸系統8在此僅用於將該載體裝置3傳輸入該傳輸模組1以及從該傳輸模組1中傳輸出來,而非用於該處理室2的關閉。
採用一升降系統10將該處理室2與該載體裝置3關閉。此外,該載體裝置3安排於該處理室2的中心下方。接下來,該載體裝置3被該升降系統10提升,其中該載體裝置3放置於一升降框架12上。在升降框架12內,安排有一加熱板11,該加熱板11加熱該載體裝置3以及其上平放的基片4,並從而將溫度控制為希望的處理溫度。
處理室12的支撐物14用於在處理模組1內放置該處理室2。該處理模組1設為一基片加工設備的模組,該模組藉由模組交接處與基片加工設備連接。在每個模組交接處上設有一可關閉的模組門13,以將處理模組1與該基片加工設備分離。在所示的實施例中存在兩個基片門13,從而使得該載體裝置3通過一模組門13進入該處理模組1並通過另一模組門13從該處理模組1中出來的貫穿 運動成為可能。
圖2示意性展示了圖1的處理模組1在橫跨基片傳輸方向上的一垂直剖面圖。上文中已經借助圖1描述了處理模組1的若干元件。在圖2中能夠認出,該等傳輸輥9在本文中為具有一用於該載體裝置3的側面導軌的鈍輥(Stumpfrollen)。該載體裝置3在圖2中表示在傳輸平面中,其中該載體裝置3在中間部位被一支撐輥16或多個依次排列的支撐輥16支撐。藉由該等支撐輥16避免該載體裝置3的變形。在該傳輸輥9和該等支撐輥16之間分別安排有一加熱板11。在另一本發明之實施例中也可行的是,採用在支撐輥16的區域凹陷的加熱板。在圖2中,還能夠很好地識別出側面的處理室泵介面15,該處理室泵介面在圖1中僅作為矩形顯示在背景中。藉由該處理室泵介面15將使用過的氣體從處理室2抽空,其中藉由導流板優化在該實施例中所示的流動方向。
圖3展示一改進的、根據本發明之處理模組1A,該處理模組1A具有兩個垂直安排的處理室2。該等處理室2已經在涉及圖1和2的上文中詳細敘述,並在圖3中僅更加示意性地表示。區別於圖1中的處理模組1,該處理模組1A未被構建為連續式模組,而被構建為末端模組。在末端模組中,藉由同一模組門13既實現了該載體裝置3的提升傳輸又實現了該載體裝置在處理後的下降傳輸。在上方的處理室2中,顯示了在傳輸過程中的一位置上的該載體裝置3。在圖3下方的處理室2中,該載體裝置3藉 由該升降系統10被提升至處理平面,並如此形成該處理室2的底部。該處理模組1A包圍兩個處理室2。從處理模組1A出來的氣體藉由一泵介面17抽空。在圖3的圖示的右側,該處理模組1具有兩個監測口18,這兩個監測口18分別由一監測鎖定元件19鎖定。藉由該等監測口18可以實現處理模組1A內的維護工作,並且該處理室2能夠通過該等監測口放入該處理模組1A中或從該處理模組1A中取出。
圖4示出根據本發明之處理模組1B的另一變體,該處理模組具有一可封閉於隔離室20中的處理室2。該隔離室20能夠藉由隔離室門26關閉,以實現該處理室2A與外界大氣的雙重隔絕。在所示的實施例中,在該隔離室20內部顯示有處理室-溫度控制元件21以及熱反射器22。其中,該處理室-溫度控制元件21在本文中為加熱棒,該等加熱棒將其溫度部分地藉由熱傳遞以及部分地藉由輻射傳遞給該處理室2A。在其他未顯示的實施例中,還能夠採用另一處理室-溫度控制元件,例如管,調溫後的液體通過該管流動。在該載體裝置3的下方顯示有一輻射加熱器23,該輻射加熱器藉由絕熱塊25與該載體裝置3在空間上隔絕並將其熱量藉由熱輻射傳遞給該載體裝置3。該升降框架12A具有冷卻元件24,該等冷卻元件在本文中由能夠使冷卻液流通的通道形成。藉由該等冷卻元件24能夠避免升降框架12A的過熱。
圖5為圖4中已經描述的處理模組1B的載入順序的 示意圖。在圖5A中,兩個隔離室門26均為開放的,並且該載體裝置3從左至右移入該隔離室20。在圖5B中,該載體裝置3位於該處理室2B的中心下方。現在該隔離室門26為關閉的,並且在該隔離室20內能夠設置與處理模組1B不同的壓力。然而該處理室2A仍然是開放的,並且該處理室2A內以及該隔離室20內的壓力大小因此是相同的。在圖5C中,該處理室20為關閉的,並且該處理室20內的壓力能夠被設置為與該隔離室20內不同的壓力,該隔離室20內的壓力還可以與處理模組1B不同。卸載順序雖未顯示,但能夠由專業人員基於其專業知識在無需進一步指導的情況下實現。
圖6示意性展示另一根據本發明之處理模組1C,該處理模組具有兩個位於隔離室20中且垂直疊設的處理室2B。在本文所示的處理模組1C的圖示中,一HF-輸入管道27從處理模組1C的一側壁引出並連接在一噴頭31的中部。該氣體連接元件5也從該處理模組1C的側面引出,藉由噴頭31的結構設計保障從噴頭31向基片4方向的均勻的噴出氣流。
圖7為圖6的處理模組1C在沿基片傳輸方向上的一垂直剖面圖。上方的處理室2B顯示為開放狀態,其中該升降系統10係下降的。然而下方的處理室2B係關閉的,其中該升降系統10移動到了盡頭,且該載體裝置3維持在處理平面中。
圖8示意性示出下一根據本發明之處理模組1D,該 處理模組具有一上方的隔離空間28和一下方的隔離空間29。在此設計中不是整個處理室2C被一隔離室包圍,而僅僅是在處理室2C的上側以及在載體裝置3的下方設有隔離空間28、29。藉由隔離空間28和29實現該處理室2C的良好熱隔絕。上方的隔離空間28能夠有利地包含用於將HF能量分佈於HF電極的不同供給點的一HF分佈器。根據所設的壓力以及所選氣體,可靠地阻止HF分佈器上的電漿點火。
該隔離空間28、29具有各自的泵介面17A、17B。因此,該等隔離室能夠獨立於處理模組1D而被抽真空。
除了所展示的處理模組1、1A、1B、1C、1D的實施例外,還能夠實現其他未展示的根據本發明之處理模組,在該等其他處理模組中所展示的獨立元件可能以另一方式安排或組合、和/或在該等其他處理模組中可能用等價元件進行替代。
1‧‧‧處理模組
2‧‧‧處理室
3‧‧‧載體裝置
4‧‧‧基片
5‧‧‧氣體連接元件
6‧‧‧接觸件
7‧‧‧密封件
8‧‧‧傳輸系統
9‧‧‧傳輸輥
10‧‧‧升降系統
11‧‧‧加熱板
12‧‧‧升降框架
13‧‧‧模組門
14‧‧‧支撐物
31‧‧‧噴淋器/噴頭

Claims (29)

  1. 一種處理模組(1,1A,1B,1C,1D),具有至少一個位於該處理模組(1,1A,1B,1C,1D)內的、能夠被抽真空的處理室(2,2A,2B),以及至少一個水平穿過該處理模組(1,1A,1B,1C,1D)、能夠在至少一個基片傳輸方向移動的、用於分別容納至少一個在該處理室(2,2A,2B)內待被處理的平面式基片(4)的載體裝置(3),其特徵在於,該至少一個處理室(2,2A,2B)能夠藉由該載體裝置(3)相對該處理模組(1,1A,1B,1C,1D)被實體地關閉,所述載體裝置的位置能夠在垂直該基片傳輸方向的至少一個關閉方向上改變,其中該至少一個載體裝置(3)構成該至少一個處理室(1,2A,2B)的一底部。
  2. 如申請專利範圍第1項所述之處理模組,其中該至少一個載體裝置(3)係導電的或至少具有一導電的表面。
  3. 如申請專利範圍第1或2項所述之處理模組,其中用於氣態介質、液態介質、和/或電介質的該處理室(2,2A,2B)的接口設於該處理室(2,2A,2B)的一蓋子和/或至少一個側壁中。
  4. 如以上申請專利範圍中任一項所述之處理模組,其中該處理模組(1,1A,1B,1C,1D)和/或該處理室(2,2A,2B)具有至少一個泵接口(17,17A,17B)。
  5. 如以上申請專利範圍中任一項所述之處理模組,其中該處理模組(1,1A,1B,1C,1D)具有至少一個用於控制該處理室(2,2A,2B)的一蓋子和/或至少一個側壁的溫度的溫度控制元件(21),其中該溫度控制元件(21)為一加熱裝置(30)和/或一冷卻裝置。
  6. 如以上申請專利範圍中任一項所述之處理模組,其中該處理室(2,2A,2B)具有至少一個用於該載體裝置(3)的HF適用的接口或接觸件(6)。
  7. 如申請專利範圍第6項所述之處理模組,其中該HF適用的接口或接觸件(6)為一接地連接。
  8. 如以上申請專利範圍中任一項所述之處理模組,其中該處理模組(1,1A,1B,1C,1D)具有一用於將該載體裝置(3)傳輸入該處理室(2,2A,2B)和/或將該載體裝置(3)傳輸出該處理室(2,2A,2B)的傳輸系統(8),其中該傳輸入和/或傳輸出設置在與該處理室(2,2A,2B)的一水平延伸部相平行的一傳輸平面上。
  9. 如申請專利範圍第8項所述之處理模組,其中該傳輸系統(8)具有多個傳輸輥(9)、一直線電機驅動、和/或一傳輸臂。
  10. 如申請專利範圍第8或9項所述之處理模組,其中該傳輸系統(8)的所有驅動部件均位於該處理室(2,2A,2B)的外部。
  11. 如以上申請專利範圍中任一項所述之處理模組,其中該處理模組(1,1A,1B,1C,1D)具有一升降系統 (10),其作用是將載體裝置(3)提升到一處理平面,以及將載體裝置(3)下降到一傳輸平面,其中位於該處理平面的載體裝置(3)被用作該處理室(2,2A,2B)的底部。
  12. 如申請專利範圍第11項所述之處理模組,其中該升降系統(10)具有至少一個加熱板(11)或一輻射加熱器(23)。
  13. 如申請專利範圍第12項所述之處理模組,其中該加熱板(11)或該輻射加熱器(23)能夠升降。
  14. 如申請專利範圍第12或13項所述之處理模組,其中該加熱板(11)與該載體裝置(3)之間間隔一很小的距離,以至於該加熱板(11)與該載體裝置(3)之間的熱傳遞能夠借助氣體粒子實現。
  15. 如申請專利範圍第11至14項中的至少一項所述之處理模組,其中該升降系統(10)具有絕熱塊(25),其中在該等絕熱塊(25)上設有一該載體裝置(3)的支撐物。
  16. 如申請專利範圍第11至15項中的至少一項所述之處理模組,其中該升降系統(10)具有一側面地容納該載體裝置(3)的升降框架(12)。
  17. 如申請專利範圍第16項所述之處理模組,其中設有一絕熱的壓件,該壓件帶有一平面型的支撐平面作為該升降框架(12)的支撐物。
  18. 如以上申請專利範圍中任一項所述之處理模組, 其中該處理室(2,2A,2B)具有至少一個密封件,其中該載體裝置(3)在該處理室(2,2A,2B)關閉時被壓向該密封件。
  19. 如以上申請專利範圍中任一項所述之處理模組,其中該處理模組(1,1A,1B,1C,1D)具有至少一個用於支撐該載體裝置(3)的支撐輥(16)。
  20. 如以上申請專利範圍中任一項所述之處理模組,其中該處理模組(1,1A,1B,1C,1D)能夠被一氣體填充。
  21. 如以上申請專利範圍中任一項所述之處理模組,其中該處理模組(1,1A,1B,1C,1D)具有至少一個能夠被抽真空的、包圍該處理室(2,2A,2B)的隔離室(20,20A),其中該隔離室(20,20A)具有至少一個隔離室門(26)。
  22. 如以上申請專利範圍中任一項所述之處理模組,其中該處理模組(1,1A,1B,1C,1D)具有至少一個能夠被抽真空的、與該處理室(2,2A,2B)鄰接的隔離空間(28,29)。
  23. 如以上申請專利範圍中任一項所述之處理模組,其中該處理室(2,2A,2B)由鋁或鋁合金製成,或內部由鋁或鋁合金包覆。
  24. 如以上申請專利範圍中任一項所述之處理模組,其中在該處理模組(1,1A,1B,1C,1D)內設有至少兩個垂垂直疊設的處理室(2,2A,2B)。
  25. 如申請專利範圍第24項所述之處理模組,其中該處理模組(1,1A,1B,1C,1D)具有一升降器,其作用是將該載體裝置(3)垂直輸送到至少兩個傳輸平面。
  26. 如以上申請專利範圍中任一項所述之處理模組,其中該處理室(2,2A,2B)為一電漿室,該電漿室具有一作為第一HF電極的氣體噴淋器,其中該氣體噴淋器與該載體裝置(3)形成一平行板裝置。
  27. 如申請專利範圍第1至25項中任一項所述之處理模組,其中該處理室(2,2A,2B)為一電漿室,該電漿室具有一種多電漿源的裝置。
  28. 如申請專利範圍第26或27項所述之處理模組,,其中該處理模組(1,1A,1B,1C,1D)具有至少一個設置於該處理室(2,2A,2B)內或附近的磁場裝置,其中該磁場裝置是固定的或能夠移動的。
  29. 如以上申請專利範圍中任一項所述之處理模組,其中該處理模組(1,1A,1B,1C,1D)具有至少一個模組介面,該模組介面具有用於將該處理模組(1,1A,1B,1C,1D)接入一基片加工裝置的模組門(13)。
TW102102647A 2012-02-06 2013-01-24 處理模組 TWI564430B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102012100927A DE102012100927A1 (de) 2012-02-06 2012-02-06 Prozessmodul

Publications (2)

Publication Number Publication Date
TW201343960A true TW201343960A (zh) 2013-11-01
TWI564430B TWI564430B (zh) 2017-01-01

Family

ID=47884425

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102102647A TWI564430B (zh) 2012-02-06 2013-01-24 處理模組

Country Status (10)

Country Link
US (2) US20150152555A1 (zh)
EP (1) EP2812916B1 (zh)
JP (1) JP6418573B2 (zh)
KR (1) KR102035833B1 (zh)
CN (1) CN104106130B (zh)
DE (1) DE102012100927A1 (zh)
ES (1) ES2767297T3 (zh)
HU (1) HUE048935T2 (zh)
TW (1) TWI564430B (zh)
WO (1) WO2013118004A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015113962A1 (de) * 2015-08-24 2017-03-02 Meyer Burger (Germany) Ag Substratbehandlungsvorrichtung
US11031252B2 (en) 2016-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Compant, Ltd. Heat shield for chamber door and devices manufactured using same
US10590535B2 (en) * 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11107708B2 (en) 2017-11-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Heating platform, thermal treatment and manufacturing method
JP6595658B1 (ja) * 2018-05-09 2019-10-23 キヤノントッキ株式会社 電子部品の製造方法
DE102018123523A1 (de) 2018-09-25 2020-03-26 Meyer Burger (Germany) Gmbh Prozessmodul und Anlage mit wenigstens einem solchen Prozessmodul
DE102019100391A1 (de) * 2019-01-09 2020-07-09 VON ARDENNE Asset GmbH & Co. KG Vakuumanordnung und Verfahren
JP7257813B2 (ja) * 2019-02-21 2023-04-14 東京エレクトロン株式会社 水蒸気処理装置及び水蒸気処理方法
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DD97674A1 (zh) * 1972-07-24 1973-05-14
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
DE4324320B4 (de) * 1992-07-24 2006-08-31 Fuji Electric Co., Ltd., Kawasaki Verfahren und Vorrichtung zur Herstellung einer als dünne Schicht ausgebildeten fotovoltaischen Umwandlungsvorrichtung
JP3195492B2 (ja) * 1993-03-15 2001-08-06 株式会社神戸製鋼所 アークイオンプレーティング装置及びアークイオンプレーティングシステム
US6602348B1 (en) * 1996-09-17 2003-08-05 Applied Materials, Inc. Substrate cooldown chamber
US6298685B1 (en) * 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
JP4003568B2 (ja) * 2001-07-27 2007-11-07 株式会社豊田自動織機 物体浮揚装置、物体搬送装置及び荷取り装置
FR2843129B1 (fr) * 2002-08-01 2006-01-06 Tecmachine Installation pour le traitement sous vide notamment de substrats
JP4653418B2 (ja) * 2004-05-17 2011-03-16 芝浦メカトロニクス株式会社 真空処理装置および光ディスクの製造方法
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
ES2345121T3 (es) * 2007-02-02 2010-09-15 Applied Materials, Inc. Camara de proceso, instalacion de recubrimiento en linea y procedimiento para tratar un sustrato.
JP2008199021A (ja) * 2007-02-09 2008-08-28 Applied Materials Inc 基板を処理するための設備における搬送装置
KR101606353B1 (ko) * 2008-06-27 2016-03-25 어플라이드 머티어리얼스, 인코포레이티드 기판 처리 시스템 및 기판 처리 시스템을 작동시키는 방법
US8186661B2 (en) * 2008-09-16 2012-05-29 Memc Electronic Materials, Inc. Wafer holder for supporting a semiconductor wafer during a thermal treatment process
JP2010159463A (ja) * 2009-01-08 2010-07-22 Nippon Seisan Gijutsu Kenkyusho:Kk インライン式プラズマcvd法及びその装置
TW201038760A (en) * 2009-04-17 2010-11-01 E Heng Technology Co Ltd Circulating transportation system of continuous type plating apparatus
KR101106153B1 (ko) * 2009-06-10 2012-01-20 주식회사 에스에프에이 박막 태양전지 제조용 플라즈마 처리장치
JP2011018684A (ja) * 2009-07-07 2011-01-27 Tokyo Electron Ltd プラズマ処理用基板載置台、プラズマ処理方法、及びプラズマ処理装置
DE102009037299A1 (de) * 2009-08-14 2011-08-04 Leybold Optics GmbH, 63755 Vorrichtung und Behandlungskammer zur thermischen Behandlung von Substraten
DE102010000001A1 (de) 2010-01-04 2011-07-07 Roth & Rau AG, 09337 Inline-Beschichtungsanlage
EP2360292B1 (en) 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
EP2390906A1 (en) * 2010-05-26 2011-11-30 Applied Materials, Inc. Apparatus and method for electrostatic discharge (ESD) reduction

Also Published As

Publication number Publication date
EP2812916B1 (de) 2019-12-18
JP2015512153A (ja) 2015-04-23
KR102035833B1 (ko) 2019-10-23
JP6418573B2 (ja) 2018-11-07
TWI564430B (zh) 2017-01-01
ES2767297T3 (es) 2020-06-17
KR20140129126A (ko) 2014-11-06
DE102012100927A1 (de) 2013-08-08
HUE048935T2 (hu) 2020-09-28
US20150152555A1 (en) 2015-06-04
WO2013118004A1 (de) 2013-08-15
US20190390344A1 (en) 2019-12-26
CN104106130A (zh) 2014-10-15
EP2812916A1 (de) 2014-12-17
CN104106130B (zh) 2018-01-23

Similar Documents

Publication Publication Date Title
TWI564430B (zh) 處理模組
JP5243525B2 (ja) フラットな基板の処理装置
KR101312676B1 (ko) 액티브 냉각 기판 지지체
KR102614522B1 (ko) 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
US20050188923A1 (en) Substrate carrier for parallel wafer processing reactor
JP2010526446A5 (zh)
KR102269479B1 (ko) 시간적 원자 층 증착 프로세싱 챔버
JP2012195565A (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
CN111354657B (zh) 半导体多站处理腔体
KR20150114528A (ko) 조정가능한 분리 벽에 의한 가스 분리
CN101245449A (zh) 大批量生产薄膜的等离子箱
KR20150114974A (ko) 조정가능한 전극을 갖는 증착 소스
KR20150114527A (ko) 공통 증착 플랫폼, 프로세싱 스테이션, 및 그 동작 방법
JP2012023073A (ja) 基板処理装置および基板の製造方法
US20180076075A1 (en) Semiconductor process equipment
JP2013197474A (ja) 基板処理方法と半導体装置の製造方法、および基板処理装置
CN100593228C (zh) 真空处理装置以及真空处理方法
JPH11288890A (ja) 薄膜製造装置
JP2011187543A (ja) 基板処理装置および半導体装置の製造方法
WO2015034208A1 (ko) 적층형 원자층 증착 장치 및 방법
JP2021532598A (ja) プラズマを用いたワーク処理用のシステム
WO2013102577A1 (en) Heat transfer control in pecvd systems
TWI732223B (zh) 用於電漿化學氣相沉積的製程反應器以及利用該反應器的真空裝置
JP5378192B2 (ja) 成膜装置
KR20150003118A (ko) 클러스터형 배치식 기판처리 시스템