TW201142936A - Apparatus and methods for cyclical oxidation and etching - Google Patents

Apparatus and methods for cyclical oxidation and etching Download PDF

Info

Publication number
TW201142936A
TW201142936A TW100106947A TW100106947A TW201142936A TW 201142936 A TW201142936 A TW 201142936A TW 100106947 A TW100106947 A TW 100106947A TW 100106947 A TW100106947 A TW 100106947A TW 201142936 A TW201142936 A TW 201142936A
Authority
TW
Taiwan
Prior art keywords
gas
chamber
substrate
temperature
layer
Prior art date
Application number
TW100106947A
Other languages
Chinese (zh)
Other versions
TWI566292B (en
Inventor
Udayan Ganguly
Joseph M Ranish
Aaron M Hunter
Jing Tang
Christopher S Olsen
Matthew D Scotney-Castle
Vicky Nguyen
Swaminathan Srinivasan
Johanes F Swenberg
Anchuan Wang
Nitin K Ingle
Manish Hemkar
Jose A Marin
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201142936A publication Critical patent/TW201142936A/en
Application granted granted Critical
Publication of TWI566292B publication Critical patent/TWI566292B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Apparatus and methods for the manufacture of semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof are described herein. Disclosed are various single chambers configured to form and/or shape a material layer by oxidizing a surface of a material layer to form an oxide layer; removing at least some of the oxide layer by an etching process; and cyclically repeating the oxidizing and removing processes until the material layer is formed to a desired shape. In some embodiments, the material layer may be a floating gate of a semiconductor device.

Description

201142936 六、發明說明: 【發明所屬之技領域】 本發明的實施例大體而言是關於半導體製造製程以及 半導體元件之領域,更特定而言之,是關於製造適用於 窄間距應用的元件之設備及方法。 【先前技術】 藉由簡單地收縮元件結構來縮小半導體元件通常無法 產生可被接受的小尺寸結構。舉例來說,在nand快閃 記憶體中’當浮動閘極被縮小時,浮動閘極的電容性耦 合(例如,側壁電幻也因此隨浮動閑極的表面積而被縮 小因此,浮動閘極的表面積越小時,介於浮動間極以 及例如,控制閘極之間的電容性耦合也會越小。一般來 說,假若NAND快閃記憶體仍可維持運作,則為了縮小 而犧牲電容性輕合的抉擇是可接受的。不幸的是,當元 件節點變成足夠小,以致介於浮動間極與控制閉極:間 的電容_合變得太小而無法有效地在可允許的操作電 壓下操作該元件時,缩小县古 子,缩小疋有限的。並且,介於相鄰浮 動間極之間的寄生電容(例如,雜訊)的增加,超出NAND 快閃心it體中系統控制器讀取錯誤的極限 條件下不可能有具功能性的一夬閃記憶體… 在此提供用於製造且古& h具有較小表面積的元件 N娜元件及其他元件的方法以及設備。 201142936 【發明内容】 在此描述製造適用於窄間距應用的半導體元件的設備 及方法。雖然在此所描述的各種元件以及方法並非意圖 去限制為製造特定種類的元件,而是在此所描述的設備 及方法特別適用於製造包含浮動閘極的半導體元件,該 浮動閘極在接近浮動閘極底面具有一第一寬度,該第一 寬度比接近浮動閘極頂部的一第二寬度來的大。在一些 實施例中,浮動閘極的寬度由第一寬度非線性減少至第 -一寬度。 在一些實施例中,用於處理一基板的設備可包含:一 製程腔室’該製程腔室具有設置在其中的基板支撐件, 且配置該基板支撐件以支撐一基板,該基板支撐件更進 一步具有與該基板支撐件耦接的一溫度控制系統,用於 將基板支撐件的溫度控制在接近一第一溫度;一氣體來 源,用以提供至少一含氧氣體、一惰性氣體以及一蝕刻 氣體,電漿氣體來源,該電漿·氣體來源輕接至該製程 腔室,用以提供能量給該氣體來源所提供的氣體,而形 成氧化電t或㈣電漿之至少—者;以及_熱源,該熱 源Μ接至該製程腔室’用以提供能量給該基板,而選擇 性地升高基板的溫度至高於該第一溫度的—第二溫度。 下文將描述本發明的其他以及更進一步的實施例。 根據-或多個實施例’可在少於約3分鐘内於腔室中 201142936 元成氧化(及/或氮化)以及触刻步驟的完整製程程序。在 特定實施例中,可在少於約2分鐘内於腔室中完成氧化 及/或氮化與蝕刻步驟的完整製程程序,以及在更特定實 施例中,可在少於約!分鐘内,例如45秒或3〇秒,於 腔室中完成氧化及/或氮化與蝕刻步驟的完整製程程序。 【實施方式】 在此描述一種設備以及方法,用於在單一腔室中氧化 半導體7L件的材料層表面用以形成氧化層,以及藉由蝕 刻來移除該氧化層的至少一部份。雖然本發明並未限制 為特定元件,但所描述的設備以及方法可用於製造適用 於窄間距應用的半導體元件以及結構。如在此所使用之 窄間距應用包含3211111或更小的半間距(例如,3211爪或 更小的元件節點)。如在此所使用的用語「間距」是指介 於半導體元件的平行結構或相鄰結構之間的測量值。可 在相鄰或實貞上平行結構的相同側由一側至另一侧來測 量該間距。當然、,也可將該半導體元件以及結構使用在 具有較大間距的應用中。該半導體元件可為,例如,NAND 或nor快閃記憶體,或其他適合的元件。在一些實施例 中,該半導體元件可維持或改善介於浮動閘極與例如, 元件的控制閘極之間的側壁電容,目而降低在相鄰元件 中介於相鄰浮動閘極之間的干擾(亦即,雜訊)。在此所 揭露的本發明的設備以及方法可有效地限制非期望的作 201142936 用’例如氧氣擴散’該氧氣擴散’例如在製程期間會使 穿隧氧化層變厚,—步地’可有益地提供本發明的 設備與方法來製造其他元件或結構,例如鳍式場效電晶 體WnFET)元件、硬光罩結構或其他結構,以克服在傳 統微影圖案化所施加的臨界尺度的尺寸限制。除非另有 解釋,應理解到在此所揭露關於形成—個結構的特定氧 化作用與蝕刻設備及製程,可用於形成在此所揭露的任 何其他結構。 因此,本發明實施例提供用於在單一腔室或工具中執 行層接著一層的循環氧化與钮刻的設備與方法,該設 備與方法能夠具有比在分離腔室或工具中執行該些製程 還要尚的產出量。當需要在分離腔室中執行多個循環氧 化與触刻的處理周期時’因腔室内部的傳輸時間會使得 產出篁變I。假如提供能夠執行多個製程的腔室或工 八則可提升產出量。然而,仍無法相信可獲得能夠執 行需要非常不同溫度的多個蝕刻以及氧化製程的腔室。 根:-或多個實施例,提供數種腔室或工具,可在單一 腔室中快速地加熱及冷卻基板,因此允許執行循環氧化 及/或氣化與餘刻製程。在一或多個實施例中,在此所揭 露的製程腔室可在少於5分鐘内、少於4分鐘内、少於 3分鐘内、φ 、 ^於2分鐘内、少於1分鐘内 '少於3 〇秒内 執行如在此所描述的單一的氧化以及蝕刻循環。在一或 多個實施例φ,-Γ gu 。 也列中’可在約20(TC至800°C之間的溫度下執行 該氧化製程,更特定地為約扇。c至働。c之間的溫度下 201142936 在低於約⑽的溫度下執行—部份的餘刻 製程更特定地為低於約120。(:,且更輯^ ^ * 笪认认, 将疋地為低於或 4於約loot:。在一或多個實施 邊蚀刻製程利用 使用電聚的乾式㈣製程’例如含I電激,且該蚀刻製 程包含在低於約贼下所執行的―製程,特定地為低於 約4〇c ’且更特定地為在約25ti价的範圍中,接 著在超過約戰的溫度下執行一步驟,例如在約⑽ °c至約200°c的範圍中。 以下將參照第1圖來描述利用本發明的設備及/或方法 實施例所製造的半導體元件的實例,該半導體元件為記 憶體元件100的說明應用。記憶體元件1〇〇包含基板 102,在基板102上沉積有穿隧氧化物層1〇4。將浮動閘 極106沉積於穿遂氧化物層104上。浮動閘極1〇6、穿 隧氧化層104以及基板102的下層部分可包含記憶體元 件100的單元1〇3(或記憶單元)^記憶體元件的每一個單 元是分開的。舉例來說,在記憶體元件丨〇〇中,將淺溝 槽絕緣(STI)區域108安置在介於每—個單元之間的基板 102上(例如,與穿遂氧化物層1〇4與浮動閘極1〇6相鄰, 在此處STI區域108將單元1〇3與相鄰的單元1〇5以及 1 〇7分隔開來)。記憶體元件1 〇〇更進一步包含内複晶石夕 介電(IPD)層110,將該IPD層11〇設置在浮動閘極1〇6 與控制閘極層112的上方。該IPD層11 〇將浮動閘極1 〇6 與控制閘極層112分隔開來。 基板1 02可包含一適當材料,例如結晶矽(例如,矽 201142936 100或石夕<111>;) '二氧化石夕、應變石夕、石夕化錯、經換雜 或未摻雜的多晶石夕、經摻雜或未摻雜的碎晶圓、圖案化 或未圖案化的晶圓、秒絕緣體(SOI)、碳摻雜的二氧化 夕氮化石夕、經摻雜石夕、錯、石申化錄、玻璃、藍寶石等 等。在一些實施例中,基板102包含石夕。穿遂氧化物層 104可包含石夕與氧,例如二氧化石夕(Si〇2)、氮氧化石夕 (Sl〇N)、或冑k值的介電材料,例如鋁(A1)系、铪(Hf) 系或鑭(La)系、錯(zr)系的氧化物或氛氧化物、或氮化 夕(Ny)單或層疊結構(例如,二氧化石夕/高值/ -氧化石夕)等等。穿遂氧化物層1〇4可具有任何適當的厚 度,例如,介於約5至約12nm。穿遂氧化物層1〇4在每 -個單元中可具有與浮動閘極1〇6的底面實質相等的寬 度° STI區域1G8可包切與氧,例如二氧切(Si02)、 氮氧化矽(SiON)等等。 浮動閘極1 0 6 —般包含專雷# κ ., 匕3導電材科,例如多晶矽、金屬 等等。浮動間㈣6具有適用於幫助在相鄰單元之間(例 如’在單元1〇3、105與107之間)安置部份控制間極層 112的配置。因此’將.浮動閘極形成倒「丁」$。如在此 所使用1語「倒T」通常意指浮動閘極⑽的上部部 份相對於浮動閘極1G6的底面為凸出的幾何結構。此凸 出結構提供空間給1PD@ 110’用以在浮動間極106的 上方形成㈣層11G’而不會完全填滿相鄰的浮動間極 1〇6之間的間隙’因而允許-部份的控制閑_ 112可 被安置在相鄰的浮動閘極1 06之間。 201142936 舉例來說’如第1圖所示,浮動閘極106通常以倒Τ 的形狀來表示,該浮動閘極1〇6具有底面115以及主幹 113(或浮動閘極106的上部部份)。浮動閘極1〇6 一般可 具有任何用於特定應用的期望尺寸。在一些實施例中, 浮動閘極106的高度可介於約2〇至約1〇〇nm。在一些實 施例中,底面115的厚度可小於或等於約35nm。 由於浮動閘極1 06上部部份的凸出結構,因此浮動閘 極106在接近浮動閘極1〇6的底面115處具有第一寬度 1〇9’該第一寬度109大於接近該浮動閘極ι〇6上方的第 二寬度ill。在一些實施例中,第一寬度1〇9:第二寬度 111的比例至少約2 : 1。在一些實施例中,第一寬度i 〇9 比第一寬度111超過約4 nm或更多、或約6nm或更多、 或介於約4至約6 nme浮動閘極1〇6的寬度在底面115 八浮動閘㉟丨〇6上方之間可為線性、非線性、連續、非 連續地、以任何方式改變。在一些實施例中,如第i圖 所說明,浮動閘極106的寬度在第一寬度1〇9與第二寬 度111之間為非線性改變。在一些實施例中,第一寬度 可小於約35 nm、或介於約2〇至約Μ nm。第二寬度可 介於、力 5 至約 30 nm,例如,5 nm、10 nm、12 nm、13 nm、 14η m 1 5 nm、20 nm、25 nm 或 30 nm。 泣^第1圖所說明,主幹113具有一側壁部份,該側壁 P刀具有貫質垂直的輪廓。在一些實施例中,實質垂直 丁 J於或等於約1〇度的垂直、或小於或等於約$度的 垂直、或小於或等於約1度的垂直。該側壁的實質垂直 10 201142936 輪廓可高達浮動閘極1 06總高度的約40%、或大於約 40%。在一些實施例中’該實質垂直輪廓可大於浮動問 極1 06總高度的約40%。在一些實施例中,該實質垂直 輪廓介於約20至約1 〇〇 nm之間。 IPD層11〇可包含任何適合的單一或多層介電材料。 單一層的IPD包含如上述與穿遂氧化物層1〇4相關的201142936 VI. Description of the Invention: Field of the Invention The embodiments of the present invention generally relate to the field of semiconductor fabrication processes and semiconductor components, and more particularly to devices for fabricating components suitable for narrow pitch applications. And methods. [Prior Art] Reducing a semiconductor element by simply shrinking the element structure generally does not produce an acceptable small-sized structure. For example, in nand flash memory, when the floating gate is shrunk, the capacitive coupling of the floating gate (for example, the sidewall phantom is also reduced with the surface area of the floating idler, therefore, the floating gate The smaller the surface area, the smaller the capacitive coupling between the floating pole and, for example, the control gate. In general, if the NAND flash memory can still operate, the capacitive coupling is sacrificed for the sake of reduction. The choice is acceptable. Unfortunately, when the component node becomes small enough, the capacitance between the floating pole and the control closed pole becomes too small to operate effectively at an allowable operating voltage. In this component, the county is reduced, and the 疋 is limited. Moreover, the increase in parasitic capacitance (for example, noise) between adjacent floating poles exceeds the NAND flash core. It is not possible to have a functional flash memory under the erroneous limit conditions... Here, methods and devices for manufacturing and designing elements of the N-element and other components having a smaller surface area are provided. 42936 SUMMARY OF THE INVENTION Apparatuses and methods for fabricating semiconductor components suitable for use in narrow pitch applications are described herein, although the various components and methods described herein are not intended to be limiting to the fabrication of particular types of components, but are described herein. The apparatus and method are particularly suitable for fabricating a semiconductor component including a floating gate having a first width near a bottom surface of the floating gate that is greater than a second width near the top of the floating gate. In some embodiments, the width of the floating gate is non-linearly reduced from the first width to the first width. In some embodiments, the apparatus for processing a substrate can include: a process chamber 'the process chamber has a substrate support disposed therein, and the substrate support is disposed to support a substrate, the substrate support further having a temperature control system coupled to the substrate support for controlling the temperature of the substrate support to be close to a first temperature; a gas source for providing at least one oxygen-containing gas, an inert gas, and an etching gas, plasma gas Source, the plasma gas source is lightly connected to the process chamber to provide energy to the gas supplied by the gas source to form at least one of oxidized electricity or (iv) plasma; and _ heat source, the heat source Connecting to the process chamber 'to provide energy to the substrate, and selectively increasing the temperature of the substrate to a second temperature above the first temperature. Other and further embodiments of the invention are described below According to - or more embodiments, a complete process procedure for oxidation (and/or nitridation) and a tactile step can be performed in the chamber in less than about 3 minutes in less than about 3 minutes. In a particular embodiment, less Complete processing of the oxidation and/or nitridation and etching steps in the chamber in about 2 minutes, and in more specific embodiments, in less than about ! minutes, such as 45 seconds or 3 seconds, A complete process sequence for the oxidation and/or nitridation and etching steps in the chamber. [Embodiment] An apparatus and method are described herein for oxidizing a surface of a material layer of a semiconductor 7L member in a single chamber for forming an oxide layer, and removing at least a portion of the oxide layer by etching. Although the invention is not limited to a particular component, the described apparatus and method can be used to fabricate semiconductor components and structures suitable for narrow pitch applications. A narrow pitch application as used herein includes a half pitch of 3211111 or less (e.g., a 3211 jaw or smaller component node). The term "pitch" as used herein refers to a measurement between a parallel structure or an adjacent structure of a semiconductor element. The spacing can be measured from one side to the other on the same side of the parallel or parallel parallel structure. Of course, the semiconductor components and structures can also be used in applications with larger pitches. The semiconductor component can be, for example, a NAND or nor flash memory, or other suitable component. In some embodiments, the semiconductor component can maintain or improve sidewall capacitance between the floating gate and, for example, the control gate of the component, thereby reducing interference between adjacent floating gates in adjacent components. (ie, noise). The apparatus and method of the present invention as disclosed herein can effectively limit the undesired operation of the oxygen diffusion by 'for example oxygen diffusion', such as during the process, such as thickening the tunnel oxide layer during the process, which may be beneficially The apparatus and methods of the present invention are provided to fabricate other components or structures, such as fin field effect transistor (WnFET) components, hard reticle structures, or other structures to overcome the critical dimension limitations imposed by conventional lithographic patterning. Unless otherwise explained, it is to be understood that the specific oxidizing and etching apparatus and processes disclosed herein for forming a structure can be used to form any other structure disclosed herein. Accordingly, embodiments of the present invention provide apparatus and methods for performing cyclic oxidation and button engraving of a layer in a single chamber or tool, the apparatus and method capable of performing the processes in a separate chamber or tool The amount of output that is still needed. When it is desired to perform a plurality of cycles of oxidation and etch processing in the separation chamber, the output time is caused by the transmission time inside the chamber. If you provide a chamber or work that can perform multiple processes, you can increase throughput. However, it is still unbelievable to obtain a chamber capable of performing multiple etching and oxidation processes requiring very different temperatures. Roots: - or multiple embodiments, provide several chambers or tools that can rapidly heat and cool the substrate in a single chamber, thus allowing for cyclic oxidation and/or gasification and remnant processes to be performed. In one or more embodiments, the process chamber disclosed herein can be in less than 5 minutes, less than 4 minutes, less than 3 minutes, φ, ^ within 2 minutes, less than 1 minute 'Single oxidation and etching cycles as described herein are performed in less than 3 sec. In one or more embodiments φ, -Γ gu . Also listed, 'the oxidation process can be performed at a temperature between about TC and 800 ° C, more specifically about a fan. c to 働. The temperature between c is 201142936 at a temperature lower than about (10) Execution - part of the engraving process is more specifically less than about 120. (:, and more ^ ^ * 笪 acknowledgment, will be below or 4 to about loot: one or more implementation side The etching process utilizes a dry (four) process using electropolymerization, such as containing an I-excitation, and the etching process includes a process performed below less than about a thief, specifically less than about 4 〇 c ' and more specifically In the range of about 25 ti, then a step is performed at a temperature exceeding the combat, for example in the range of about (10) ° C to about 200 ° C. The apparatus and/or using the present invention will be described below with reference to FIG. Method Example An example of a semiconductor device fabricated by the semiconductor device is an illustrative application of the memory device 100. The memory device 1 includes a substrate 102 on which a tunnel oxide layer 1〇4 is deposited. The gate 106 is deposited on the pass-through oxide layer 104. The floating gate 1〇6 and the tunnel oxide layer 10 4 and the lower portion of the substrate 102 may comprise cells 1 〇 3 (or memory cells) of the memory component 100. Each cell of the memory component is separate. For example, in the memory component ,, the shallow A trench isolation (STI) region 108 is disposed on the substrate 102 between each cell (eg, adjacent to the via oxide layer 1〇4 and the floating gate 1〇6, where the STI region 108 The cell 1〇3 is separated from the adjacent cells 1〇5 and 1〇7. The memory device 1 further includes an inner polycrystalline silicon dielectric (IPD) layer 110, the IPD layer 11 The 〇 is disposed above the floating gate 1〇6 and the control gate layer 112. The IPD layer 11 分隔 separates the floating gate 1 〇6 from the control gate layer 112. The substrate 102 may include a suitable material. For example, crystallization enthalpy (for example, 矽201142936 100 or Shi Xi <111>;) 'Secondary oxide eve, strained stone eve, Shi Xihuan, substituted or undoped polycrystalline shi, doped or Undoped shredded wafers, patterned or unpatterned wafers, second insulator (SOI), carbon-doped cerium oxide nitride, mixed Miscellaneous stone, wrong, stone, sapphire, etc. In some embodiments, the substrate 102 comprises a stone eve. The enthalpy oxide layer 104 may comprise a stone and an oxygen, such as a dioxide dioxide (Si 〇 2) a dielectric material of nitrous oxide (Sl〇N) or 胄k value, such as aluminum (A1), yttrium (Hf) or lanthanum (La), or (zr) oxide or Oxide oxide, or Ny single or stacked structure (for example, dioxide dioxide / high value / - oxidized stone eve), etc. The enthalpy oxide layer 1 〇 4 may have any suitable thickness, for example , between about 5 to about 12 nm. The pass-through oxide layer 1〇4 may have substantially the same width as the bottom surface of the floating gate 1〇6 in each cell. The STI region 1G8 may be encapsulated with oxygen, such as dioxo (SiO 2 ), bismuth oxynitride. (SiON) and so on. The floating gate 1 0 6 generally includes a special mine # κ ., 匕 3 conductive material, such as polysilicon, metal, and the like. The floating room (four) 6 has a configuration suitable for assisting in the placement of a portion of the control interlayer layer 112 between adjacent units (e.g., between units 1〇3, 105 and 107). Therefore, the floating gate is formed into a "D". As used herein, the term "inverted T" generally means that the upper portion of the floating gate (10) is convex with respect to the bottom surface of the floating gate 1G6. The embossed structure provides space for the 1PD@110' to form the (four) layer 11G' above the floating interpole 106 without completely filling the gap between the adjacent floating interpoles 1 〇 6 and thus allowing - part The control idle_112 can be placed between adjacent floating gates 106. 201142936 For example, as shown in Fig. 1, the floating gate 106 is generally represented by a reversed shape having a bottom surface 115 and a stem 113 (or an upper portion of the floating gate 106). The floating gate 1〇6 can generally have any desired size for a particular application. In some embodiments, the height of the floating gate 106 can be between about 2 〇 and about 1 〇〇 nm. In some embodiments, the thickness of the bottom surface 115 can be less than or equal to about 35 nm. Due to the protruding structure of the upper portion of the floating gate 106, the floating gate 106 has a first width 1〇9' near the bottom surface 115 of the floating gate 1〇6. The first width 109 is larger than the floating gate. The second width ill above ι〇6. In some embodiments, the ratio of the first width 1〇9: the second width 111 is at least about 2:1. In some embodiments, the first width i 〇 9 is greater than the first width 111 by more than about 4 nm or more, or about 6 nm or more, or between about 4 and about 6 nme, the width of the floating gate 1 〇 6 is The bottom surface 115 of the eight floating gates 35丨〇6 may be linear, non-linear, continuous, non-continuous, and altered in any manner. In some embodiments, as illustrated in Figure i, the width of the floating gate 106 is non-linearly varied between a first width 1 〇 9 and a second width 111. In some embodiments, the first width can be less than about 35 nm, or between about 2 〇 to about Μ nm. The second width can range from 5 to about 30 nm, for example, 5 nm, 10 nm, 12 nm, 13 nm, 14 η m 1 5 nm, 20 nm, 25 nm, or 30 nm. Weeping ^ illustrated in Figure 1, the trunk 113 has a side wall portion having a cross-sectional vertical profile. In some embodiments, the substantially vertical is at or equal to about 1 degree of vertical, or less than or equal to about $degree of vertical, or less than or equal to about 1 degree of vertical. The substantially vertical dimension of the sidewall 10 201142936 can be as high as about 40% or greater than about 40% of the total height of the floating gate 106. In some embodiments, the substantially vertical profile may be greater than about 40% of the total height of the floating questioner 106. In some embodiments, the substantially vertical profile is between about 20 and about 1 〇〇 nm. The IPD layer 11 can comprise any suitable single or multi-layer dielectric material. The single layer of IPD contains the above related to the tantalum oxide layer 1〇4 as described above.

Si〇2、SiON、高-k值介電材料等等。多層IPD的非限制 性貫例為多層的氧-氮-氧(ΟΝΟ)層,該ΟΝΟ層包含第一 氧化物層、氮化物層、以及第二氧化物層。第_與第二 氧化物層通常包含矽與氧,例如二氧化石夕(Si〇2)、氮氧化 矽(SiON)等等。氮化物層通常包含矽與氮,例如氮化矽 (SiN)等等。在一些實施例中,亦可使用包含Si〇2/高Si〇2, SiON, high-k dielectric materials, and the like. A non-limiting example of a multilayer IPD is a multilayer oxy-nitrogen-oxygen (oxide) layer comprising a first oxide layer, a nitride layer, and a second oxide layer. The first and second oxide layers typically comprise cerium and oxygen, such as cerium oxide (Si 〇 2), cerium oxynitride (SiON), and the like. The nitride layer typically contains germanium and nitrogen, such as tantalum nitride (SiN) and the like. In some embodiments, it is also possible to use Si〇2/high.

Si〇2(例如,Si02/Al203/ Si02)的多層 ipD 層作為 IpD 層 no。在一些實施例十,將IPD層11〇沉積至介於約η 至約15 nm的厚度。 在倒τ形狀的浮動閘極106上的IpD層11〇的保形沉 積(c〇nformai depositi〇n)可幫助在沉積的ipD層ιι〇中形 成壁11 4。該壁11 4形成在相鄰的浮動閘極之間。在一 二實施例中,該壁i丨4具有介於約4 nm至約2〇打爪的寬 度,以及介於約20至約90 nm的深度。 可選擇地,在沉積IPD之前,可藉由以下方式來界定 在相鄰汙動閘極之間的IpD穿透的深度程度:藉由沉積 材料層(例如,Si〇2)來填滿相鄰浮動閘極之間的間隙, 且藉由例如化學機械平坦化(CMP)來平坦化該材料層用 201142936 以移除落在浮動間極106上方的多餘材料 鄰淫叙ΡΘ 踢著’將相 $極之間的間隙中所殘留的材料蝕刻至—期望抑 -,用以設定介於浮動閑極之間的㈣穿透程度。冰 將控制閘極層112沉積在IPD層11〇的頂部與該壁⑴ 用以形成控制閘極。控制閘極層i 12通常包含 =間Γ如多晶碎、金屬等等。額外的壁114可在接近 :動間極106的侧壁處提供控制閉極較大的表面積。藉 該壁114的幫助所增加的控制閉極層112的表面積可 :效改善介於浮動閘極106的側壁與控制閘極之間的電 谷f生轉合。除此之外’沉積在相鄰浮動閘極之間(例如, :元103與單元105的浮動閑極)的該壁114可降低相鄰 子動間極之間的寄生電容、浮動閘極干擾、雜訊等等。 卜對於相同的浮動閘極高度I說,與近似矩形形狀 .比:起來’浮動閘㈣6的倒丁形狀可降低表面積。該 減J的截面積可有利地降低在位元線方向上(例如,在記 憶體元件的不同字元線以及相同位元線中)介於相鄰浮 動閘極之間的寄生電容。有利地來說’藉由控制浮動閘 極的同度可獨立控制介於浮動間極與控制閘極之間的側 壁電容(例如,維持在期望程度)。 第2圖是根據本發明的一些實施例來繪示方法, 該方法200用於製造具有浮動閘極幾何結構的半導體元 件。可在任何適合的單一腔室中執行在此所描述的方 法’該適合的單一腔室配置用於氧化以及蝕刻,且具有 在不同酿度下進行處理的能力。根據一或多個實施例, 12 201142936 行:化"展氧化與蝕刻的製程中,在相對高的溫度下執 根撼一以及在相對低的溫度下執行蝕刻。舉例來說, 或多個實施例,纟5m:以及高於财c的溫度下 仃氧化、或在幫以及低於辑的溫度下執行氧 特定為40(TC以及低於40(rc下執行氧化。舉例來 說:可在低溫下執行部份蝕刻製程,例如室溫,如抓、 25t或3(rc。應瞭解到,可在較高溫 例如高達約7rc。在㈣之後,預期升高溫度至約1〇〇 c,用以昇華化合物,以下將更詳細描述之。 本發明的態樣適用於在單-腔室中執行氧化製程、银 μ㈣及昇華Q可藉由m化 '快速熱氧化(RT〇)、 自由基氧化等等來執行氧化製程。適合的氧化腔室包含 電聚腔室,例如電衆浸沒離子注入(plasma Immersion IonThe multilayer ipD layer of Si〇2 (for example, SiO 2 /Al 203 / SiO 2 ) serves as the IpD layer no. In some embodiment 10, the IPD layer 11 is deposited to a thickness of between about η and about 15 nm. The conformal deposition of the IpD layer 11 on the inverted gate-shaped floating gate 106 helps to form the wall 11 in the deposited ipD layer. The wall 11 4 is formed between adjacent floating gates. In a two embodiment, the wall i 丨 4 has a width of between about 4 nm and about 2 〇, and a depth of between about 20 and about 90 nm. Alternatively, the degree of depth of IpD penetration between adjacent dirty gates can be defined by depositing a layer of material (eg, Si〇2) to fill adjacent regions before depositing the IPD. The gap between the floating gates, and the material layer is planarized by, for example, chemical mechanical planarization (CMP) to remove the excess material that falls above the floating interpole 106 by the 201142936 to kick the 'will phase$ The material remaining in the gap between the poles is etched to - desired to set the degree of penetration between the floating idlers. Ice deposits a control gate layer 112 on top of the IPD layer 11 and the wall (1) to form a control gate. The control gate layer i 12 typically contains an interstitial such as polycrystalline, metal or the like. The additional wall 114 provides a controlled larger surface area at the sidewall of the proximity pole 106. Controlling the surface area of the closed layer 112 by the aid of the wall 114 can improve the electrical transfer between the sidewalls of the floating gate 106 and the control gate. In addition to this, the wall 114 deposited between adjacent floating gates (eg, element 103 and the floating idler of cell 105) reduces parasitic capacitance, floating gate interference between adjacent inter-electrode poles. , noise, and so on. For the same floating gate height I, the approximate rectangular shape is compared to: the floating shape of the floating gate (four) 6 can reduce the surface area. The cross-sectional area of the reduced J can advantageously reduce the parasitic capacitance between adjacent floating gates in the direction of the bit line (e.g., in different word lines of the memory element and in the same bit line). Advantageously, the side wall capacitance between the floating interpole and the control gate can be independently controlled (e.g., maintained to a desired degree) by controlling the degree of floating gate homogeneity. Figure 2 is a diagram illustrating a method for fabricating a semiconductor device having a floating gate geometry in accordance with some embodiments of the present invention. The method described herein can be performed in any suitable single chamber. This suitable single chamber configuration is used for oxidation and etching, and has the ability to be processed at different degrees of brewing. In accordance with one or more embodiments, in the process of oxidizing and etching, the etching is performed at a relatively high temperature and etching is performed at a relatively low temperature. For example, or in embodiments, 纟5m: and 高于 仃 高于 高于 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 、 氧 氧 氧 氧 氧 氧 氧 氧For example, a partial etching process can be performed at low temperatures, such as room temperature, such as scratching, 25t or 3 (rc. It should be understood that at higher temperatures, for example up to about 7 rc. After (d), the expected elevated temperature is About 1 〇〇c for sublimation of compounds, as will be described in more detail below. The aspect of the invention is suitable for performing an oxidation process in a single-chamber, silver μ (four) and sublimation Q can be m-' rapid thermal oxidation ( The oxidation process is performed by RT 〇, radical oxidation, etc. A suitable oxidation chamber contains an electropolymerization chamber, such as an ion immersion ion implantation (plasma Immersion Ion)

ImPlantation; P3I)或去耦合電漿氧化(Dp〇)。或者可 使用熱氧化腔室,例如由位於加州聖大克勞拉市的應用 材料股份有限公司所販售的rADIANCE®、Vantage<s RADOX腔室,或包含遠端及/或近距離電漿來源的燃 燒爐。可利用各種氧化化學來執行示例性的熱氧化製 程,該氧化化學包含在氧化氣體混合物中改變諸如一或 多種的氫氣(Η〇、氨氣(NH3)等等的還原氣體濃度,該氧 化氣體展合物包含氧化氣體’例如一或多種的氧氣 (〇2)、一氧化氮(NO)、一氧化二氮(n2〇)等等,以及可選 擇性包含惰性氣體,例如一或多種的氮氣(n2)、氬氣 (Ar)、氦氣(He)等等。示例性電漿氧化製程可使用如熱氧 13 201142936 化製程所述的任何氧化化學,並且可在使用或不使用加 熱夾盤(heating chuck)下執行該電漿氧化製程。亦可施加 光化學製程,例如在紫外光(UV)存在下,使用氧物種(例 如氧氣)來形成氧化物層,或施加濕式化學氧化例如使 用化學溶液,該化學溶液包含硝酸(HNO3)、其他適用於 氧化的酸。然而,通常這些腔室被配置成僅能執行氧化 製程,而無法配置用於執行低溫處理,例如低溫蝕刻。 因此,需要修飾這些腔室,以達到在氧化與蝕刻之間妍 需要的快速溫度變化。以下將提供特定的詳細說明。 或者’可在任何適當且經修飾的蝕刻腔室中執行在此 所描述的方法實施例,該蝕刻腔室配置用於濕式或乾式 钱刻、反應性離子蝕刻(RIE)等等。示例性蝕刻腔室包含 由位於加州聖大克勞拉市的應用材料股份有限公司販售 的 SICONITM、Producer®或 CarinaTM 腔室。一非限制的 不例性乾式蝕刻製程包含氨氣(NH3)或三氟化氮⑺^氣 體或具有遠端電漿的無水氟化氫(HF)氣體混合物,該在 無水氟化氫(HF)氣體混合物低溫下(例如,約3 〇〇c )可凝 結在二氧化矽上’並且反應形成可在中等溫度下(例如, 大於100。(:)昇華的化合物’用以蝕刻二氧化矽。此示例 性钱刻製程可隨著時間減少,並且最終會在一點上達到 飽和’在該點處除非將一部份的化合物移除(例如,藉由 上述的昇華製程)’否則不會產生更進一步的银刻。可使 用上述的機制及/或藉由定時的蝕刻製程(例如,蝕刻一 段預定時間)來控制該蝕刻製程。示例的濕式蝕刻製程可 201142936 士化氫_等等。示例的電漿或遠端電衆蝕刻製程 3或夕種蝕刻劑,例如四氟化碳(CF4)、三氟甲烷 (CHF3)、六氟化硫(SF6)、氫氣(Η:)等等並且可在使用 或不使用加熱夾盤下執行。對於不同材料组合物(例如, 不均勾表面等等)來說,可操控該钮刻選擇性為約i至約 1000。舉例來說,在一些實施例中,在二氧化石夕钱刻中, 對於石夕㈣刻選擇性可約為·當㈣速率下降至初 始蝕刻速率的約0%至約90%、或至約75%時,可終止蝕 刻’用以提供被钮刻材料的厚度控制。舉例來說,在一 -實把例中’如上所述的終止㈣製程可提供進行敍刻 夺的厚度控制。當蝕刻沉積在不均勻材料(例如,包含矽 與二氧化矽)上的氧化物層時,上述的控制是特別有益 的。將需要修飾諸如SIC0NI腔室的餘刻腔室,用以在 該腔室中執行氧化製程,以下將詳細敘述之。 因此,在一單一腔室中所執行的方法200開始於步驟 202,在步驟2G2中提供—基板’該基板具有將成為浮動 閘極的材料層。舉例來說,如第3A圖所示,基板1〇2 與材料層304可為部分製造的記憶體元件則的一部 份。記憶體元# 3〇〇可包含基板1〇2,該基板1()2具有 設置在基板102上的穿遂氧化物層1〇4。將材料層3〇4 沉積在穿遂氧化物層1()4上方。將淺溝槽絕緣(STi)區域 302(類似m區域108)沉積在與穿遂氧化物層刚以及 材料層304相鄰處。在方法2〇〇開始之冑,所執行用以 提供基板以及部分製造的記憶體元件3〇〇的其他製造方 15 201142936 法包含:在STI區域302中沉積絕緣材料(例如,二氧化 矽);以材料層304的上表面來平坦該絕緣材料的位準; 以及將該絕緣材料向下蝕刻至所期望的位準.,用以產生 具有材料層304的基板,且根據在此所提供的技術準備 將該材料層3 04處理為浮動閘極。 材料層304可包含導電材料,例如多晶矽、金屬等等。 材料層304通常具有略為梯形或矩形截面。材料層3〇4 通常具有適合的起始形狀,使得當藉由在此所述的方法 來進行氧化及/或蝕刻時,該材料層3〇4可成為具有如上 所述與第1圖相關的倒T形狀的浮動閘極(例如,將材料 層3 04進行圖案化與蝕刻,用以幫助形成STI結構3〇2, 且所產生的材料層304的輪廓可成為在此所述的更進一 步處理的起始點)。 如第3B圖所示,在步驟2〇4中,選擇性氧化該材料層 3〇4,以形成氧化物層3〇6❶將氧化物層3〇6形成在該材 料層304的上方以及側壁上,並且該氧化物層3〇6可包 含二氧化矽、金屬氧化物等等。在一些實施例中,氧化 物層306可消耗材料層3〇4至約3至約丨5 nm的深度, 或約lOnm。如第3B圖所示’氧化物層3〇6可進一步消 耗(或以其他侵蝕或置換的方式)一部分的STI區域302。 可使用濕式或乾式氧化、快速熱氧化(RT〇)、自由基氡 化、電漿氧化(例如,去耦合電漿氧化(Dp〇))、或在此所 述任何其他氧化製程來形成氧化物層306。在一些期望 低熱預算及/或降低氧氣擴散的實施例中,可使用電漿氧 16 201142936 化或自由基氧化。在材料層304進行氡 乳化期間,需要低 熱預算來避免穿遂氧化物層104的辨厘 坪作用。如在此所 使用,低熱預算代表在85〇t的尖峰、、田由^ 嗶'皿度下,少於數十 分鐘的爐操作的一熱預算。 接著,如第3C圖所說明,在步驟2〇6 +,在與執行氧 化步驟204相同的腔室中,藉由蝕刻製程來移除氧化物 層306。在進行材料層304的氧化並且移除以氧化物層 306之後,該材料層304的殘留部分通常為倒τ形狀, 例如,與第i圖所繪示的浮動閘極1〇6類似的形狀。蝕 刻製程可使用化學試劑或氣體.,該氣體包含氫氟酸 (HF)、氫氯酸(HC1)、或其他在此所揭露的蝕刻製程等 等。該#亥,J t程具選擇性的,例如,可選擇性地移除氧 化物層306。在一實施例+,該蝕刻製程對二氧化矽具 選擇性,且相對於包含多晶矽的材料層,可移除具有二 氧化矽的氧化物層306。在移除氧化物層3〇6期間,該 蝕刻製程可更進一步移除一部分的STI區域3〇2。 在完成蝕刻製程以形成具有倒丁形狀的浮動間極之 後’方法200通常在此結束。記憶體元件的更進一步處 理可包含IPD層與控制閘極層的沉積,與第1圖所描述 的IPD層與控制閘極層類似。在一些實施例中,在沉積 IPD層之别,將介於相鄰的材料層3〇4之間與sti區域 302上方的區域以間隙填充材料填滿,例如二氧化矽或 包含STI區域302的相同材料。接著,可藉由化學機械 平坦化(CMP)、或任何適合的平坦化方法,將填滿的區 17 201142936 域上方進打平坦化,使該區域與材料層304上方為實質 上平整。在間隙填滿與化學機械平坦化之後,接著蝕刻 間隙填充材料,以在沉積IPD層之前,在㈣的材料層 304之間設定ipD所期望的穿透深度。 或者,如第4圖所繪示,可使用方法4〇〇來形成具有 倒τ形狀的浮動閘極。參考第5A至5E圖來說明方法 400,第5A至5E圖是根據方法4〇〇的實施例來繪示記 憶體元件300的製造階段。方法4〇〇包含沉積犧牲氮化 物層,在使用氧化製程來氧化材料層3 〇4期間,可使用 犧牲氮化物層來限制氧氣的擴散。期望在如下所述的氧 化物層移除製程期間,限制氧氣的擴散以避免穿遂氧化 物層104的非預期增厚作用及/或避免非預期移除部分的 穿遂氧化物層104及/或STI區域302(或間隙填充材料)。 方法400通常開始於步驟4〇2,在步驟4〇2中提供如 第5A圖所示的部分製造的記憶體元件3〇〇。上文中已描 述記憶體元件300,且該記憶體元件3〇〇包含基板丨〇2, 該基板102具有設置在基板1〇2上的穿遂氧化物層ι〇4 以及具有設置在穿遂氧化物層1〇4上方的材料層3〇4。 s己憶體元件300更包含設置在基板1〇2中並且與穿遂氧 化物層104以及材料層3〇4相鄰的sti層302。 如第5C圖所示,在步驟4〇4中,將氮化物層5〇2形成 在材料層304與STI區域202的暴露表面上。可以任何 適合的氮化製程來形成該氮化物層502,例如,電漿氮 化或氮化矽沉積。氮化物層502包含氮化矽(siN)、氮氧 201142936 化石夕(s娜)或兩者。在材料層綱與爪區域如的水 平表面上所形成的氮化物層502的厚度比在材料層3〇4 的側壁上所形成的氮化物層5〇2來的厚(例如,藉由方向 性的氮化製程)。在-些實施例中,在材料層3〇4與st【 區域3G2的水平表面上的氮化物層厚度:在材料層綱 的侧壁上的氮化物層厚度比例為約2:】至約ι〇:卜在 一些實施例中’氮化物層502在材料層3()4肖m區域 302的水平表面上具有約5至約1〇⑽的厚度。在一些 實施例中,氮化物^ 502在材料層3〇4的側壁上具錢 1 nm或小於1 nrn的厚度。 在步驟406巾,可選擇性地氧化氮化物層5()2與㈣ 層304,以形成氮氧化物層5〇4與氧化物層5〇6。在與氮 化步驟504相同的腔室中執行氧化製程。氧化步驟:6 包含上述與方法200相關的任何適合的氧化製程,並且 可在第5C至5D圖所描述的單—階段製程中執行氧化步 驟406。如第5C圖所繪示,最初,氧化製程可幫助氣氧 化物層504的形成。氮氧化物層5〇4可消耗在材料層3〇4 與STI區域302的水平表面上的一部分氮化物層5〇2, 並且可實質消耗在材料層304侧壁上的全部氮化物層 502。在水平表面上的氮化物層5〇2的增加厚度可限制或 避免該水平表面的下層表面的氧化。隨著位在材料層3〇4 側壁上的氮化物層502的消粍,該氧化製程可消耗一部 分的材料| 304。因為設置在水平表面上殘留未消耗的 氮化物層502 ’所以材料層側壁的氧化會此在水平表面 201142936 上進行的更快。 如第5D B所說明,通常藉由消耗側壁内部的材料層 304’在材料層3G4的側壁上以—較快速率來進行氧化製 程,而形成氧化物| 506。材料層3〇4的殘留未消耗的 部分通常為所期望的倒τ形狀。並且,如第5d圖所說 明,儘管是以比消耗側壁上的材料層3〇4還要慢的速率 下,該氧化製程仍繼續消耗一部分殘留的氮化物層5〇2 與一部分的STI區域302。 在步驟408中,如第5E圖所說明,移除氮氧化物層 504與氧化物層506,產生具有倒τ形狀的浮動閘極。可 藉由與上述方法200相關的蝕刻製程來移除該等層,例 如,濕式或乾式化學蝕刻、反應離子蝕刻等等。該蝕刻 製程是具有選擇性的,例如,可選擇性移除氮氧化物層 5〇4與氧化物層506。在一實施例中’蝕刻製程對於二氧 化矽(Si〇2)、氮氧化矽(Si〇N)與氮化矽(siN)具選擇性, 且在對包含多晶矽的材料層304具選擇性的情況下,移 除包含氮化矽(SiN)的氮化物層502、包含氮氧化矽(Si〇N) 的氮氧化物層504以及包含二氧化矽(Si〇2)的氧化物層 506。如第5E圖所說明’蝕刻製程可進一步地選擇性移 除一部分的STI區域302。在一些實施例中,飯刻製程 可為多段式蝕刻製程。例如,最初的蝕刻製程可僅對二 氧化矽(Si〇2)具選擇性’用以移除氧化物層506。接著, 餘刻製程可對氮氧化矽(SiON)與氣化矽(SiN)具選擇 性’用以移除氮氧化物層504與氮化物層502。在完成 20 201142936 蝕刻製程以形成具有倒τ形狀的浮動閘極之後,可進— 步處理記憶體it件2〇〇’例如藉由沉積㈣層與控制門 極層,類似於有關P圖所描述的_層與控制閉極:。 如上所述,相鄰材料層304之間的間隙填充與填充區域 的CMP以及接著餘刻贫p七亩亡拓α 有蝕幻4已填充區域的步驟,可在 IPD層之前執行》 如上所述’在-些實施例中可能想要低熱預算(例如,ImPlantation; P3I) or decoupled plasma oxidation (Dp〇). Alternatively, a thermal oxidation chamber can be used, such as the rADIANCE®, Vantage<s RADOX chamber sold by Applied Materials, Inc., located in Santa Clara, Calif., or including remote and/or close-range plasma sources. Burning stove. An exemplary thermal oxidation process can be performed using various oxidation chemistries that include varying the concentration of reducing gas such as one or more hydrogen (helium, ammonia (NH3), etc. in the oxidizing gas mixture, the oxidizing gas exhibit The composition comprises an oxidizing gas 'eg one or more of oxygen (〇2), nitric oxide (NO), nitrous oxide (n2〇), and the like, and optionally an inert gas, such as one or more of nitrogen ( N2), argon (Ar), helium (He), etc. An exemplary plasma oxidation process may use any oxidation chemistry as described in the thermal oxygen 13 201142936 process, with or without a heating chuck ( The plasma oxidation process is performed under heating chuck. A photochemical process can also be applied, such as using an oxygen species (such as oxygen) to form an oxide layer in the presence of ultraviolet light (UV), or applying a wet chemical oxidation such as using chemistry. a solution containing nitric acid (HNO3), other acids suitable for oxidation. However, typically these chambers are configured to perform only an oxidation process and are not configured to perform low temperature processing, For example, low temperature etching. Therefore, it is necessary to modify these chambers to achieve the rapid temperature changes required between oxidation and etching. Specific details are provided below. Or 'can be performed in any suitable and modified etching chamber In the method embodiments described herein, the etch chamber is configured for wet or dry etching, reactive ion etching (RIE), etc. An exemplary etch chamber includes an application located in Santa Clara, California. SICONITM, Producer® or CarinaTM chambers sold by Materials Co., Ltd. A non-limiting alternative dry etching process consisting of ammonia (NH3) or nitrogen trifluoride (7) gas or anhydrous hydrogen fluoride with far-end plasma ( HF) a gas mixture which can condense on cerium oxide at a low temperature (for example, about 3 〇〇c) of an anhydrous hydrogen fluoride (HF) gas mixture' and the reaction can form at moderate temperatures (for example, greater than 100. (:) The sublimated compound 'used to etch cerium oxide. This exemplary money engraving process can be reduced over time and will eventually reach saturation at one point' at that point unless there will be one Part of the compound removal (for example, by the sublimation process described above) 'otherwise no further silver ingots can be produced. It can be controlled using the above mechanism and/or by a timed etching process (eg, etching for a predetermined period of time) The etching process can be exemplified by the wet etching process of 201142936. The exemplary plasma or remote plasma etching process 3 or etchant, such as carbon tetrafluoride (CF4), trifluoromethane ( CHF3), sulfur hexafluoride (SF6), hydrogen (Η:), etc. and can be performed with or without a heated chuck. For different material compositions (eg, uneven surface, etc.) The selectivity of the button is controlled from about i to about 1000. For example, in some embodiments, in the case of a sulphur dioxide, the selectivity to the singular (four) etch may be about when the (four) rate drops to the initial etch. At about 0% to about 90%, or to about 75% of the rate, the etch can be terminated to provide thickness control of the buttoned material. For example, the termination (four) process as described above in a real-time example provides thickness control for the characterization. The above control is particularly beneficial when etching is deposited on an oxide layer on an inhomogeneous material (e.g., comprising tantalum and niobium dioxide). A residual chamber, such as a SIC0NI chamber, would need to be modified to perform an oxidation process in the chamber, as described in more detail below. Thus, the method 200 performed in a single chamber begins in step 202 where a substrate is provided - the substrate has a layer of material that will become a floating gate. For example, as shown in Figure 3A, substrate 1〇2 and material layer 304 can be part of a partially fabricated memory component. The memory cell #3〇〇 may include a substrate 1?2 having a pass-through oxide layer 1?4 disposed on the substrate 102. A material layer 3〇4 is deposited over the tantalum oxide layer 1()4. A shallow trench isolation (STi) region 302 (like the m region 108) is deposited adjacent to the via oxide layer and material layer 304. After the method 2 begins, other fabrications are performed to provide the substrate and the partially fabricated memory device 3〇〇. The method of 201142936 includes depositing an insulating material (eg, hafnium oxide) in the STI region 302; Flattening the level of the insulating material with the upper surface of material layer 304; and etching the insulating material down to a desired level to create a substrate having material layer 304, and in accordance with the techniques provided herein The material layer 304 is prepared to be treated as a floating gate. Material layer 304 can comprise a conductive material such as polysilicon, metal, or the like. Material layer 304 typically has a slightly trapezoidal or rectangular cross section. The material layer 3〇4 typically has a suitable starting shape such that when oxidized and/or etched by the methods described herein, the material layer 3〇4 can be as described above in connection with FIG. Inverting the T-shaped floating gate (eg, patterning and etching the material layer 304 to help form the STI structure 3〇2, and the resulting profile of the material layer 304 can be further processed as described herein) Starting point). As shown in FIG. 3B, in step 2〇4, the material layer 3〇4 is selectively oxidized to form an oxide layer 3〇6, and an oxide layer 3〇6 is formed over the material layer 304 and on the sidewalls. And the oxide layer 3〇6 may contain cerium oxide, a metal oxide, or the like. In some embodiments, the oxide layer 306 can consume a layer of material 3〇4 to a depth of about 3 to about nm5 nm, or about 1 nm. The 'oxide layer 3〇6 as shown in Fig. 3B may further consume (or otherwise erode or replace) a portion of the STI region 302. Oxidation can be formed using wet or dry oxidation, rapid thermal oxidation (RT〇), free radical deuteration, plasma oxidation (eg, decoupled plasma oxidation (Dp〇)), or any other oxidation process described herein. Layer 306. In some embodiments where a low thermal budget and/or reduced oxygen diffusion is desired, plasma oxygen or radical oxidation can be used. During the enthalpy of emulsification of material layer 304, a low thermal budget is required to avoid the discriminating effect of the ruthenium oxide layer 104. As used herein, the low heat budget represents a thermal budget for furnace operation of less than tens of minutes at a peak of 85 〇t. Next, as illustrated in Fig. 3C, in step 2 〇6 +, the oxide layer 306 is removed by an etching process in the same chamber as the oxidizing step 204. After oxidation of material layer 304 and removal of oxide layer 306, the residual portion of material layer 304 is typically in the shape of an inverted τ, for example, a shape similar to floating gate 1 〇 6 depicted in FIG. The etching process may use a chemical reagent or gas. The gas may include hydrofluoric acid (HF), hydrochloric acid (HC1), or other etching processes disclosed herein. The hexene is selectively selective, for example, to selectively remove the oxide layer 306. In an embodiment +, the etching process is selective to cerium oxide and the oxide layer 306 having cerium oxide can be removed relative to the material layer comprising polysilicon. The etching process may further remove a portion of the STI region 3〇2 during the removal of the oxide layer 3〇6. After the etching process is completed to form a floating interpole having a inverted shape, the method 200 generally ends here. Further processing of the memory component can include deposition of the IPD layer and the control gate layer, similar to the IPD layer described in Figure 1 and the control gate layer. In some embodiments, the regions between adjacent material layers 3〇4 and above the sti region 302 are filled with a gap fill material, such as hafnium oxide or containing STI regions 302, in the deposition of the IPD layer. The same material. The filled region 17 201142936 can then be planarized by chemical mechanical planarization (CMP), or any suitable planarization method, to substantially planarize the region above the material layer 304. After the gap fills and chemical mechanical planarization, the gap fill material is then etched to set the desired penetration depth of the ipD between the material layers 304 of (4) prior to deposition of the IPD layer. Alternatively, as depicted in Figure 4, method 4A can be used to form a floating gate having an inverted τ shape. The method 400 is illustrated with reference to Figures 5A through 5E, which illustrate the stage of fabrication of the memory element 300 in accordance with an embodiment of the method 4A. Method 4 includes depositing a sacrificial nitride layer, and during the oxidation process to oxidize the material layer 3 〇4, a sacrificial nitride layer can be used to limit the diffusion of oxygen. It is desirable to limit the diffusion of oxygen during the oxide layer removal process as described below to avoid undesired thickening of the passivated oxide layer 104 and/or to avoid undesired removal of the pass-through oxide layer 104 and/or Or STI region 302 (or gap fill material). The method 400 generally begins in step 4A2, in which a partially fabricated memory element 3A as shown in FIG. 5A is provided. The memory element 300 has been described above, and the memory element 3 includes a substrate 丨〇2 having a through-silicon oxide layer ι 4 disposed on the substrate 1 以及 2 and having a ruthenium oxide disposed therethrough The material layer 3〇4 above the object layer 1〇4. The suffix element 300 further includes a sti layer 302 disposed in the substrate 1〇2 and adjacent to the tantalum oxide layer 104 and the material layer 3〇4. As shown in Fig. 5C, in step 4〇4, a nitride layer 5〇2 is formed on the exposed surface of the material layer 304 and the STI region 202. The nitride layer 502 can be formed by any suitable nitridation process, such as plasma nitridation or tantalum nitride deposition. The nitride layer 502 comprises tantalum nitride (siN), nitrogen oxides 201142936 fossils (sna) or both. The thickness of the nitride layer 502 formed on the horizontal surface of the material layer and the claw region is thicker than the nitride layer 5〇2 formed on the sidewall of the material layer 3〇4 (for example, by directivity) Nitriding process). In some embodiments, the thickness of the nitride layer on the horizontal surfaces of the material layers 3〇4 and st [region 3G2: the thickness ratio of the nitride layer on the sidewalls of the material layer is about 2:] to about ι在: In some embodiments, the nitride layer 502 has a thickness of about 5 to about 1 〇 (10) on the horizontal surface of the material layer 3 () 4 ohm m region 302. In some embodiments, the nitride 502 has a thickness of 1 nm or less than 1 nrn on the sidewalls of the material layer 3〇4. At step 406, nitride layer 5() 2 and (iv) layer 304 are selectively oxidized to form oxynitride layer 5 〇 4 and oxide layer 5 〇 6. The oxidation process is performed in the same chamber as the nitrogenation step 504. The oxidation step: 6 comprises any of the suitable oxidation processes described above in connection with method 200, and oxidation step 406 can be performed in the single-stage process described in Figures 5C-5D. As illustrated in Figure 5C, initially, the oxidation process can aid in the formation of the gas oxide layer 504. The oxynitride layer 5〇4 may consume a portion of the nitride layer 5〇2 on the horizontal surface of the material layer 3〇4 and the STI region 302, and may substantially consume all of the nitride layer 502 on the sidewalls of the material layer 304. The increased thickness of the nitride layer 5〇2 on the horizontal surface can limit or avoid oxidation of the underlying surface of the horizontal surface. With the elimination of the nitride layer 502 on the sidewalls of the material layer 3〇4, the oxidation process can consume a portion of the material |304. Since the unconsumed nitride layer 502' remains on the horizontal surface, the oxidation of the sidewalls of the material layer is faster on the horizontal surface 201142936. As illustrated in Figure 5D B, the oxide process is typically performed by consuming an oxidation process on the sidewalls of material layer 3G4 by consuming the material layer 304' inside the sidewalls to form oxides 506. The remaining unconsumed portion of the material layer 3〇4 is generally in the desired inverted tau shape. Moreover, as illustrated in Figure 5d, the oxidation process continues to consume a portion of the remaining nitride layer 5〇2 and a portion of the STI region 302, albeit at a slower rate than the material layer 3〇4 on the sidewall. . In step 408, as illustrated in FIG. 5E, the oxynitride layer 504 and the oxide layer 506 are removed to produce a floating gate having an inverted τ shape. The layers can be removed by an etching process associated with method 200 described above, such as wet or dry chemical etching, reactive ion etching, and the like. The etch process is selective, for example, to selectively remove the oxynitride layer 5 〇 4 and the oxide layer 506. In one embodiment, the etch process is selective for cerium oxide (Si〇2), cerium oxynitride (Si〇N), and tantalum nitride (siN), and is selective for the material layer 304 comprising polycrystalline germanium. In this case, a nitride layer 502 containing tantalum nitride (SiN), an oxynitride layer 504 containing bismuth oxynitride (Si〇N), and an oxide layer 506 containing cerium oxide (Si〇2) are removed. The etch process as described in Figure 5E can further selectively remove a portion of the STI region 302. In some embodiments, the meal process can be a multi-stage etch process. For example, the initial etch process can be selective only for cerium oxide (Si 〇 2) to remove oxide layer 506. Next, the engraving process can be selective for bismuth oxynitride (SiON) and vaporized germanium (SiN) to remove the oxynitride layer 504 and the nitride layer 502. After completing the 20 201142936 etch process to form a floating gate having an inverted τ shape, the memory member 2 〇〇 can be further processed, for example, by depositing (four) layers and controlling the gate layer, similar to that described in relation to the P-picture. _ layer and control closed:: As described above, the gap between the adjacent material layers 304 is filled with the CMP of the filled region and then the step of the remaining NP4 filled area is performed before the IPD layer. 'In some embodiments, you may want a low thermal budget (for example,

材料的低擴散,該材料例如為—或多個㈣劑、U 石夕),例如,用以限制穿遂氧化物層1〇4或犯區域3〇2 的增厚。然而’假如能夠限制此種令人厭惡的增厚作用, 則可以使用高熱預算的製程(亦即,高氧氣擴散)。例如, 高熱預算製程(例如,濕式、乾式、或快速熱氧化)可提 供共形氧化、較快的氧化速率、較厚的氧化(例如,約5 至約15腹的厚度)以及更有效率的側壁氧化。此外’高 熱預算氧化製程可降低對不同晶體方向的材料層(用於 形成浮動間極)的選擇性’因此在氧化期間有益地產生平 、月表例士 W使用包含多晶矽的材料層來形成浮動 間極時’期望可降低對不同晶體方向的敏感度。例如, 該平滑表面可藉由降低接面電阻料Μ錢促進記憶 體元件的可靠度。 因此’在—些實施例中,如以下與第6圖相關的描述, 使用具有材料| 7〇2的部分製造的記憶體元件7〇〇,以 形成八有倒T形狀的浮動閘極。例如,相較於分別於第 3A與5A圖所說明的材料層3〇4,材料層7〇2可以較高。 21 201142936 此外,STI區域302的高度可根據材料層7〇2的高度來 縮小(例如,如上所述,藉由沉積以及回蝕間隙填充材 料,如二氧化矽),用於在STI區域3〇2的曝露表面與穿 遂氧化物層之間提供一增加距離,因而在高熱預算製程 期間幫助抵抗在穿遂氧化物層中的氧化擴散。在一些實 施例中,介於材料層702頂部與STI區域3〇2頂部之間 的間距實質上是與第3 A與5A圖中所說明的類似結構的 間距是等距的。相較於第3八與5A圖中類似的記憶體元 件,材料層702與STi區域302二者增加的高度可有利 地延長氧原子必須移動抵達穿遂氧化物層丨〇4的距離。 當限制穿遂氡化物層i 04的增厚時,該等結構所增加的 高度可允許使用較高的熱預算氧化製程。因此,藉由增 加在記憶體元件700中的STI區域3〇2的高度,可有益 地使用阿熱預算氧化製程,以形成具有倒T形狀的浮動 閘極。在進行高熱預算氧化製程以及移除所形成的氧化 物層後’接著使用蝕刻製程及/或更容易控制的低熱預算 氧化製程來降低在浮動閘極底部的厚度。將在下述内容 中參考第6至8圖來描述高熱預算氧化製程與蝕刻製程 或低熱預算氧化製程的合併使用。 舉例來說,第6圖是根據本發明的一些實施例來說明 製造具有浮動閘極的半導體元件的方法600。方法600The low diffusion of the material, for example, is - or a plurality of (four) agents, U, for example, to limit the thickness of the through oxide layer 1〇4 or the area 3〇2. However, if such a disgusting thickening effect can be limited, a high thermal budget process (i.e., high oxygen diffusion) can be used. For example, high thermal budget processes (eg, wet, dry, or rapid thermal oxidation) can provide conformal oxidation, faster oxidation rates, thicker oxidation (eg, thicknesses of about 5 to about 15 abdomen), and more efficient The sidewalls are oxidized. In addition, the 'high-heat budget oxidation process can reduce the selectivity of the material layer (used to form the floating interpole) for different crystal directions'. Therefore, it is beneficial to the life of the real estate during the oxidation, and the moon table uses a material layer containing polycrystalline germanium to form the floating room. Extremely 'expected to reduce sensitivity to different crystal directions. For example, the smooth surface can promote the reliability of the memory component by reducing the junction resistance. Thus, in some embodiments, as described below in connection with Fig. 6, a memory element 7A fabricated with a portion of material | 7〇2 is used to form a floating gate having eight inverted T shapes. For example, the material layer 7〇2 may be higher than the material layer 3〇4 illustrated in Figures 3A and 5A, respectively. 21 201142936 Furthermore, the height of the STI region 302 can be reduced according to the height of the material layer 7〇2 (for example, as described above, by depositing and etching back a gap filling material such as cerium oxide) for use in the STI region 3〇 An increased distance between the exposed surface of the layer 2 and the tantalum oxide layer helps to resist oxidative diffusion in the tantalum oxide layer during the high thermal budgeting process. In some embodiments, the spacing between the top of material layer 702 and the top of STI region 3〇2 is substantially equidistant from the spacing of similar structures illustrated in Figures 3A and 5A. The increased height of both material layer 702 and STi region 302 can advantageously extend the distance that oxygen atoms must travel to reach the tantalum oxide layer 丨〇4, as compared to similar memory elements in Figures 3 and 5A. The increased height of the structures allows for the use of a higher thermal budget oxidation process when limiting the thickening of the passivation layer i04. Thus, by increasing the height of the STI regions 3 〇 2 in the memory device 700, an athermal budget oxidation process can advantageously be used to form a floating gate having an inverted T shape. After performing the high thermal budget oxidation process and removing the formed oxide layer, the thickness of the floating gate bottom is then reduced using an etching process and/or a more easily controlled low thermal budget oxidation process. The combination of a high thermal budget oxidation process with an etching process or a low thermal budget oxidation process will be described with reference to Figures 6 through 8 below. For example, Figure 6 illustrates a method 600 of fabricating a semiconductor component having a floating gate in accordance with some embodiments of the present invention. Method 600

疋參考第7A至7D與8A至SB圖來說明,第7A至7D 與8A至8B圖是根據方法6〇〇的實施例來描述記憶體元 件700的製造階段。 22 201142936 方法600通常開始於步驟6〇2,在步驟6〇2中提供具 有材料層的基板’該材料層將形成浮動閘極。例如,如 第7A圖所示’基板1〇2與材料層7〇2可為部分製造的記 憶體元件700的一部分。記憶體元件7〇〇包含基板1〇2, 該基板102具有設置在基板1〇2上的穿遂氧化物層1〇4。 材料層702可設置在穿遂氧化物層1()4上方。淺溝槽絕 緣(ST!)區域302可設置在基板上,冑如區域搬與穿 遂氧化物層104及材料層7〇2相鄰。在上述内容中已說 明基板102、穿遂氧化物層1〇4以及STI區域3〇2。 材料層702包含導電材料,例如多晶矽、金屬等等。 材料層7G2具有-^始形狀,該形狀包含實質矩形的截 面或略呈梯形的截面。材料層7〇2通常可具有任何適合 的起始形狀,使得當以在此所描述的方法進行氧化及/或 蝕刻時,可將材料層702形成具有倒τ形狀的浮動閘極。 材料層702具有大於約3〇 nm的高度、或高達約13〇⑽ 的高度。材料層702具有大於約2 : i的高寬比。 接著,在步驟604中,可選擇性氧化材料層7〇2,以 形成第-氧化物層704,如第7B圖所示。將第一氧化物 層704形成在材料層7〇2的側壁上方,且該第一氧化物 層704包含氧化矽、金屬氧化物等等。在一些實施例令, 第-氡化物層704可消耗材料層7G2至約5至約15請、 或約10nm的深度。第一氧化物層7〇4可使一部分的sn 區域302 f的更厚。可使用濕式或氧化、快速熱氧化 卿)、自由基氧化”戈電聚氧化(例如,去耦合電漿氧 23 201142936 化(DPO))來執行氧化物層的形成。 ^ ^ 二布望較低的埶 預算及/或降低氧氣擴散的實施例中’使用電毁敦化或自 :基氧化。在進行材料層702的氧化期間,需要低:預 算來避免穿遂氧化物層1〇4的增厚。 在氧化之後’材料層702的殘留部分通常為倒Τ形狀, 該倒Τ形狀具有比預期最終形狀更大的尺寸(例如,底部 的高度較大及/或主幹的寬度較大)。在步驟6〇6中在 與步驟604相同的腔室中藉由蝕刻製程將第一氧化物層 7〇4移除’產生具有一般倒τ形狀的浮動閘極,如第 圖中所說明的材料| 7G2的殘留部分。該㈣製程可為 濕式或乾式㈣、或反應性離子—。該㈣製程可使 用包含氫氟酸(HF)、t氣酸的化學物質或氣 體。該触刻製程具選擇性’例如’可選擇性地移除第一 氧化物層704。在-些實施例中,㈣製程對二氧化石夕 具選擇性,且相對於包含多晶矽的材料層而移除包含二 氧化石夕的第-氧化物層7G4e在移除第—氧化物層7〇4 期間,蝕刻製程可更進—步地移除—部分& sti區域 302 » 在步驟608巾,使用㈣製程來移除另外一部分的殘 留材料層702,以形成具有期望倒τ形狀的浮動間極, 如第7D圖所示。㈣刻製程可為濕式或乾式㈣、或反 應性離子_。在-些實施例中,㈣製程為反應性離 子蝕刻。如上所述’使用方法_所形成的浮動閘極尺 寸與使用方法200及400所形成的浮動閘極尺寸相似。 24 201142936 以形成具有倒T形狀與上述尺寸的 600通吊會結束,且可執行更進一 體70件的製造。記憶體元件700的 在蝕刻材料層702 浮動閘極之後,方法 步的處理以完成記憶 更進-步處理可包含如上所述的㈣層與控制間極層的 沉積。可選擇地,在沉積IPD層之前,執行間隙填充斑 CMP製程’接著將已填充區域反㈣在相鄰浮動間極之 間的區域控制IPD層的期望深度,如上所述。 或者’在-些實施例中,在移除第一氧化物層剔之 後,方法600由相同的腔室6〇6進行至腔室61〇,在腔 室610中將材料層選擇性氧化以形成第二氧化物層 706。將第二氧化物層7〇6形成在材料層7〇2的殘留部分 的上方與側壁處’如第8A圖所示,且第二氧化物層7〇6 包含氧化矽、金屬氧化物等等。在一些實施例中,第二 氧化物層706可消耗材料層7〇2至約$至約15 nm '或 約1〇 nm的深度。可使用濕式或氧化、快速熱氧化 ()自由基氧化、或電_氧化(例如,去輕合電漿氧 化(DPO))來執行氧化物層的形成,且因為期望較低熱預 算及/或降低氧氣擴散,可使用電漿氧化或自由基氧化。 在一些實施例中,可將低熱預算定向氧化(例如,電漿氧 化)用於第二氧化物層7〇6在材料層7〇2的水平表面上以 尚於側壁表面的速率來成長的情況中。 在進订選擇性蝕刻以形成第二氧化物層706之後,材 料層702的殘留部分通常為倒T形狀。在步驟612中, 藉由蝕刻製程移除第二氧化物層706,以完成具有倒τ 25 201142936 形狀的浮動閘極之形成,如第8β _ 圖中所說明的材料層 7〇2的殘留部分。該蝕刻製程可 材卄僧 離子蝕刻。該蝕刻製程可使用 飞汉應性 使用包含乳氟酸㈣、氫氣酸 _專的化學物質或氣體。餘刻製程可具選擇 如,對於移除第二氧化物層寫具選擇性。在—實 中,蝕刻製程可對二氧化石夕罝 擇性,且相對於包含多 晶矽的材料層702而移除句合-每 于 、包3一氧化石夕的第二氧化物層 。在移除第二氧化物廣7〇6期間,钮刻製程可更進一 步地移除一部分的STI區域3〇2。 在#刻材料層7〇2的殘留部分以移除第二氧化物層 7〇6且形成具有倒T形狀的浮動閉極之後,方法_通 常會結束。以方法_所形成的浮動閘極與在步驟608 中所述的浮動閘極具有相同尺寸。記憶體元件700的更 進-步處理包含如上所述的㈣I與控制極層的沉 積0 如上所述,雖然對於一些實施例來說高熱預算製程是 較有益的’但是當施加較高熱預算時,材料層(如上述的 材料層702)的氧化速率會傾向飽和。舉例來說,氧化速 率傾向飽和的情形會造成無法將材料I 7〇2成形為具有 期望尺寸的形狀、造成穿遂氧化物層1〇4的增厚、或兩 者均會發生。更進-步地來說,即使在較低溫度範圍下 (例如’ 3G C )起始氧化速率是高的,然而在使用任何寬 2度範圍(例如介於約30至約11〇(rc之間)的情況下仍會 造成氧化速率的飽和。對於在此所揭露的所有氧化步驟 26 201142936 而言’此溫度範園是有效的。此外 度下產生電爿I备儿* 在至/皿或更低》皿 學(例如皇: 化學(UV或臭氧)、或乾式/濕式化 予(例如臭氧、硝酸、讲备儿与、讨亡 人已經發展出: )氧化。因此,本發明 方… 用於塑形材料層(例如材料層702)的 第9圖方法有並地使用如下所述的高起始氧化速率。 9 ^·、預异下Μ速㈣飽和,第 ^吊W氣化物層厚度與時間的函數。等溫線圆 化仏’該氧化製程在所需的任意溫度下連續 ^化物層。最初,在等溫線刪的第—週期觀 ,, 4氧化速率是高的,以在第—週期1GG2期間所 =Γ、氧化物層…°°4來說明之。隨著卿 _二)(::’氧化速率開始飽和,如,在第二週期 亥第二週期1006與第一週期1002等長且立 跟隨在第一h « ° ^ 02之後),在第二週期1006期間所成 二氧化物層厚度麵小於第一氧化厚度刪, k疋知因於在第二週期_6期間的較慢氧化Referring to Figures 7A through 7D and 8A through SB, FIGS. 7A through 7D and 8A through 8B are diagrams depicting the fabrication phase of memory element 700 in accordance with an embodiment of method 6A. 22 201142936 Method 600 generally begins with step 6〇2, in which a substrate having a material layer is provided in the step 〇2, which material layer will form a floating gate. For example, the substrate 1〇2 and the material layer 7〇2 as shown in Fig. 7A may be part of the partially fabricated memory element 700. The memory element 7A includes a substrate 1〇2 having a pass-through oxide layer 1〇4 disposed on the substrate 1〇2. A material layer 702 may be disposed over the tantalum oxide layer 1 () 4 . The shallow trench insulation (ST!) region 302 may be disposed on the substrate, such as the region adjacent to the through oxide layer 104 and the material layer 7A2. The substrate 102, the tantalum oxide layer 1〇4, and the STI region 3〇2 have been described in the above. Material layer 702 comprises a conductive material such as polysilicon, metal, and the like. The material layer 7G2 has a shape of a substantially rectangular cross section or a substantially trapezoidal cross section. The material layer 〇2 can generally have any suitable starting shape such that when oxidized and/or etched in the manner described herein, the material layer 702 can be formed into a floating gate having an inverted τ shape. Material layer 702 has a height greater than about 3 〇 nm, or a height of up to about 13 〇 (10). Material layer 702 has an aspect ratio greater than about 2:i. Next, in step 604, the material layer 7〇2 is selectively oxidized to form the first oxide layer 704 as shown in Fig. 7B. A first oxide layer 704 is formed over the sidewalls of the material layer 7〇2, and the first oxide layer 704 comprises hafnium oxide, a metal oxide, or the like. In some embodiments, the first germanide layer 704 can consume a layer of material 7G2 to a depth of from about 5 to about 15, or about 10 nm. The first oxide layer 7〇4 may make a portion of the sn region 302f thicker. The formation of an oxide layer can be performed using wet or oxidized, rapid thermal oxidation, and free radical oxidation (eg, decoupled plasma oxygen 23 201142936 (DPO)). In the embodiment of low enthalpy budget and/or reduced oxygen diffusion, 'use of electric destructive or self-based oxidation. During the oxidation of material layer 702, low: budget is required to avoid the increase of the enthalpy oxide layer 1 〇 4 Thick. After oxidation, the residual portion of material layer 702 is typically a collapsed shape having a larger dimension than the expected final shape (eg, the height of the bottom is greater and/or the width of the stem is larger). In step 6〇6, the first oxide layer 7〇4 is removed by an etching process in the same chamber as step 604 to generate a floating gate having a generally inverted τ shape, as illustrated in the figure. The residual portion of 7G2. The (4) process may be wet or dry (4), or reactive ion. The (4) process may use a chemical or gas containing hydrofluoric acid (HF), t gas acid. Selectively remove the first oxidation Layer 704. In some embodiments, the (d) process is selective for the dioxide, and the first oxide layer 7G4e comprising the dioxide is removed relative to the material layer comprising the polycrystalline germanium. During the layer 7 〇 4, the etch process may be further removed - the portion & sti region 302 » at step 608, using the (d) process to remove another portion of the residual material layer 702 to form the desired inverted τ The shape of the floating interpole, as shown in Figure 7D. (d) the engraving process can be wet or dry (four), or reactive ions _. In some embodiments, (d) process is reactive ion etching. As described above Method _ The resulting floating gate size is similar to the size of the floating gate formed using methods 200 and 400. 24 201142936 To form a 600-way hoist with an inverted T shape and the above dimensions, and a further 70 pieces can be implemented. Fabrication of the memory element 700 after etching the material layer 702 floating gate, the processing of the method step to complete the memory further processing may include deposition of the (four) layer and the control interlayer as described above. Alternatively, Depositing IPD Previously, the gap fill patch CMP process was performed 'then the area of the padded area is reversed (four) between the adjacent floating interpoles to control the desired depth of the IPD layer, as described above. Or in some embodiments, in the removal After the oxide layer is removed, the method 600 proceeds from the same chamber 6〇6 to the chamber 61〇, where the material layer is selectively oxidized to form the second oxide layer 706. The second oxide layer is formed 7〇6 is formed above the residual portion of the material layer 7〇2 and at the sidewall as shown in FIG. 8A, and the second oxide layer 7〇6 contains yttrium oxide, metal oxide, etc. In some embodiments The second oxide layer 706 can consume a layer of material 7 〇 2 to a depth of about $ to about 15 nm ' or about 1 〇 nm. The formation of an oxide layer can be performed using wet or oxidative, rapid thermal oxidation () radical oxidation, or electro-oxidation (eg, de-lighted plasma oxidation (DPO)), and because a lower thermal budget and // Or to reduce oxygen diffusion, plasma oxidation or free radical oxidation can be used. In some embodiments, low thermal budget directed oxidation (eg, plasma oxidation) can be used for the second oxide layer 7〇6 to grow on the horizontal surface of the material layer 7〇2 at a rate that is still at the sidewall surface. in. After the selective etching is performed to form the second oxide layer 706, the residual portion of the material layer 702 is generally an inverted T shape. In step 612, the second oxide layer 706 is removed by an etching process to complete the formation of a floating gate having the shape of the inverted τ 25 201142936, such as the residual portion of the material layer 7 〇 2 illustrated in the eighth β _ . The etching process can be ion etched. The etching process can use a chemical or a gas containing a hydrofluoric acid (IV) or a hydrogen acid. The engraving process can be selected, for example, to remove the second oxide layer writing selectivity. In the actual process, the etching process may be selective for the dioxide, and the second oxide layer may be removed from each other with a layer of oxidized stone per oxidized layer relative to the material layer 702 comprising polycrystalline germanium. During the removal of the second oxide, the button process further removes a portion of the STI region 3〇2. After the remaining portion of the material layer 7〇2 is removed to remove the second oxide layer 7〇6 and form a floating closed pole having an inverted T shape, the method _ will usually end. The floating gate formed by method_ has the same dimensions as the floating gate described in step 608. Further advance processing of memory element 700 includes deposition of (iv) I and gate layer as described above. 0, although high thermal budgeting processes are more beneficial for some embodiments, but when a higher thermal budget is applied, The rate of oxidation of the material layer (such as material layer 702 described above) tends to be saturated. For example, a situation in which the oxidation rate tends to be saturated may result in the inability to shape the material I 7〇2 into a shape having a desired size, causing thickening of the through-oxide layer 1〇4, or both. Further, even if the initial oxidation rate is high at lower temperature ranges (eg, '3G C ), any width range of 2 degrees is used (eg, between about 30 and about 11 〇 (rc) In the case of sinter, the oxidation rate is still saturated. For all the oxidation steps 26 201142936 disclosed herein, this temperature is effective. In addition, the electricity is generated. Lower" (eg, emperor: chemistry (UV or ozone), or dry / wet (for example, ozone, nitric acid, babies, and negliders have developed:) oxidation. Therefore, the present invention... The method of Figure 9 for a layer of shaped material (e.g., material layer 702) has a high initial oxidation rate as described below. 9 ^·, pre-different idling (four) saturation, TEM vapor deposition layer The function of thickness and time. The isotherm is rounded 仏' The oxidation process is continuous at any desired temperature. Initially, in the first cycle view of the isotherm, the oxidation rate is high, to During the period -1GG2 = Γ, oxide layer ... ° ° 4 to explain. With qing _ 2) (:: ' The rate of saturation begins to saturate, for example, in the second period, the second period 1006 is equal to the first period 1002 and the second is followed by the first h « ° ^ 02, and the thickness of the dioxide layer formed during the second period 1006 The surface is smaller than the first oxidized thickness, k 疋 is known to be slower oxidized during the second period _6

明人P审、社 ^ 7X 進—步發現在各種溫度下伴隨產生的等溫線 1000的一般形狀。 因此’為了將材料層702塑形至預期形狀,需要高熱 預算來達成所需要的氧化物層厚度,以形成期望的浮動 閘極,寸。不幸的是,在製造某些結構期間,高熱預算 氧化製私的應用會非期望地造成氧氣(〇2)擴散至曝露的 氧化物層(例如’穿遂氧化物層1G4),導致氧化 望地增厚。 别 27 201142936 因此,在方法600的一些實施例中,重複的氧化與蝕 刻製程可有益地使用施加在第一週期i 〇〇2期間的高起 始氧化速率’如上述第9圖所說明。例如,在一些實施 例中,在步驟604中,在一起始氧化速率下將材料層(例 如,材料層702)表面氧化,以形成氧化物層(例如,第一 氧化物層704)。可將材料層7〇2氧化歷經第一週期時間 (例如,第一週期1〇〇2),在此時該氧化速率是相對高的。 在氧化速率降低至預定值之後,例如,在第二週期1 期間,終止氧化製程。在一些實施例中,當氧化速率為 起始氧化速率的約90%或更低、或約75%或更低時,則 終止第一氧化物層704的形成。在一些實施例中,當氧 化逮率介於起始速率的約〇%至约9〇%或、或約75%時, 則終止第一氧化物層704的形成。 —旦氧化製程被終止,在步驟6〇6中,藉由蝕刻製程 將至少—些的第一氧化物層704移除(如上所述以及如第 7C圖所述)。如帛7C圖所說明,一旦該第一氧化物層 7〇4被移除,材料層7〇2會至少部分成為如上述所期望 的形狀。移除第一氧化物層7〇4提供材料層7〇2的剛曝 露的表面,該剛曝露的表面可更進一步地被氧化直到形 :所期望的氧化物層形狀。在一些實施例中,蝕刻製程 可為兩段凝結以及昇華蝕刻製程,如上所述。在一些實 施例中’當刻速率下降至起始㈣速率㈣〇%至約 75%、或至約90%時’則會終止银刻製程。蝕刻速率的下 降可歸因於材料對比(例如’ Si對si〇2的選擇性)或擴散 28 201142936 ==’在同—層)。在㈣製程期間, 獨立的材料曰的相依性可在犧牲氧化期間提供額外或 移除控制方法。此提供在異質的表面( 的能力,如示例在浮動閘極形成結構 上辻 、土板上移除氧化材料時’可有利地使用 上述方法以避免移除非均勻的材料。 :例來說’在步驟㈣巾’將部份塑形的材料層7〇2 的曝露表面再次氧化,以形成另一氧化物層(例如,第二 氧化物層7G6)。以—起始氧化速率來進行氧化製程,該 起始氧化速率實質相;^ 、+、m 相專於上述用於移除第-氧化物層 二4的起始氧化速率。如上所述,在氧化速率下降至預 疋值後’例如在第二週期1〇〇6期間,則終止氧化製程。 所期望的製程終止點可為任何與上述相似的時間。於第 8A时說明形成第二氧化物層鳩的氧化製程。 旦將重複的氧化製程終止,在步驟612巾,可藉由 蝕刻製程來移除至少一些的第二氧化物層7〇6(如上戶;述 且於第8B圖中說明)。如第86圖所說明,一旦第二氧化 物層706被移除,該材料層⑽可形成期望形狀,如上 所述。或者’將帛二氧化物層7〇6移除可再次提供材料 層702剛曝露的表面,該剛曝露的表面可更進一步的被 氧化直到形成所期望的材料層形狀。因此,雖然所揭露 為只重複一次氧化以及蝕刻製程,但這些製程可視需求 連續重複許多次,以形成所期望的材料層形狀(亦即,可 將製程重複一或多次)。 29 201142936 與連續執行的氧化製程比較起來,氧化以及移除氧化 物廣的錢製程t的氧化能夠在相同熱預算下形成較夕 的氧化物。在單―腔室中執行氧化以及移除氧化= 循環製程可大幅提高製程生產量。例如,如第9圖所: 不,一連續實施氧化製程(例如在第一週期1〇〇2與第二 週期祕所實施的等溫線_)將形成具有厚度為第二 厚度1〇04與第二厚度咖總合的氧化物層。然而,在 使用與連續氧化製程相同的熱預算下,循環的氧化與移 ^程可導致總氧化物厚度(例如’第一氧化物層州與 第一氧化物層7。6的厚度總合)為第一厚度刪的兩 =,該循環的氧化與移除製程例如為在第一週期 氧化物層(例如,第-氧化物層,移除第 苐—週期1006期間氧化材料層以形 第-氧化物層(例如’第二氧化物層706)。 :第9圖中說明循環的氧化與移除製程 Γ。如說明’在第-週期-之後,等溫線心 質上偏離等溫線1000Γ π 了1明(代表連續氧化製程而,僅為 "mo圖中’將等溫線1G1G描繚為直線。根 =何實施循環的氧化與移除製程,該等溫線1010可為 二何形狀。例如’假如每-個重複的氧化製程均進行相 同週期時間(例如第一调_ , 〗進仃相 期… 帛週期1002),那麼在第-週期職 =在每-個連續步驟下該等溫線ι〇ι。可具有 、:線1010的形狀。或者’將循環的氧化與移除製程中的 連續步驟實施與胃_ ⑯除t程中的 功(未圖不)不同的期間,該等溫 30 201142936 線1010的形狀可因此改變。然而,在循環的氧化與移除 製程期間所形成的總氧化物將大於由連續氧化製程使用 相同熱預算(例如,等溫線i 000)所形成的氧化物。在一 些實施例中’在循環的氧化與移除製程期間所形成的總 氧化物比藉由連續氧化製程使用相同熱預算所形成的氧 化物大於高達約3倍。 可有利地使用上述的循環的氧化與移除製程來形成其 他結構,其包含具有次微影尺寸的結構。此結構包含, 例如’超薄浮動閘極、鰭式場效電晶體(finFET)元件的韓 片、圖案化硬遮罩等等。 例如,在一些實施例中,能使用循環的氧化與移除製 程以形成超薄浮動閘極,如第丨丨A至丨1D圖所說明。第 11A至11D圖是根據本發明的一些實施例來描述浮動閘 極1102的製造階段。如第丨丨A圖所示,該方法開始於藉 由提供部分製造的記憶體元件11〇〇<>該記憶體元件11〇〇 與上述圯憶體1 〇〇的結構與組成相似。記憶體元件丨1 包含基板102,該基板丨〇2具有設置在基板1〇2上的穿 遂氧化物層104。將材料層11〇2(與上述任何材料層的組 成類似)設置在穿遂氧化物層i 〇4的頂部。將sti區域 ιι〇4(與上述sti區域的組成類似)設置在材料層11〇2的 每一側並與材料層11〇2相鄰。STI區域11〇4將元件 的個別記憶單元隔開。通常,STI區域j 1〇4的頂部表面 1103與材料層n〇2的頂部表面11〇5為實質上平坦。 接者,可將上述的循環的氧化與移除製程用於相同的 31 201142936 腔室中,以將材料層1102變薄至所期望的形狀(例如厚 度)。如上所述’以一起始氧化速率將材料層ιι〇2的頂 部表面1105氧化,用以形成氧化物層11〇6,如第"Η 圖所不。當氧化速率下降低於起始速率的特定百分比時 (如上所述),則會終止該氧化製程。接著藉由蝕刻製程 將氧化物層1106(與在STI區域U 04中的一部分氧化物 一起)移除,如第11C圖所示。可重複該氧化與移除製程 直到將材料層1102變薄至一期望形狀,以形成浮動 極0 在-些貫施例中’材料層11〇2的期望形狀在材料層 1102的底部具有第—寬度,該第—寬度實質等於在材料 層1102頂部的第二寬度。更進一步地,期望形狀包含材 料層⑽的最終厚度,例如,小於約5nm(然而可預期 其他?度’例如’約1至約20·、或約1至約10nm)。 該循裱的氧化與移除製程可有利地將材料層變薄 = 浮動閑極的期望形狀,而不會造成下層穿遂氧化物層 產生不需要的氧化增厚。本發明人已經發現到在m :::1104中的氧化物出現可作為避免氧化製程到達穿 盘:化物層HM的阻礙。如第10D圖所示’將IPD層圓 :6電層1110沉積在變薄的材料層1102的頂部,以形 =的記憶體元件11G〇eIPD層11()8與控制閘極 广適用於㈣層與控制閘極層的任何材料或材料組 σ,如上所述。 在-些實施例中’可使用循環的氧化與移除製程,將 32 201142936 結構形成臨界尺寸,該臨界 的臨界尺寸相似。例如,第11A 技術所獲得 的氧化與移除製& uc圖描述使用循環 ⑽依t 階段,心將微制案化結構 分製造的二 例如,結構1200可為部 W 70件’例如縛式場效電晶體(FinFET)、或 邛为製造的硬遮罩結構。 一 結構_包含材料層1202,該材料層咖沉積在基 板1204的頂部°將材料層沉積為如第UA圖所示, 使得基板1204的上表面12〇3的一或多個部分可維持曝 露。將遮罩層1206沉積在材料層12〇2頂部。例如,使 用遮罩層1206將材料層⑽圖案化至微影界定的臨界 尺寸。 如上所述,基板1204可為任何適合的基板。例如,在 一些製造邏輯元件的實施例中,基板12〇4包含矽(^)或 二氧化矽(Si〇2)。例如,在一些製造硬遮罩結構的實施例 中,基板12〇4包含層U08(在第11A至uc圖中以虛線 來說明)’該層1208沉積在非矽層121〇的頂部,並藉由 硬遮罩將该層12 0 8圖案化。當触刻非;g夕層12 1 〇時,該 層1208可用作第二硬遮罩。該層12〇8包含以下一或多 種:二氧化矽(si〇2)、氮化矽(SiN)、氧化鋁(Al2〇3)或其 他可在低溫下沉積的材料、或在(SOI)製造期間所形成的 埋入式氧化物。非矽層1210可包含金屬,例如一或多種 的鶴(W)、氮化鈦(TiN)等等、及/或包含介電材料,例如, 二氧化矽(Si〇2)、高k的二元氧化物、三元氧化物、相變 33 201142936 材料(例如氧化錦、鍺録締等等)及/或在ιν族(例如,錯、 石夕化錯)中的替代通道材料;及,或ΙΗ-V材料(例如,石申 化鎵、氮化鎵、鱗化銦等等)、及/或有機物(例如,五環 素(Pentacene)、碳6〇(fuUerenes)等等)。一些材料可在高 於1〇〇 C的溫度下退化,但該些材料可受惠於由本發明 方法所獲得的次微影圖案化,來提高元件性能。 遮罩層1206可為任何適合的遮罩層,例如硬遮罩或光 阻層。遮罩層12〇6可包含以下至少一個:二氧化矽 ⑻〇2)、氮化石夕_)'石夕化物(silicide),例如石夕化欽 (TiS〇、石夕化鎳(NiSi)等等、或石夕酸鹽&川咖),例如石夕 鋁(AlSiO)、石夕酸錯(ZrSi〇)、石夕酸給(财等等。 可將上述的循環的氧化與移除製程實施在現有的結構 12〇〇’將微影圖案化材料層12G2修正為次微影臨界尺 寸。如第11A圖所說明’在—些實施例中,以上述的起 ^氧化速率將材料層12G2的側壁1212與基板12G4的暴 路表面1203進行氧化,以形成氡化物層1214。在第-週期時間之後’當起始氧化速率下降低於如上述的起始 速率的-部分時,則會終止該氧化製程。 如第UC圖所示’纟用蝕刻製程來移除氧化物層 該敍刻製程可為上述的任何適合的敍刻製程,且 在與氧化製程相同的腔室中執行該蝕刻製程。可視需求 =複氧化與移除製程,將材料層12〇2成為期望形狀,例 /、有期望的次微影尺寸。在藉由氧化及/或敍刻製程 / °卩刀消耗基板12〇4(或氧化物層12〇8)的一些實施 34 201142936 :Γ:環氧化與崎程完成之後,藉由循環製程 曰202安置在基板1204的凸起部分1216。 部分1216可且古一宫由 _ _ 奥 部的第一宮 寬度’该寬度與接近材料層1202底 、 寬度以及接近材料層1202頂邙μ & ^ " 皙相莖+ 頂邛的苐二寬度實 :專。在—些實施例甲’經修正的材料層1202的第— 寬度與第二寬度可介於約1至約3〇 φ仑一政 主灼30 nm。在一些實施例 ^峰正的材㈣12〇2(例如’材料層的期望形狀)具 "於約0.5至約20的高寬比。在一些實施例中,經修 正的材料^ 12〇2的高度介於約】至約3“爪。或者,在 -些實施例中,不會因循環製程而實質消耗該基板,且 不會出現凸起部分1216。舉例來說,假如㈣製程對声 測的材料具選擇性’則可避免凸起部分的生成例如' 在-些實施例中當触刻二氧化石夕時,不會敍刻包含氮 化矽的層1208。 在使用循環的氧化與移除製程來修正材料層1 之 後’可更進—步地處理結構12〇〇。舉例來說,將材料層 1202作為鰭式場效電晶體(FinFET)元件的鰭片,並沉積 閘極層以及源極/汲極區域。或者,可使用經修正的材料 層1202本身’以界定由基麗所形成的硬遮罩的臨 界尺寸。更進-步地’可有利地使用本發明方法來降低 由微影與鰭片蝕刻(fin etch)所產生的線邊緣粗糙度與表 面粗糙度。在鰭式場效電晶體(FinFET)通道形狀與側壁 表面上的粗糙度與變異性的下降,可藉由降低雜訊與變 動性來改善元件與系統的性能。 35 201142936 二:-步地考慮到可替換地使用—部分及,或全部的 心 & ’該方法適合形成具有倒τ形狀的浮動閘 極的記憶體元件。舉例來說,將氮化物層(如第4圖所說 明)沉積在部分製造的記憶體元件的材料層7〇2頂部 (如第6圖所說明)’以更進一步限制穿遂氧化物層的增 。在此所描述的方法的其他組合以及變化同樣地落入 本發明的範疇中。 舉例來說, 的方法,例如 配置以提供所 述的製程。 可在單—基板處理腔室中執行在此所描述 ’氧化與蝕刻製程’該單-基板處理腔室 需的個別製程氣體、電漿等等,以執行上 因此在配置以可執行氧化、蝕刻以及選擇性執行氮 化製程的單—反應器或腔室中執行本發明方法。配置該 製程腔室,以執行氧化製程’該氧化製程包含以下一或 多種製程:紫外光系氧化製程、臭氡系氧化製程、熱氧 化製程、電漿氧化製程或其他自由基系氧化方法(例如熱 電阻線)。因此’將氣體來源輕接至該腔室以提供一或多 種用於氧化製程的含氧氣體。可更進一步地配置製程腔 室以執行蝕刻製程’該蝕刻製程包含以下一或多種製 程:電漿蝕刻' 4包含凝結與昇華的兩段式蝕刻,如上 所述。可利用電渡來活化該兩段式钱刻製程、或在沒有 提供電漿的情況下熱活化該兩段式蝕刻製程。更進一步 地配置製程腔室具有熱控制系統,用於快速控制基板溫 度,以幫助兩段式蝕刻製程。例如,製程腔室可包含循 36 201142936 環加熱器(以及冷卻器)’能夠用於循環加熱與冷卻該基 板。此加熱器能夠包含快閃能量系統(flash energy based system;例如’燈具、雷射等等)、熱源,該熱源在腔室 中的至少兩個預定基板處理區域間提供較大的熱梯度 (例如’適合藉由將基板放置在個別的處理區域中,以選 擇性地維持適用於凝結的低基板溫度以及適用於昇華的 高基板溫度)、或藉由使用用於蝕刻氣體的遠端電漿活化 的遠端電漿來源與直接電漿來源的組合來產生加熱。基 板支撐件是可移動的’用以在預定處理區域中支撐基 板,且該基板支撐件可更進一步包含升降銷或其他基板 升降機構,用以在製程的加熱部分期間,由支撐表面將 基板選擇性地升高,以及在製程的冷卻部分期間,將基 板送回基板支樓表面。基板支樓件亦可具有冷卻器(或溫 度控制)系統,以維持基板支撐件在預定溫度(例如,接 近用於蝕刻製程的凝結溫度)。例如,在一些實施例中, 熱控制系統適合快速(例如,在少於約丨秒内、或高達約 10秒、或高達約100秒)更換基板的溫度,由約3〇<>c(幫 助凝結)到至少约1〇〇。〇(幫助昇華 例如,在第12圖中說明具有此配置的製程腔室丨3〇〇 的示意圖。製程腔室13〇〇包含設置在製程腔室13〇〇中 的基板支撐件1302,用於將基板13〇3支撐在基板支撐 件13 02上。將氣體源丨3 〇4耦接至腔室丨3 ,以提供含 氧氣體、钱刻氣體以及可選擇性提供情性氣體及/或含氮 氣體(例如,上述的任何氣體)。將電漿源13〇6耦接至製 37 201142936 而將此里提供給由氣體源所提供的氣體,以形 成氧化電襞或蝕刻電襞至少其中—種,以及可選擇地形 成氮電漿將加熱源1 3 0 8耦接至製程腔室,以選擇性 力’、’、省基板’以及,選擇性提供能量至氣體源的氣體, 以形成氡化或蝕刻化學物質至少其中一種。將控制器 耦接至製程腔室13〇〇,用於控制製程腔室工的 操作乂及組成件。氣體源13〇4可為任何適合的氣體源, 如,、有;^ 4固氣體源的氣體面板等等。將氣體源、丄3⑽最 小化配置’以提供含氧氣體與蝕刻氣體,而個別形成一 或多種的氧化電漿、蝕刻電漿、氧化化學物質或蝕刻化 于物質。可選擇地’氣體源13〇4亦可提供一或多種的惰 性氣體及/或含氮氣體,以形成氮化電漿。 電毅源1306彳為任何適合的f㈣或複數個t f 源,例如遠端電裝源、電感式輕合源、電容式轉合源、 耦接至架空電極(未圖示;)的第一來源與耦接至基板支撐 件的第二來源(未圖示)、或任何其他電漿源配置,以形 成電漿。在-些實施例中’將電漿源13〇6配置以提供能 量給氣體源1304的氣體,以形成氧化電漿、蝕刻電漿, 以及可選擇性形成氮化電漿。在―些實施例中,電聚源 可供應熱至晶圓,用於在蝕刻期間昇華反應副產物。 加熱源1308可為任何適合的加熱源,用以加熱該基板 及/或由氣體源1304所提供的氣體來形成氧化或蝕刻化 學物質。舉例來說,加熱源包含一或多個燈具,該燈具 配置以加熱該基板或由氣體源所提供的氣體。另或組 38 201142936 合,加熱源可包含加熱器或氣體噴淋頭,該加熱器例如 電阻加熱器等#,該加熱器可例如設置在基板支撐件 13()2中,而該氣體喷淋頭用於提供製程氣體至製程腔室。 在操作中,系統控制器1310能夠儲存資料以及將來自 個別系統(例如,氣體源13〇4、電漿源i3〇6、以及加熱 源測)的資料反餽,以最佳化工具測的性能。线 控制器1310通常包含中央處理單元(cpu)、記憶體、以 及支持電路。CPU可為任何形式的―般用途電腦處理器 的其中-種,該-般用途電腦處理器可用於工業設定。 通常將支持電路粞接至CPU,且該支持電路包含快取記 憶體、時脈電路 '輸入/輸出子系統、電力供應器等等。 田由CPU來執仃時’軟體常式(例如用於執行上述形成 ㈣間極的方法)可將CPU轉換為特定用途電腦(控制 益)mo。亦可藉由遠離工具i則設置的第二控制器(未 圖不)來儲存及/或執行軟體常式。將根據一或多個實施 例來1¾述用於執行上述製程的特定單一腔室設備。 第13至15圖描述經改良的電漿製程腔式的實施例。 ,發明實施例可在適當裝配的電漿反應器中執行,例如 I由加州聖A克勞拉市的應用材料公司或其他地方所蹲 I:去耦合電漿氧化(DP〇)反應器,以下將參考第13圖 聚氡。亦可使用其他適合的電毁反應器’包含遠端電 庳n。⑽〇)反應器或環型來源電漿浸没式離子植入反 ^證例如可由應用材料公司所購得的P3I,以下將個別 ,14與15圖來說明。舉例來說,第13圖是根據本 39 201142936 發明的實施例來描述說明性的電聚反應器1400,該電聚 反應器1 400適用於執行循環氧化物形成與移除製_。& 應器1400透過由經脈衝或連續波(cw)射頻㈣功率產 * 生态所驅動的導電性耦合電漿來源功率施用器來提供低 . 離子能電漿。該反應器包含腔室1410,該腔室141〇具 有圓柱形側壁1412與頂棚1414,該頂棚1414可為穹狀 (如圖所示)、平板狀或其他幾何形狀。電漿來源功率施 用器包3線圈天線14 16,該線圈天線14 1 6設置在頂棚 1414上且透過阻抗匹配網路1418與RF#率來源耦接, 該RF功率來源是由RF功率產生器142〇與位在產生器 1420的輸出端的閘極1422所組成’該產生器142〇是由 具有經選擇工作週期的脈衝訊號所控制。配置RF功率產 生器1420以提供介於約5〇 watts至約25〇〇 waUs的功 率。應理解到可使用其他產生低離子能的電漿來源功率 施加器,例如,遠端RF或微波電漿來源。或者,該功率 產生器可為經脈衝的DC產生器。 反應器1400更進一步包含基板支撐基座ι424,例如, 靜電夾具或其他適合的基板支撐件,用於固定基板 1426,例如,2〇〇或300 mm的半導體晶圓等等。基板支 . 撐基座1 424 —般包含加熱設備,例如加熱器1434,該 ‘ 加熱器1434位在基板支樓基座1424的頂表面下方。加 熱器1434可為單一或多區域加熱器,例如,雙徑向區域 加熱器,該雙徑向區域加熱器具有放射狀的内部與外部 加熱構件1434a與1434b,如第13圖所描述。 40 201142936 反應器1400更包含氣體注入系統Msg與麵接至腔室 内部的真空幫浦14扣。將氣體注入系統I428提供給_ 或多個製程氣體來源’例如氧化氣體儲槽1432,用於提 供氧化氣體,包含:氧氣(〇2)、―氧化二氮⑻⑺、__氧 化氮(N〇)、二氧化氮(N〇2)、水(出0)、氫氣(h2)與過氧 化氫(H2〇2)·’還原氣體儲槽1442,用於提供諸如氫氣的 還原氣體,·蝕刻氣體儲槽1448,用於供應蝕刻氣體,例 如,四I曱烧(cf4)、三敗甲烧(CHF3)、六氟化硫(SF6)、 氨(NH3)、三氟化氮(NF3)、氦氣(He)、氬氣(Ar)等等·或 其他視特定應用所需的製程氣體來源,例如氦氣(He)、 氬氣(Ar)等氣體或諸如氮氣(a)的氬化氣體。個別輕接至 氣體來源(例如’氧化氣體儲槽1432、還原氣體儲槽 1442、蝕刻氣體儲槽1448等等)的流動控制閥門丨446、 1444與1449可用於在進行處理期間選擇地提供製程氣 體或製程氣體混合物至腔室内部。亦可提供其他氣體來 源(未圖示)用於提供額外氣體,例如惰性氣體(氦氣、氬 氣等等)、氣體混合物等等。藉由真空幫浦143〇的節流 閥1438來控制腔室壓力。 可藉由控制脈衝產生器1436(該脈衝產生器1436的輸 出端係耦接至閘極1422)的工作週期來控制在閘極MU 上的經脈衝的RF功率輸出的工作週期。在離子產生區域 144〇中產生電漿’該離子產生區域1440相 天線二叫環繞之頂棚1414下方的容積。#在遠離基^ 的腔室1410上部區域㈣成電聚時,該電聚可視為類遠 41 201142936 端電漿(例如’該電漿具有遠端電漿形成的效益,但該電 槳·形成在與基板1426相同的腔室1410中)。或者,可使 用遠端電漿’在此情況中可將離子產生器144〇設置在腔 室1 41 〇的外側。 在操作根據本發明上述氧化物層的實施例,可使 用電漿反應器1400來執行氧化製程。舉例來說,可在製 程腔室1400中由製程氣體產生電漿,以形成氧化物層。 透過來自設置在頂棚1414上方的線圈天線1416的RF 功率感應耦合並提供低離子功率(例如,對於經脈衝電漿 為小於約5 eV以及對於Cw電衆為小於約15 eV),將電 毅形成在腔室1410的離子產生區域ι44〇中。 在一些實施例中,在適合的頻率下(例如,在MHz或 GHz範圍中或約13 56 MHz或更大的頻率下)提供約υ 至5000 watt的功率至線圈天線M16,以形成電漿。以 具有;I於約2至70%工作週期的連續波或經脈衝模式來 提供功率。 啟動」期間產生The Ming Dynasty P trial, the Society 7X stepped into the general shape of the isotherm 1000 that was produced at various temperatures. Thus, in order to shape the material layer 702 to the desired shape, a high thermal budget is required to achieve the desired oxide layer thickness to form the desired floating gate. Unfortunately, during the manufacture of certain structures, high thermal budget oxidation applications can undesirably cause oxygen (〇2) to diffuse to the exposed oxide layer (eg, 'passing through the oxide layer 1G4), resulting in oxidized prospects. Thicken. No. 27 201142936 Thus, in some embodiments of method 600, the repeated oxidation and etching processes can advantageously use the high initial oxidation rate applied during the first period i 〇〇 2 as illustrated in Figure 9 above. For example, in some embodiments, in step 604, a layer of material (e.g., material layer 702) is surface oxidized at an initial oxidation rate to form an oxide layer (e.g., first oxide layer 704). The material layer 7〇2 can be oxidized for a first cycle time (e.g., the first cycle 1〇〇2), at which point the oxidation rate is relatively high. After the oxidation rate is lowered to a predetermined value, for example, during the second period 1, the oxidation process is terminated. In some embodiments, the formation of the first oxide layer 704 is terminated when the oxidation rate is about 90% or less, or about 75% or less of the initial oxidation rate. In some embodiments, the formation of the first oxide layer 704 is terminated when the oxygenation rate is between about 〇% to about 9% or about 75% of the initial rate. Once the oxidation process is terminated, at least some of the first oxide layer 704 is removed by an etching process in step 6-6 (as described above and as described in Figure 7C). As illustrated in Figure 7C, once the first oxide layer 7〇4 is removed, the material layer 7〇2 will at least partially become the desired shape as described above. The removal of the first oxide layer 7〇4 provides the newly exposed surface of the material layer 7〇2, which may be further oxidized until the shape: the desired oxide layer shape. In some embodiments, the etching process can be a two-stage condensation and sublimation etching process, as described above. In some embodiments, the silver engraving process is terminated when the rate decreases to an initial (four) rate (four) 〇 % to about 75%, or to about 90%. The drop in etch rate can be attributed to material contrast (e.g., 'Si selectivity to si〇2) or diffusion 28 201142936 ==' in the same layer). During the (iv) process, the dependence of the individual material defects can provide additional or removal control methods during sacrificial oxidation. This provides the ability to use a heterogeneous surface, such as the example of a floating gate forming structure, when removing an oxidized material on a soil plate. The above method can be advantageously used to avoid removal of non-uniform materials. In step (4), the exposed surface of the partially shaped material layer 7〇2 is oxidized again to form another oxide layer (for example, the second oxide layer 7G6). The oxidation process is performed at the initial oxidation rate. The initial oxidation rate is substantially phase; the ^, +, m phases are specific to the above-described initial oxidation rate for removing the first oxide layer 2-4. As described above, after the oxidation rate drops to the pre-deuteration value, for example, The oxidation process is terminated during the second period of 1 〇〇 6. The desired process termination point can be any time similar to that described above. The oxidation process for forming the second oxide layer 说明 is illustrated at 8A. The oxidation process is terminated. At step 612, at least some of the second oxide layer 7〇6 can be removed by an etching process (as described above and illustrated in FIG. 8B). As illustrated in FIG. 86, once The second oxide layer 706 is removed, the material (10) The desired shape can be formed, as described above. Or 'removing the tantalum dioxide layer 7〇6 can again provide the surface just exposed by the material layer 702, which can be further oxidized until the desired shape is formed. The material layer shape. Thus, although disclosed as repeating only one oxidation and etching process, these processes can be repeated as many times as desired to form the desired material layer shape (i.e., the process can be repeated one or more times). 29 201142936 Compared to the continuous oxidation process, oxidation and oxidation of oxides can be used to form oxides at the same thermal budget. Oxidation and removal of oxidation in a single chamber = The cycle process can greatly increase the process throughput. For example, as shown in Figure 9: No, a continuous oxidation process (for example, the isotherm _ in the first cycle 1与2 and the second cycle) will be formed An oxide layer having a thickness of a second thickness of 1〇04 and a second thickness. However, under the same thermal budget as the continuous oxidation process, oxidation and migration of the cycle The total oxide thickness (eg, 'the total thickness of the first oxide layer state and the first oxide layer 7.6') may be the first thickness of the first thickness, and the oxidation and removal process of the cycle is, for example, A periodic oxide layer (eg, a first oxide layer, a second oxide is removed - during the period 1006, the oxide material layer is shaped to form a first-oxide layer (eg, 'second oxide layer 706'). : Figure 9 illustrates the cycle Oxidation and removal process Γ. As explained in the 'stage-cycle-after, the isotherm deviates from the isotherm by 1000 Γ π 1 (which represents the continuous oxidation process, only the "mo map' will wait The temperature line 1G1G is traced as a straight line. Root = how to perform the oxidation and removal process of the cycle, the isotherm 1010 can be in any shape. For example, if every repeated oxidation process is performed for the same cycle time (for example, the first Tune _ , 〗 仃 phase ... ... 帛 cycle 1002), then in the first cycle of the job = in every - consecutive steps the isotherm ι 〇. There may be: a shape of the line 1010. Alternatively, the shape of the isothermal 30 201142936 line 1010 may thus vary during a period in which the successive steps in the oxidation and removal process of the cycle are performed differently than the work in the course of the stomach 16 (not shown). However, the total oxide formed during the cyclic oxidation and removal process will be greater than the oxide formed by the same thermal budget (e.g., isotherm i 000) used in the continuous oxidation process. In some embodiments, the total oxide formed during the cyclic oxidation and removal process is greater than about three times greater than the oxide formed by the same thermal budget by a continuous oxidation process. The cyclic oxidation and removal processes described above can be advantageously employed to form other structures comprising structures having sub-lithographic dimensions. This structure includes, for example, 'ultra-thin floating gates, fins of fin field effect transistor (finFET) elements, patterned hard masks, and the like. For example, in some embodiments, a cyclic oxidation and removal process can be used to form an ultra-thin floating gate, as illustrated by Figures A through 丨1D. Figures 11A through 11D are diagrams depicting the fabrication stages of floating gate 1102 in accordance with some embodiments of the present invention. As shown in Fig. A, the method begins by providing a partially fabricated memory element 11 〇〇 <> the memory element 11 〇〇 is similar in structure and composition to the above-mentioned memory 1 〇〇. The memory device 丨 1 includes a substrate 102 having a ruthenium-through oxide layer 104 disposed on the substrate 1 〇 2 . A material layer 11 〇 2 (similar to the composition of any of the above material layers) is disposed on top of the ruthenium oxide layer i 〇 4 . A sti region ιι〇4 (similar to the composition of the above sti region) is disposed on each side of the material layer 11〇2 and adjacent to the material layer 11〇2. The STI area 11〇4 separates the individual memory cells of the component. Typically, the top surface 1103 of the STI region j 1〇4 and the top surface 11〇5 of the material layer n〇2 are substantially flat. Alternatively, the cyclic oxidation and removal process described above can be used in the same 31 201142936 chamber to thin the material layer 1102 to a desired shape (e.g., thickness). The top surface 1105 of the material layer ιι 2 is oxidized at an initial oxidation rate as described above to form the oxide layer 11 〇 6 as shown in the " When the oxidation rate drops below a certain percentage of the initial rate (as described above), the oxidation process is terminated. The oxide layer 1106 (along with a portion of the oxide in the STI region U 04) is then removed by an etching process as shown in FIG. 11C. The oxidation and removal process can be repeated until the material layer 1102 is thinned to a desired shape to form a floating pole. In some embodiments, the desired shape of the material layer 11〇2 has a first portion at the bottom of the material layer 1102. The width, the first width is substantially equal to the second width at the top of the material layer 1102. Still further, it is desirable that the shape comprises a final thickness of the material layer (10), for example, less than about 5 nm (although other degrees of ', such as ' from about 1 to about 20, or from about 1 to about 10 nm) are contemplated. The cyclic oxidation and removal process advantageously thins the material layer = the desired shape of the floating idler without causing undesirable oxidative thickening of the underlying tantalum oxide layer. The inventors have discovered that the presence of oxides in m::1104 can act as a barrier to avoiding the oxidation process reaching the puncture:layer HM. As shown in Fig. 10D, 'IPD layer circle: 6 electric layer 1110 is deposited on top of thinned material layer 1102, and shape= memory element 11G〇eIPD layer 11()8 and control gate are widely applicable to (4) Any material or group of materials σ of the layer and the control gate layer, as described above. In some embodiments, a cyclic oxidation and removal process can be used to form a 32 201142936 structure into a critical dimension that is similar in critical dimension. For example, the oxidation and removal system & uc diagram obtained by the 11A technique describes the use of the cycle (10) according to the stage t, and the core is manufactured by the micro-patterned structure. For example, the structure 1200 can be part of the 70-piece, such as the bound field. A ferrite (FinFET), or germanium is a hard mask structure fabricated. A structure _ comprises a layer of material 1202 deposited on top of the substrate 1204. The layer of material is deposited as shown in Figure UA such that one or more portions of the upper surface 12〇3 of the substrate 1204 maintain exposure. A mask layer 1206 is deposited on top of the material layer 12〇2. For example, the mask layer 1206 is used to pattern the material layer (10) to a critical dimension defined by the lithography. As noted above, substrate 1204 can be any suitable substrate. For example, in some embodiments of fabricating logic elements, substrate 12〇4 comprises germanium (^) or germanium dioxide (Si〇2). For example, in some embodiments of fabricating a hard mask structure, substrate 12〇4 includes layer U08 (illustrated by dashed lines in Figures 11A-uc). This layer 1208 is deposited on top of the non-defective layer 121〇 and borrowed The layer 128 is patterned by a hard mask. When the layer is 12 1 〇, the layer 1208 can be used as the second hard mask. The layer 12〇8 comprises one or more of the following: cerium oxide (si〇2), cerium nitride (SiN), aluminum oxide (Al2〇3) or other materials that can be deposited at low temperatures, or fabricated in (SOI) The buried oxide formed during the period. The non-antimony layer 1210 may comprise a metal, such as one or more of a crane (W), titanium nitride (TiN), etc., and/or a dielectric material, for example, germanium dioxide (Si〇2), high-k 2 a meta-oxide, a ternary oxide, a phase change 33 201142936 material (eg, oxidized bromine, ruthenium, etc.) and/or an alternative channel material in the ιν family (eg, er, Shi Xihua); and, or ΙΗ-V materials (eg, gallium arsenide, gallium nitride, indium sulphide, etc.), and/or organic matter (eg, pentadecene, fuUerenes, etc.). Some materials can degrade at temperatures above 1 〇〇 C, but these materials can benefit from the sub-lithographic patterning obtained by the method of the present invention to improve component performance. Mask layer 1206 can be any suitable mask layer, such as a hard mask or photoresist layer. The mask layer 12〇6 may include at least one of the following: cerium oxide (8) 〇 2), nitrite _ ) ' sil sil sil sil , , , , , , , , , , , , Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Ti Etc., or Oleine Salt & Chuan Coffee), such as Shixi Aluminum (AlSiO), Shixi Acid (ZrSi〇), Astragalus Acid (Fortune, etc. The above cycle oxidation and removal process can be Implemented in the prior art structure 12' to modify the lithographic patterned material layer 12G2 to a sub-lithographic critical dimension. As illustrated in FIG. 11A, in some embodiments, the material layer 12G2 is applied at the above-described oxidation rate. The sidewall 1212 is oxidized with the storm surface 1203 of the substrate 12G4 to form the vaporized layer 1214. After the first cycle time, 'when the initial oxidation rate falls below the - portion of the initial rate as described above, the termination is terminated. The oxidation process is as shown in FIG. UC. The etching process is used to remove the oxide layer. The etching process can be any suitable etching process described above, and the etching process is performed in the same chamber as the oxidation process. Visual requirements = re-oxidation and removal process, the material layer 12 〇 2 becomes desired Shape, example/, having the desired sub-lithographic size. Some implementations of consuming the substrate 12〇4 (or oxide layer 12〇8) by oxidation and/or etch process / ° boring 34 201142936 : Γ: ring After the oxidation and the subsequence are completed, the process portion 16202 is disposed on the convex portion 1216 of the substrate 1204. The portion 1216 can be the first palace width of the _ _ Austrian portion, the width and the bottom of the material layer 1202, Width and proximity material layer 1202 top 邙μ & ^ " 皙 茎 + + top 邛 宽度 宽度 : : : : : : 在 在 在 在 在 在 在 在 在 在 在 在 些 些 些 些 些 些 些 些 些 些 些 些 些 些 些 些 些 些 些 些 些 些Between about 1 and about 3 〇 φ 一 政 政 30 30 30 30 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 In some embodiments, the height of the modified material 12 12 〇 2 is between about 约 and about 3 ” claws. Or, in some embodiments, the substrate is not substantially consumed by the recycling process, and A raised portion 1216 will appear. For example, if the (four) process is selective to the acoustically tested material, the bulge can be avoided. The formation of fractions, for example, may not be characterized as a layer 1208 comprising tantalum nitride when in the embodiment, when the tantalum dioxide is etched. After the cyclic oxidation and removal process is used to modify the material layer 1 The structure 12 is processed further. For example, the material layer 1202 is used as a fin of a FinFET element, and a gate layer and a source/drain region are deposited. Alternatively, the The modified material layer 1202 itself 'to define the critical dimension of the hard mask formed by the Kelly. The process of the present invention can be advantageously used to reduce the generation of lithography and fin etch. Line edge roughness and surface roughness. The reduction in roughness and variability in the shape of the fin field effect transistor (FinFET) and the surface of the sidewall can improve component and system performance by reducing noise and variability. 35 201142936 II: Step by consideration of the alternative use - part and / or all of the heart & ' This method is suitable for forming a memory element having a floating gate of inverted τ shape. For example, a nitride layer (as illustrated in FIG. 4) is deposited on top of the material layer 7〇2 of the partially fabricated memory device (as illustrated in FIG. 6) to further limit the pass-through oxide layer. increase. Other combinations and variations of the methods described herein are equally within the scope of the invention. For example, a method, such as a configuration, provides the process. The individual process gases, plasmas, etc. required for the single-substrate processing chamber described herein can be performed in a single-substrate processing chamber to perform on-the-go oxidation and etching. The method of the invention is carried out in a single reactor or chamber in which a nitridation process is selectively performed. Configuring the process chamber to perform an oxidation process. The oxidation process comprises one or more of the following processes: an ultraviolet light oxidation process, a skunk oxidation process, a thermal oxidation process, a plasma oxidation process, or other free radical oxidation processes (eg, Thermal resistance line). Thus, a source of gas is lightly coupled to the chamber to provide one or more oxygen-containing gases for the oxidation process. The process chamber can be further configured to perform an etch process. The etch process includes one or more of the following processes: plasma etch < 4 two-stage etch comprising condensation and sublimation, as described above. The two-stage etching process can be thermally activated by means of an electric ferrite to activate the two-stage etching process or without providing plasma. Further configuration of the process chamber has a thermal control system for rapid control of substrate temperature to aid in a two-stage etching process. For example, the process chamber can include a cycle heater (and cooler) that can be used to cycle heating and cooling the substrate. The heater can include a flash energy based system (eg, 'lamps, lasers, etc.), a heat source that provides a larger thermal gradient between at least two predetermined substrate processing regions in the chamber (eg, 'suitable for placing the substrate in individual processing regions to selectively maintain low substrate temperatures suitable for condensation and high substrate temperatures suitable for sublimation), or by using remote plasma activation for etching gases The combination of the remote plasma source and the direct plasma source produces heat. The substrate support is movable 'to support the substrate in a predetermined processing area, and the substrate support may further comprise a lift pin or other substrate lifting mechanism for selecting the substrate by the support surface during the heating portion of the process The substrate is raised and returned to the substrate support surface during the cooling portion of the process. The substrate fulcrum member may also have a chiller (or temperature control) system to maintain the substrate support at a predetermined temperature (e.g., near the condensing temperature for the etch process). For example, in some embodiments, the thermal control system is adapted to quickly (e.g., in less than about ten seconds, or up to about 10 seconds, or up to about 100 seconds) the temperature of the substrate being replaced by about 3 〇 <>c (Help condense) to at least about 1 〇〇. 〇 (Helping Sublimation, for example, a schematic diagram of a process chamber 丨3〇〇 having this configuration is illustrated in Fig. 12. The process chamber 13A includes a substrate support 1302 disposed in the process chamber 13A for The substrate 13〇3 is supported on the substrate support 132. The gas source 丨3 〇4 is coupled to the chamber 丨3 to provide an oxygen-containing gas, a gas engraved gas, and optionally an inert gas and/or Nitrogen gas (for example, any of the gases described above). The plasma source 13〇6 is coupled to the system 37 201142936 and supplied to the gas supplied by the gas source to form an oxidized electric enthalpy or an etched electric raft at least— And optionally forming a nitrogen plasma to couple the heating source 138 to the process chamber to selectively ', ', 'reserve the substrate' and selectively provide energy to the gas source to form 氡At least one of chemistries or etch chemistry. The controller is coupled to the process chamber 13 〇〇 for controlling the operation 乂 and components of the process chamber. The gas source 13 〇 4 can be any suitable gas source, such as ,, and; ^ 4 gas panels for solid gas sources, etc. Minimizing the gas source, 丄3(10) to provide an oxygen-containing gas and an etching gas, and separately forming one or more oxidizing plasmas, etching plasmas, oxidizing chemicals, or etching into the material. Alternatively, the gas source 13〇4 may also provide one or more inert gases and/or nitrogen-containing gas to form a nitriding plasma. The electric source 1306彳 is any suitable f(four) or a plurality of tf sources, such as a remote electrical source, an inductor. a light source, a capacitive transfer source, a first source coupled to an overhead electrode (not shown;) and a second source (not shown) coupled to the substrate support, or any other plasma source configuration To form a plasma. In some embodiments, the plasma source 13〇6 is configured to provide energy to the gas of the gas source 1304 to form an oxidizing plasma, to etch plasma, and to selectively form a nitriding plasma. In some embodiments, the electropolymer source can supply heat to the wafer for sublimating reaction byproducts during etching. The heat source 1308 can be any suitable heating source for heating the substrate and/or by a gas source 1304 provides gas to form oxidation or etch Chemical substance. For example, the heat source comprises one or more luminaires configured to heat the substrate or a gas provided by a gas source. Alternatively, the heat source may comprise a heater or a gas shower head. The heater is, for example, a resistance heater or the like, which can be disposed, for example, in the substrate support 13() 2 for providing process gas to the process chamber. In operation, the system controller The 1310 is capable of storing data and feeding back data from individual systems (eg, gas source 13〇4, plasma source i3〇6, and heating source measurements) to optimize tool performance. Line controller 1310 typically includes a central Processing unit (cpu), memory, and support circuitry. The CPU can be any of a variety of general purpose computer processors that can be used for industrial settings. The support circuit is typically spliced to the CPU, and the support circuit includes a cache memory, a clock circuit 'input/output subsystem, a power supply, and the like. When the field is executed by the CPU, the software routine (for example, the method for performing the above-mentioned formation of the (4) interpole) converts the CPU into a specific-purpose computer (control benefit) mo. The software routine can also be stored and/or executed by a second controller (not shown) located away from the tool i. A particular single chamber device for performing the above process will be described in accordance with one or more embodiments. Figures 13 through 15 depict an embodiment of an improved plasma processing chamber. Embodiments of the invention may be practiced in a suitably assembled plasma reactor, such as I by Applied Materials, Inc. of St. A., Inc., California, or elsewhere. I: Decoupled Plasma Oxidation (DP〇) Reactor, below Will refer to Figure 13 for aggregating. Other suitable electro-destructive reactors can also be used, including remote electrodes. (10) 〇) Reactor or ring-type source plasma immersion ion implantation is exemplified by, for example, P3I available from Applied Materials, Inc., as will be described below in Figures 14 and 15. For example, Figure 13 is an illustration of an illustrative electropolymer reactor 1400 that is adapted to perform a cyclic oxide formation and removal process in accordance with an embodiment of the present invention. The & 1400 provides a low ion plasma through a conductive coupled plasma source power applicator driven by pulsed or continuous wave (cw) radio frequency (4) power generation. The reactor includes a chamber 1410 having a cylindrical side wall 1412 and a ceiling 1414 which may be dome-shaped (as shown), flat or other geometric shape. The plasma source power applicator package 3 coil antenna 14 16 is disposed on the ceiling 1414 and coupled to the RF# rate source via an impedance matching network 1418, the RF power source being the RF power generator 142 〇 is formed by a gate 1422 located at the output of the generator 1420. The generator 142 is controlled by a pulse signal having a selected duty cycle. The RF power generator 1420 is configured to provide a power of between about 5 watts and about 25 watts. It will be appreciated that other plasma source power applicators that produce low ion energy can be used, such as remote RF or microwave plasma sources. Alternatively, the power generator can be a pulsed DC generator. Reactor 1400 further includes a substrate support pedestal ι 424, such as an electrostatic chuck or other suitable substrate support for securing substrate 1426, such as a 2 〇〇 or 300 mm semiconductor wafer or the like. The base support 1 424 generally includes a heating device, such as a heater 1434, which is positioned below the top surface of the base station base 1424. Heater 1434 can be a single or multi-zone heater, such as a dual radial zone heater having radial inner and outer heating members 1434a and 1434b, as depicted in FIG. 40 201142936 Reactor 1400 further comprises a gas injection system Msg and a vacuum pump 14 buckled to the inside of the chamber. A gas injection system I428 is provided to _ or a plurality of process gas sources, such as oxidizing gas storage tanks 1432, for providing oxidizing gases, including: oxygen (〇2), nitrous oxide (8) (7), __nitrogen oxide (N〇) Nitrogen dioxide (N〇2), water (out of 0), hydrogen (h2) and hydrogen peroxide (H2〇2)·'reduction gas storage tank 1442 for providing reducing gas such as hydrogen, · etching gas storage The tank 1448 is for supplying an etching gas, for example, four I sizzling (cf4), sulphur sulphur (CHF3), sulphur hexafluoride (SF6), ammonia (NH3), nitrogen trifluoride (NF3), helium. (He), argon (Ar), etc. or other source of process gas required for a particular application, such as helium (He), argon (Ar), or the like, or an argon gas such as nitrogen (a). Flow control valves 丨446, 1444, and 1449 that are individually lightly coupled to a source of gas (eg, 'oxidizing gas reservoir 1432, reducing gas reservoir 1442, etching gas reservoir 1448, etc.) can be used to selectively provide process gases during processing Or process the gas mixture to the interior of the chamber. Other sources of gas (not shown) may also be provided for providing additional gases such as inert gases (helium, argon, etc.), gas mixtures, and the like. The chamber pressure is controlled by a vacuum valve 143 〇 throttle valve 1438. The duty cycle of the pulsed RF power output on the gate MU can be controlled by the duty cycle of the control pulse generator 1436 (the output of the pulse generator 1436 is coupled to the gate 1422). A plasma is generated in the ion generating region 144. The ion generating region 1440 is the volume of the antenna 2 below the ceiling 1414. # When the upper area (4) of the chamber 1410 away from the base is electrically aggregated, the electro-convergence can be regarded as the end of the 41 201142936 end plasma (for example, the plasma has the benefit of the formation of the far-end plasma, but the electric paddle is formed. In the same chamber 1410 as the substrate 1426). Alternatively, a remote plasma can be used. In this case, the ion generator 144 can be disposed outside the chamber 1 41 . In operating an embodiment of the above oxide layer in accordance with the present invention, a plasma reactor 1400 can be used to perform the oxidation process. For example, a plasma can be generated from the process gas in process chamber 1400 to form an oxide layer. Electrically induced by RF power inductive coupling from coil antenna 1416 disposed above ceiling 1414 and providing low ion power (eg, less than about 5 eV for pulsed plasma and less than about 15 eV for Cw electricity) In the ion generating region ι44 of the chamber 1410. In some embodiments, power of about 5000 5,000 watts is supplied to coil antenna M16 at a suitable frequency (e.g., in the MHz or GHz range or at a frequency of about 13 56 MHz or greater) to form a plasma. Power is provided in a continuous wave or pulsed mode having a duty cycle of about 2 to 70%. Generated during startup

約5 eV或低於約5 eV。 例如,在一些實施例中,在連續的 電漿’而在連續「停止」區間允許電 舉例來說, 在經脈衝的RF功率的「啟動」時間中,電 42 201142936 桌旎:£增加,而在「停止」期間中,電漿能量降低。在 短的「啟動」期間中,電漿產生在離子產生區域〖44〇中, 該區域大致相當於由線圈天線1 4 1 6所圍起的容積。將離 子產生區域1440提高高於基板1426的一顯著的距離 LD。在「啟動」期間’產生在靠近頂棚ι4ΐ4的離子產生 區域1440中的電漿會於「停止」期間以平均速度%漂 移朝向基板1426。在每一個「停止」期間,最快的電子 會擴散至腔室壁面,而允許電漿進行冷卻。最具能量的 電子以咼於電漿離子漂移速率Vd的速率擴散至腔室壁 面。因此,在「停止」期間,在電漿離子到達基板1426 之前,該電漿離子的能量會顯著地降低。在下一次的「啟 動」期間,在離子產生區域1440中會產生更多的電聚, 且整個週期會自動重複。因此,到達基板1426的電漿離 子忐量會顯著地下降。在較低範圍的腔室壓力下,也就 疋大約10 mT與低於1 〇 mT ’經脈衝的rf實例的電聚能 量遠低於連續RF實例的電漿能量。 「停止」期間的經脈衝RF功率的波形與介於離子產生 區域1440與基板1426之間的距離Ld二者必須足以允許 使產生在離子產生區域1440中的電漿可損失足夠的能 * 量’使得該電聚在到達基板1426之後造成較小的離子撞 , 擊損傷或不會造成離子撞擊損傷。更特定而言之,以介 於約2至30kHz、或約10 kHz的脈衝頻率與介於約5% 至2〇%的「啟動」工作週期來界定「停止」期間。因此, 在一些實施例中,「啟動」區間可持續約5至5〇微秒' 43 201142936 或約2 0微秒,以及「停止」區間可持續約5 〇至9 5微秒、 或約80微秒。 可在低壓腔室中產生電聚’因而可降低污染誘發缺陷 的可能性。例如’在一些實施例中,將腔室14 10維持在 介於約1至500 mTorr的壓力下。並且,藉由使用類遠 端電漿來源以及,可選擇地,藉由脈衝上述之電毁來源 功率來限制或避免在此低腔室壓力水平下所預期的離子 撞擊誘發缺陷。 可將基板維持在約室溫(約22°C )的溫度下、或介於約 20至750°C、或低於約70(TC、或低於約60(rc。在一些 貫加例中’在遠端電漿氧化製程中同樣可使用較高的溫 度,例如低於80(TC。 第13A圖中的腔室亦包含用於冷卻基板的手段。用於 冷卻基板的手段包含噴淋頭1450,該喷淋頭145〇設置 在基座1424上方。喷淋頭1450具有複數個開口 1451, 且該噴淋頭1450經由通道或導管(未顯示)與冷卻劑供應 1452連通。冷卻劑供應可為適合的氣體,例如,諸如氮 氣的惰性氣體、或諸如氦氣、氖氣或其混合物的傳導氣 體。 冷卻手&亦可單獨包含、或與喷淋頭一起包含用於支 撐基座1424的冷卻系統。第13B圖顯示具有反餽冷卻系 統1454的經修飾夾具,該反餽冷卻系統1454用於冷卻 夾具至至少低如20。(:、例如、22°C、25。(:、30°C、或其 他適合的溫度以執行循環氧化與蝕刻製程。應理解到冷 44 201142936 卻系統1454並非必需包含反魏控制。可使用用於調節支 樓基座1424溫度的傳統冷卻系統。該傳統冷卻系統使用 冷凍系統,該冷凍系統使用傳統熱循環以及透過單獨的 液體熱傳輸媒介來傳輸介於冷卻劑與支撐基座之間的熱 能’用以冷卻冷束劑或冷卻劑媒介。冷卻劑可為去離子 水與其他諸如乙二醇(glycol)及(或)全氟聚醚 (perfluoropolyether)的物質的混合物。 在第1 3B圖所顯示的系統中’該類型的溫度反餽控制 系統1454顯示在美國專利公開案號第2007/0097580號 中,在該系統中反魏控制迴路處理器1455控制背側氣體 壓力閥門1456。 雖然最簡單的實施方式僅控制膨脹閥門1468 ,但在基 板1426上具有既定rf熱負載的情況下,可使用調節膨 脹閥門1468及(或)旁通閥門1470的溫度反餽控制迴 路,來控制晶圓溫度或維持晶圓溫度在所需溫度下。 藉由在壓力下將熱傳導氣體(例如氦氣)注入晶圓丨426 的背側與支撐基座1 424的頂表面之間的介面中來增加 晶圓1426與經冷卻的支撐基座ι424之間的熱傳導性。 為了達到此目的,將氣體通道1486形成在支撐基座的頂 表面中,且將加壓氦氣供應器1488透過背側氣體壓力閥 門1456耦接至通道i486的内部。藉由夾持器電壓來源 1490施加至柵極1482的D c.夾持電壓,將晶圓靜 電失持在頂表面上β藉由挾持電壓與在晶圓背側的熱傳 導氣體(氦氣)壓力可測定晶圓1426與支撐基座1424之 45 201142936 間的熱傳導性。藉由改變背側_力(由控制閥門i4s6 來t變)來執行晶圓溫度控制,使得晶圓溫度可調整為所 需程度虽改變背側氣體壓力時,介於晶圓與支撑基座 1424之間的熱傳導性也會改變,熱傳導性的變化會改變 下列之間的平衡:⑷晶圓1426吸收由RF功率施加至柵 極1482或㈣至電漿的熱與⑻來自晶圓至經冷卻的支 撐基座的熱。改變此平衡必須改變晶圓溫度。因此,控 :背:氣體壓力的反餽控制迴路可用於敏捷或高反應的 晶圓:度控制。以溫度探測器來感測實際溫度,該溫度 探測器可為溫度探測器1457、第二溫度探測器Μ”、在 ?、、發器入σ 1463上的溫度探測器1459、在蒸發器出口 ^64上的溫度探測器146〇、或該些探測器的任一個或全 Ρ的δ併。為了達到此目的,反餽控制迴路處理器Mu 可控制膨脹閥門1468的孔口開口尺寸以回應來°自一或 多個溫度探測器的輸人量或該等輸出量。將儲存在記憶 ?或使用者介面1474中的經使用者選擇的所需溫度值 提供給處理器1472。簡單來說,在每一個連續處理循環 期間’處理器1472會比較由至少一個探測器(例如,藉 由ESC絕緣層中的探測器1457)所測量的目前溫度與所 需溫度值。接著,當所需溫度值與測量溫度值出現差異 時,處理器1472會計算錯誤值,並且由該錯誤來決定旁 通閥門1470或膨脹閥門1468的孔口尺寸修正,此可降 低錯誤發生。接著根據該修正,該處理器1472可改變閥 門孔口尺寸。在基板製程的整體持續期間會重複此循 46 201142936 環,以控制基板溫度。 將支撐基座中的一(或多個)溫度感測器1457、1458 ' 1459與1460與處理器1455的輸入端連接。使用者介面 或s己憶體1461可提供經使用者選擇或所需的溫度至處 理器1455。在每一個連續處理循環期間,當目前的溫度 測里值(來自感測器1457、1458、1459其中一個)與所需 溫度出現差異時,處理器1455會計算錯誤訊號。處理器 1455由該差異可決定目前背側氣體壓力閥門設定的修 正’可降低溫度誤差’且根據該修正來改變閥門開口。 舉例來說,偏離高於所需溫度的基板溫度需要增加背側 氣體壓力來增加至經冷卻支撐基座1 424的熱傳導性並 使基板溫度下降。在基板溫度偏離低於所需溫度的情況 中,可將上述方法相反以提高基板溫度。因此,可控制 基板溫度並將基板溫度立即設定為實際落在溫度範圍中 的新溫度,該溫度範圍之下限與支撐基座1424的冷卻溫 度有關,且藉由在基板上的RF熱負載來測定該溫度範圍 之上限《例如,在缺少RF熱負載下無法增加基板溫度, 且在低於支撐基座1424溫度下無法冷卻基板溫度。假如 此溫度範圍是足夠的,那麼可使用任何傳統技術來維持 支撐基座1424在所需的冷卻溫度下,用以幫助調節背側 氣體壓力的敏捷溫度反餽控制迴路。 支樓基座1424包含熱交換器1462,該熱交換器1462 以用於冷卻媒介的冷卻通道形式存在,該冷卻媒介可為 任何適合的冷卻流體,例如冷卻氣體(如氦氣或氮氣)、 47 201142936 或上述的流體種類。熱交換器1462冷卻通道包含入口 1463與出口 1464。將熱交換器1462包含在支撐基座1424 内。反餽控制系統1454可以兩個模式來操作,也就是冷 部杈式(在此模式中熱交換器1462作用為蒸發器)以及加 熱模式(在此模式申熱交換器1462作用為冷凝器)。反餽 控制系統1454的殘餘元件可為支撐基座1454的外部, 且包含儲存器(accumulat〇r)1465與壓縮器用於抽 取冷卻媒介穿過迴路),以及冷凝器1467(用於操作冷卻 模式)與具有可變孔口尺寸的膨脹閥門1468。反餽控制系 統1454(亦即,熱交換器M62、儲存器1465、壓縮器 1466、冷凝器1467、膨脹閥門1468以及將熱交換器 1462、儲存器1465、壓縮器1466、冷凝器1467與膨脹 閥門1468耦接在一起的導管)包含傳統類型的冷卻媒介 (當在冷卻模式中操作系統時,該冷卻媒介作為冷凍劑或 冷卻劑)’且該反餽控制系統1454可具有低電導性以避 免與反應器的RF特徵相互干擾。儲存器丨465藉由儲存 液體可防止任何冷卻媒介的液體形態到達壓縮器1々Μ。 藉由適當地操作旁通閥門1469將該液體轉變為蒸氣。 為了在製程期間克服熱漂移的問題,需藉由操作反魏 控制系統 1454、1462、1465、1466、1467、1468 來提高 反餽控制系統145 1的效率至1〇倍或更多,使得在熱交 換器内部的冷卻媒介可在液相與氣相之間被分隔開來。 在入口 1463的液體對氣體比率要足夠高,以允許在出口 1464處降低此液體對氣體比率。此情況可保證在支撐基 48 201142936 座1424與冷卻媒介(冷卻劑)之間的所有(或接近所有)熱 傳輸疋透過冷卻媒介蒸發的潛熱作用所產生。因此,在 反魏控制系統1 4 5 4中的熱流動比在單一相冷卻循環中 的.、、、流動超出1 0倍。此條件可以經由降低由入口 1463 至出口 1464的冷卻媒介的液體對氣體比率來滿足,而此 充分地限制該出口 1464至少使得非常少量的液體殘留 在出口 1464處(或在出口 1464前)。在冷卻模式中’需 要反魏控制系統1454的冷卻劑容量不超過在基板上的 RF熱負載。 在主要處理器M76的控制之下(該主要處理器1476控 制反餽控制迴路處理器1472與1455二者),可利用合作 組合的方式來同步操作調節背側氣體壓力閥門1456的 狐度反餽控制迴路丨454與調節冷凍作用膨脹閥門1々Μ 的大範圍溫度反餽控制迴路。 反餽控制迴路(包含蒸發器1462、壓縮器1466、冷凝 器1467與膨脹閥門1468)藉由改變支撐基座“Μ的溫度 來控制加工件的溫度。僅藉由反餽控制系統1454的熱容 罝來限制該溫度範圍,且該溫度範圍因此可將加工件的 溫度設定在非常大範圍(例如,_1〇。(:至+15〇。〇的任何溫 度。然而,藉由支撐基座的熱質量可限制一速率,該速 率在一特定時間下可影響加工件溫度的所需變化。以用 於支撐300 mm加工件或矽晶圓的靜電夾具來舉例,此 速率很慢使得在加工件中的i〇t:變化需要大約丨分鐘或 超過’該時間是由冷凍作用單元開始改變冷卻劑的熱條 49 201142936 件至符合新溫度,到加工件溫度最終抵達新溫度的時間。 反之,當在加工件溫度中產生所需變化或修正時,溫 度反媿控制系統1454無法改變支撐基座的溫度(至少非 直接地改變),僅能改變加工件與支撐基座之間的熱傳導 性。因為該速率僅由可改變背側氣體壓力的速率與加工 件的熱質量來限制,因此加工件溫度對應於此變化的速 率是非常大的。在一般系統中,背側氣體壓力對應於在 1秒鐘的一小部分中閥門1456的移動。對於一般3〇〇 mm 的矽晶圓來說,該熱質量是低的使得晶圓(加工件)溫度 對應於在幾秒鐘或在一秒鐘的一部分中改變的背側氣體 壓力。因此,相對於大範圍溫度控制迴路影響加工件溫. 度變化的時間尺度來說,溫度反餽迴路的加工件溫度回 應是相對瞬間的。然而,必須限制敏捷的反餽迴路可改 變加工件溫度的範圍:藉由在晶圓上的RF熱負載來限制 可達到的最向加工件溫度,同時最低溫度不能低於支撐 基座目前的溫度。然而,將敏捷與大範圍溫度控制迴路 、、且d的If況下’因為其組合提供一個大加工件溫度範圍 以及非吊快速的回應,因此每一個的優點可用於補償另 一個的限制。 可使用大範圍反傀控制迴路(處理器1472)來編程 (Pr〇gram)主要處理器1476,以影響大的溫度變化,以及 可使用敏捷反餽控制迴路(處理器1472)來編程主要處理 器1476 ’以影響快速但小的溫度變化。RF偏壓產生器 1478在兩頻率(HF)帶(例如,13.56 MHz)中產生功率。藉 50 201142936 由伸長的導體或延伸穿過加工件基座支撐件的rf導體 將以偏壓阻抗匹配元件148〇輛接至導電薛網购。 上所ϋ可在與上述第13A、l3B圖的去轉合電製 氡化腔室不同的腔室中執行本發明的實施例。適用於循 環氧化與蝕刻的兩個額外示例性的電漿反應器包含經修 飾的快速及/或遠端電漿氧化(RPO)反應器(在第14圖中 說明)以及經修飾的環型來源電漿浸沒離子注入反應 器’例如P3I,在第15圖令說明。可由位於加州聖大克 勞拉市的應用材料公司購得這些反應器。 第14圖說明用於由製程氣體形成電漿的設備或系 統,且該設備或系統用於在半導體結構上沉積氧化物 層。該設備或系統包含快速熱處理(RTP)設備丨500,例 如,但不限制為,可由應用材料公司所販售的具有 HONEYCOMB SOURCETM 之 RTP CENTURA®。此適合的 RTP設備以及該rtp設備的操作方法已在讓渡給本發明 申請人之美國專利案號第5,155,336卡描述。其他種類的 熱處理器亦可取代RTp設備’例如,Epi或p〇ly Centura®。由應用材料公司所生產的單一晶圓「c〇ld Wall」反應器可用於形成高溫薄膜,例如,磊晶矽、多 曰曰石夕、氧化物與氮化物。由應用材料公司所生產的Dxz® 腔室亦適用。 將電漿施加器1 502耦接至RTP設備1 5〇〇,在操作中, 5玄電聚施加器1502提供電聚自由基至尺丁 p設備 將能量來源1 5 0 4柄接至電衆施加器1 $ 〇 2,以生成激發 51 201142936 能而產生電漿。 在第14圖所說明的實施例中,RTp設冑15〇〇包含製 程腔室1506,该製程腔室15〇6由側壁15〇8與底部壁面 1510所包圍。藉由「〇」形環將腔室15〇6的側壁bog 的上部部分與視窗組件i 5 12密封。將輻射能光導管組件 或照明II 1514安置在視窗組件1512上並與視窗組件 1512耦接。光導管組件1514包含複數個鎢絲齒素燈 1S16,例如,SylvanU YET燈,將每一個燈具安裝在光 導官1518中,該光導管1518,例如,由不鏽鋼、黃銅、 鋁、或其他金屬所製成。 藉由支撐環1522(通常由碳化矽所製成)將晶圓或基板 1520支撐在腔室1506内側的邊緣上。將支撐環1522安 裝在可旋轉的石英圓柱1524上。藉由可旋轉的石英圓柱 1524 ’使得支撐環1522與晶圓或基板ι52〇在製程期間 可進行旋轉。可使用額外的碳化矽接合環以容許將被處 理的不同尺寸的晶圓或基板(例如,1 5〇 mm、200 mm或 3 00 mm的晶圓)。 RTP設備1 520的底部壁面1 5 1 〇包含,例如,錢金的 上表面或反射器1526,用於將能量反射在晶圓或基板 1520的背側上。此外,rTP設備ι5〇〇包含複數個光纖 探針1528’該光纖探針1528設置穿過RTP設備1500的 底部壁面1510,以在橫越晶圓或基板i 520底表面的複 數個位置上偵測晶圓或基板1 520的溫度。 RTP設備1520包含氣體入口(未圖示),該氣體入口形 52 201142936 成穿過側壁l5〇8,用於將製程氣體注入至腔室1506中, 以容許在腔室1506中執行的各種處理步驟》將氣體出〇 (未圖示)設置在側壁1 508中的氣體入口的對側上。氣體 出口為排氣系統的一部分且將氣體出口耦接至真空來 源,例如,幫浦(未圖示)’用於將製程氣體由腔室丨5〇6 中排放出來’且降低腔室15〇6中的壓力。當在處理期 間’將包含電漿自由基的製程氣體連續供應至腔室丨5〇6 中時’該排氣系統可維持所需壓力。 將其他氣體入口 1530形成穿過側壁15〇8,透過該些 氣體入口將製程氣體的電漿注入至製程腔室中。將施加 器15〇2耦接至氣體入口 ^30,用以將電漿自由基注入 至製程腔室中。 光導官組件1514包含燈具1516,該燈具1516以六角 形陣列或「蜂巢結構」的形狀來設置。設置燈具1516以 充分覆蓋晶圓或基板1520與支撐基座1522的整個表面 積。將燈具1516分區,可獨立該些區域,以提供晶圓或 基板1520非常均勻的加熱。藉由在不同的光導管之間流 動冷卻劑(例如,水)來冷卻光導管1518。 視ή組件1512包含複數個短的光導管1532。將冷卻 劑(例如’水)注入該些光導管1532之間的空間中,以冷 钟光導s 1532。該些光導管1532標示為照明器的光導 管。藉由透過連接至該些光導f 1532的其中之一 的e件1540來進行抽取,而在複數個光導管中產 生真"'將。亥光導管1532依次連接至該些導管座上。 53 201142936 RTP設備i500為單一晶圓反應腔室能夠以25至 °C/秒的速率將晶圓或基板1520的溫度提升。例如在氧 化製程期間,因為晶圓或基板152〇的溫度至少為4〇〇 °c,該溫度大於腔室側壁1508的溫度’因此可將RTp δ支備15 00視為「冷壁面(c〇ld waU)」反應腔室。將加熱/ 冷卻流體循環穿過側壁1508及/或底部壁面ι51〇,以維 持壁面在所需溫度下。 如上所述’將電漿施加器丨5〇2耦接至RTp設備1 5〇〇, 以提供電锻自由基來源至RTP設備丨5〇〇。在—實施例 中’藉由入口件1542將電漿連接至RTP設備ls〇〇。電 漿施加器1502亦包含氣體入口 1544。將氣體來源,例 如儲存槽或儲槽1546 ’耦接至氣體入口 1544。藉由波導 管1 548a與1 548b將電漿施加器1 5〇2輕接至能量來源 15〇4 »氣體來源包含一或多個氧化氣體、惰性氣體、用 於氮化的氮氣以及蝕刻氣體,該些氣體可在單獨的儲槽 或儲存槽中。 第14圖說明電漿施加器i5〇2遠離RTp設備ι5〇〇的 實施例,在該實施例中,將電漿產生在rTP設備丨5〇〇 的腔室1506的外側。藉由將電漿施加器1502放置在遠 離RTP設備1500的腔室1506處,可選擇性地產生電漿 來源’用以將暴露至晶圓或基板i 52〇的電漿組成主要限 制為自由基《因此,在電漿施加器i 5〇2中產生離子、自 由基與電子的電漿。然而,因為電漿施加器1 502的尺寸 (例如’長度與體積)或電漿施加器1502與入口件1542 54 201142936 的合併尺寸的緣故,藉由激發製程氣體形成電漿而產生 的所有的或大部分的離子會比該些離子的離子生命週期 存在的還久,並且會變成電中性。因此,提供給RTP設 備15〇〇的氣體入口的電漿組成主要為自由基。 電及施加器1 502包含例如鋁或不鑛鋼的主體1 5〇3。 主體1503圍繞管件15〇5。該管件15〇5是由例如石英或 藍寶石所製成。官件1505較佳為不具有任何電偏壓的出 現,該電偏壓會吸弓丨帶電荷的粒子,例如,離子。主體 1503的一端包含氣體入口 1544。 將氣體來源1546耦接至氣體入口 1544。透過三向閥 的第一輸入端將氣體來源1546耦接至氣體入口 1544將二向^ 155〇的第二輸入端搞接至其他製程氣體 來源,例如儲存槽或儲槽1552。在第一位置中,閥MM 在氣體來源、1546與氣體入口 1544之間提供氣體流,同 時避免由氣體來源1552至製程腔室15〇6的任何氣體 流。在第二位置中’閥1 550在氣體來源1552與製程腔 室1506之間提供氣體流,肖時避免由氣體來源⑽至 施加器的氣體入口 1 544沾名躺、士 γ 的乳體流。氣體來源包含一或多 種氧化氣體、情性_赠、田> 轧骽用於氮化的氮氣與蝕刻氣體, 該些氣體可在單獨的儲槽或儲存槽中。 ,將流動控制器1554連接至閥155〇,根據將要執行的 製程在閥⑽的不同位置之間調動叫流動控制器可 作為質量,動控制器,並且將流動控制器耦接至來源氣 體1546與氣體入口 1544之間’以調節至電漿施加器⑽ 55 201142936 的氣體流動。流動控制器i 5 54亦可作用在類似於控制閱 155〇與1551的方式中’用以由氣體來源1546或1552 提供適當的製程氣體流至製程腔室。 將自由基出口 1562設置在氣體入口 1544的對側上。 在一貫施例中,將自由基出口 1 562耦接至入口件i 542, 以提供電漿1564的自由基至RTP設備15〇〇的腔室15〇6 中。自由基出口 15 62通常具有比氣體入口 i544還要大 的直’容許以所需的流動速率將經激發的自由基進行 有效地放電,且縮小介於自由基與管件15〇5之間的接 觸。主要可藉由氣體入口流動、管件15〇5與自由基出口 1562的尺寸、與在電漿施加器15〇2中的壓力來測定由 電漿%加器1502所產生並放電的自由基流動速率。 製程腔室中的壓力應小於施加器中的壓力。施加器中 的壓力介於約1.0至8.0 Torr之間時,製程腔室_的壓力 介於約0.50至4.〇 Torr之間。舉例來說,假如在施加器 中的壓力為約2_00 Torr,那麼在製程腔室中的壓力應為 約 1.00 Torr 〇 能量來源入口 1566係位於主體15〇3的氣體入口 1544 與自由基出口 1562之間的位置上。能量來源入口 1566 允許將激發能由能量來源1 5〇4注入管件丨5〇5中,該激 發能例如為具有微波頻率的能量。在微波頻率的情況 中,激發月b移動進入電漿施加器15〇2的主體丨5 中, 並且穿過管件15〇5來激發氣體來源成為電聚,該氣體來 源在平行於能量來源入口 1564的方向中移動。 56 201142936 在一實施例中,能量來源1 504是由磁控管1 568、絕 緣體與虛擬負載1570所組成’該虛擬負載1570提供阻 抗匹配。磁控管1 5 6 8產生激發能,例如,電磁頻率或感 應耦合頻率。磁控管可產生介於丨.5至6 〇 ku〇waU的 2.54 GHZ的微波能量。適合的磁控管組件可由位於加州 聖大克勞拉市的 Applied Sciences and Technology 所構 得。 將來自磁控管1568的激發能引導穿過絕緣體、虛擬負 載1570與波導管1548a與1548b至管件15〇5。就某方 面來說,虛擬負載1570扮演像是夾具閥門的角色,以容 許能量在朝向施加器15〇2方向中移動但不會朝向磁控 管 1568 。 自動調諧器1S72位於電漿施加器15〇2與波導管l548i 之間自動調為器1572將由施加器15〇2所反射的輻制 重新導回朝向電漿施加器,以增加施加至電漿施加器 1502的功率。自動調諧胃1572亦將微波功率集中在管 件的中心’使得該㈣可更優先地被供應給施加器 的氣體所吸收。雖然使用自動調諸器是較佳的,但可使 用手動調諧器。 例如’以軟體指令邏輯 、铒的肜式,將控制訊號產生邏賴 1 5 5 5施加至系統控制哭】《《< 益1 556’該軟體指令邏輯為儲存 電腦可讀取媒介(例如 科㈣得在 .糸、,先控制器1556的記憶體1557 心a 、他事物之外’電腦程式包含一系列 的指令’該指令± 性„ 丁” '、3 、氣體流速、腔室壓力、腔室 57 201142936 又 力率水平、旎罝來源調節以及其他特定製程的 T數。藉由處理器1559中的系統控制器1556來執行電 .月:耘式。因此’可操作指令來支配時間、氣體流速、腔 至坚力^至/皿度、RF功率水平、能量來源調節以及其 . 他參數’以執行在此所述的循環氧化與㈣製程。在第 14圖中的設備更包含上述與第UB圖有關的冷卻迴路, 該冷卻迴路與控制器系統連通。 , 2 15圖說明環型來源電漿浸沒式離子植入反應器的 -貫施例’該反應器例如’但不限制為,可由應用材料 公司所購得的P3I反應器。在讓渡給本發明申請人的美 國專利案號第7,166,524中描述此適合的反應器以及操 作方法。 參考第15圖,環型來源電漿浸沒式離子植入(pH)反應 器1600可包含圓柱型真空腔室16〇2,該圓柱型真空腔 室1602以圓柱型側壁16〇4與圓盤狀頂棚來界定。位於 腔室底盤上的晶圓支撐基座16〇8支撐被處理的半導體 晶圓1610。位於頂棚1614上的氣體分配板或噴淋頭“η 將來自氣體分配面板1616的製程氣體接收於該氣體分 配板或喷淋頭1612的氣體歧管1614中,該氣體分配面 - 板I616的氣體輸出可為來自一或多個個別氣體供應 . 1618的任何一種氣體或氣體混合物。將真空幫浦1620 耦接至界定在晶圓支撐基座1608與側壁1604之間的抽 取環狀空間1622。將製程區域1624界定在晶圓161〇與 氣體分配板1612之間。 58 201142936 一對外部凹角導管1626、1628建立凹角的環型路徑, 提供給通過製程區域的電漿流,該環型路徑在製程區域 1624中交叉。每—個導管1626、丨MS具有一對耦接至 腔至對側的末端1630。每一個導管1626、1628為中空 導電管件。每—個導管1626、1628具有dc絕緣環 1632’該D.C.絕緣環1632避免在導管的兩個末端之間形 成封閉迴路導電路徑。 以環形磁圈1634圍繞每一個導管1626、1628的環形 4分。將圍繞該磁圈j 63 4的激磁線圈2 63 6透過阻抗匹 配元件1640耦接至RF功率來源1 638。耦接至每一個個 別磁圈1636的兩個RF功率來源1638可為兩個略為不同 的頻率。由RF功率產生器1638所耦接的rf功率在延 伸穿過個別導管1626、1628與製程區域1624的封閉環 狀路役中產生電漿離子流。該些離子流在個別RF功率來 源I626、1628的頻率下振盪。藉由偏壓功率產生器1642 將偏壓功率透過阻抗匹配電路1 644施加至晶圓支撐基 座 1608 〇 可藉由以下方式來執行電漿形成以及隨後的氧化物層 形成:將製程氣體透過氣體分配板1612導入腔室1624 以及將來自產生器1 638的足夠來源功率施加至凹角導 官1626、1628’以在導管與製程區域ι624中產生環形 電聚流。藉由RF偏壓產生器! 642所施加的晶圓偏壓可 测定接近晶圓表面的電漿流。藉由電漿密度可測定該電 聚速率或流量(由晶圓表面採樣的每秒每平方公分的離 59 201142936 子數置),該電漿密度可藉由RF來源功率產生器1638所 她加的RF功率位準來控制。藉由流量以及維持該流量的 總時間兩者可測定在晶圓161〇上的累積離子劑量(離子/ 每平方公分)。 假如晶圓支撐基座1608為靜電夾具,接著在晶圓支撐 基座的絕緣板1648中提供崁入式電極1646 ’且透過阻 抗匹配電路1644將該崁入式電極1646耦接至偏壓功率 產生器1642。 在操作中,藉由將晶圓丨6丨〇放置在晶圓支撐基座 1608、將一或多種製程氣體導入腔室16〇2中以及由製程 氣體衝擊產生電漿來達成在半導體晶圓i生成氧化物或 氮化物層。可調整由RF偏壓功率產生器1642所輸送的 晶圓偏壓’以控制到達晶圓表面的離子流量。 在上述關於第13A、14與15圖的任何一個設備中,在 氧化期間,示例性的條件為:壓力為約工mT〇rr至約ι〇 Ton*的範圍之間、功率在約i至5〇〇〇 Wau的範圍之間, 更特定而言之,介於約1至3000 Watt的範圍之間、且溫 度在約0°C至約80(TC的範圍之間,更特定而言之,介於 約〇°C至約500°c的範圍之間。 示例性的蝕刻條件包含:壓力為約! mT〇rr至約1〇 T〇rr 的範圍之間、功率在約1至5000 Watt的範圍之間、且溫 度在約〇°c至約8〇〇r的範圍之間。在特定實施例中,在 約30 C +/-5 C下以利用NH:5/NF3化學物質的直接電聚來 進行蝕刻。藉由在約1 mTonr至約1〇 T〇rr的範圍之間的 60 201142936 壓力下將基板加熱至至少約1 〇〇°C至少約1分鐘來達成 昇華反應。可使用上述與第13A、14與15圖相關的腔室 來達成該些條件,並執行上述的循環蝕刻與氧化及/或氮 化製程。 上述與第13A、14與15圖相關的任一腔室可包含系統 控制器,以控制腔室操作,與上述圖示在第12圖令的系 統有關。因此在操作令,系統控制器能夠由個別系統進 行資料收集並以及反餽,該個別系統例如為氣體來源' 電漿來源、加熱來源以及其他用來最佳化腔室工具性能 的組成件。因此’氣體來源包含與系統控制器連接的體 積或質量流控制器’該系統控制器可控制在腔室中的氣 體流增加或減少以及壓力的增加或減少。與電衆來源連 通的系統控制器可改變腔室的電漿來源的功率、偏壓以 及其他電漿參數。不論加熱來源為經加熱的噴淋頭、電 阻加熱器、燈源或雷射來源(與以下第以與㈣描述的 種類有關)’系統控制器亦與該加熱來源連通。此外,系About 5 eV or less than about 5 eV. For example, in some embodiments, the continuous plasma is allowed in the continuous "stop" interval. For example, in the "start" time of the pulsed RF power, the electricity is increased. During the "stop" period, the plasma energy is reduced. During the short "start" period, the plasma is generated in the ion generating region [44", which is roughly equivalent to the volume enclosed by the coil antenna 1 4 16 . The ion generating region 1440 is raised above a significant distance LD of the substrate 1426. During the "on" period, the plasma generated in the ion generating region 1440 near the ceiling iv4 will drift toward the substrate 1426 at the average speed % during the "stop" period. During each "stop" period, the fastest electrons diffuse into the chamber wall and allow the plasma to cool. The most energetic electrons diffuse to the chamber wall at a rate that is at the plasma ion drift rate Vd. Therefore, during the "stop" period, the energy of the plasma ions is significantly reduced before the plasma ions reach the substrate 1426. During the next "start" period, more electropolymerization occurs in the ion generating region 1440, and the entire cycle is automatically repeated. Therefore, the amount of plasma ions reaching the substrate 1426 is significantly reduced. At lower chamber pressures, the electrical energy amount of the pulsed rf example of about 10 mT and less than 1 〇 mT ' is much lower than the plasma energy of the continuous RF example. Both the pulsed RF power waveform during "stop" and the distance Ld between the ion generating region 1440 and the substrate 1426 must be sufficient to allow the plasma generated in the ion generating region 1440 to lose sufficient energy. This electropolymerization causes a small ion collision, damage or no ion impact damage after reaching the substrate 1426. More specifically, the "stop" period is defined by a pulse frequency of about 2 to 30 kHz, or about 10 kHz, and a "start" duty cycle of between about 5% and 2%. Thus, in some embodiments, the "startup" interval may last for about 5 to 5 microseconds '43 201142936 or about 20 microseconds, and the "stop" interval may last about 5 to 95 microseconds, or about 80. Microseconds. Electropolymerization can be produced in the low pressure chamber and thus reduce the likelihood of contamination induced defects. For example, in some embodiments, chamber 14 10 is maintained at a pressure of between about 1 and 500 mTorr. Also, by using a far-end plasma source and, optionally, by pulsing the power source power described above, the expected ion impact induced defects at this low chamber pressure level are limited or avoided. The substrate can be maintained at a temperature of about room temperature (about 22 ° C), or between about 20 and 750 ° C, or less than about 70 (TC, or less than about 60 (rc. In some examples) 'Higher temperatures can also be used in the remote plasma oxidation process, such as below 80 (TC. The chamber in Figure 13A also includes means for cooling the substrate. The means for cooling the substrate includes a sprinkler 1450, the showerhead 145 is disposed above the base 1424. The showerhead 1450 has a plurality of openings 1451, and the showerhead 1450 is in communication with the coolant supply 1452 via a passage or conduit (not shown). Suitable gases are, for example, inert gases such as nitrogen, or conductive gases such as helium, neon or mixtures thereof. The cooling hand & may also be included separately or together with the showerhead for supporting the susceptor 1424 Cooling system. Figure 13B shows a modified fixture with a feedback cooling system 1454 for cooling the fixture to at least as low as 20. (:, for example, 22 ° C, 25 (:, 30 ° C, Or other suitable temperature to perform a cyclic oxidation and etching process. It should be understood that the cold 44 201142936 does not necessarily include the anti-Wei control. The conventional cooling system for adjusting the temperature of the pedestal base 1424 can be used. The conventional cooling system uses a refrigeration system that uses conventional thermal cycling as well as through a separate system. Liquid heat transfer medium to transfer thermal energy between the coolant and the support base to cool the cold flux or coolant medium. The coolant can be deionized water with other such as glycol and (or a mixture of materials of perfluoropolyether. In the system shown in Figure 13B, 'this type of temperature feedback control system 1454 is shown in U.S. Patent Publication No. 2007/0097580, in which The anti-Wei control loop processor 1455 controls the backside gas pressure valve 1456. Although the simplest embodiment controls only the expansion valve 1468, the adjustable expansion valve 1468 and/or may be used with a given rf thermal load on the substrate 1426. The temperature feedback control loop of the bypass valve 1470 controls the wafer temperature or maintains the wafer temperature at the desired temperature. The heat conduction gas (for example, helium gas) is injected into the interface between the back side of the wafer cassette 426 and the top surface of the support pedestal 1 424 to increase the thermal conductivity between the wafer 1426 and the cooled support pedestal ι 424 To achieve this, a gas passage 1486 is formed in the top surface of the support base, and a pressurized helium gas supply 1488 is coupled through the back side gas pressure valve 1456 to the interior of the passage i486. The source 1490 is applied to the D c. clamping voltage of the gate 1482 to electrostatically hold the wafer on the top surface. The wafer 1426 can be determined by the holding voltage and the heat transfer gas (helium) pressure on the back side of the wafer. The thermal conductivity between the support base 1424 45 201142936. Wafer temperature control is performed by changing the backside force (changed by the control valve i4s6) so that the wafer temperature can be adjusted to the desired degree while changing the backside gas pressure, between the wafer and the support pedestal 1424 The thermal conductivity between them will also change, and the change in thermal conductivity will change the balance between: (4) wafer 1426 absorbs the heat applied by RF power to gate 1482 or (4) to the plasma and (8) from the wafer to the cooled Support the heat of the pedestal. Changing this balance must change the wafer temperature. Therefore, the control: back: gas pressure feedback control loop can be used for agile or high-reaction wafer: degree control. The temperature detector is used to sense the actual temperature. The temperature detector can be a temperature detector 1457, a second temperature detector Μ", a temperature detector 1459 at the ?, the generator into the σ 1463, at the evaporator outlet ^ The temperature detector 146 on 64, or any one or all of the detectors δ. To achieve this, the feedback control loop processor Mu can control the orifice opening size of the expansion valve 1468 in response to the The input or output of one or more temperature probes is provided to the processor 1472 by a user selected desired temperature value stored in the memory or user interface 1474. Briefly, at each During a continuous processing cycle, the processor 1472 compares the current temperature to the desired temperature value measured by at least one detector (eg, by detector 1457 in the ESC insulation layer). Next, when the desired temperature value and measurement When there is a difference in temperature values, the processor 1472 calculates an error value, and the aperture size correction of the bypass valve 1470 or the expansion valve 1468 is determined by the error, which reduces the occurrence of an error. Then according to the correction, The processor 1472 can change the size of the valve orifice. This cycle of 46 201142936 is repeated during the overall duration of the substrate process to control the substrate temperature. One (or more) temperature sensors 1457, 1458 in the support base 1459 and 1460 are coupled to the input of processor 1455. User interface or suffix 1461 can provide user selected or desired temperature to processor 1455. During each successive processing cycle, when current temperature is measured When the ri value (from one of the sensors 1457, 1458, 1459) differs from the desired temperature, the processor 1455 calculates an error signal. The processor 1455 determines the current correction of the back gas pressure valve setting by the difference. Reducing the temperature error' and changing the valve opening according to the correction. For example, deviating from the substrate temperature above the desired temperature requires increasing the backside gas pressure to increase the thermal conductivity to the cooled support pedestal 1 424 and lowering the substrate temperature. In the case where the substrate temperature deviates from the desired temperature, the above method can be reversed to increase the substrate temperature. Therefore, the substrate temperature can be controlled and The substrate temperature is immediately set to a new temperature that actually falls within the temperature range, the lower limit of which is related to the cooling temperature of the support pedestal 1424, and the upper limit of the temperature range is determined by the RF thermal load on the substrate "for example, The substrate temperature cannot be increased in the absence of RF thermal load, and the substrate temperature cannot be cooled below the temperature of the support pedestal 1424. If the temperature range is sufficient, then any conventional technique can be used to maintain the support pedestal 1424 at the desired level. An agile temperature feedback control loop to help regulate the backside gas pressure at the cooling temperature. The tower base 1424 includes a heat exchanger 1462 that exists as a cooling passage for the cooling medium, which may be Is any suitable cooling fluid, such as a cooling gas (such as helium or nitrogen), 47 201142936 or the type of fluid described above. The heat exchanger 1462 cooling passage includes an inlet 1463 and an outlet 1464. Heat exchanger 1462 is contained within support base 1424. The feedback control system 1454 can operate in two modes, namely a cold section (in which heat exchanger 1462 acts as an evaporator) and a heating mode (in which mode heat exchanger 1462 acts as a condenser). The residual elements of the feedback control system 1454 can be external to the support pedestal 1454 and include a reservoir 1465 and a compressor for extracting the cooling medium through the loop, and a condenser 1467 (for operating the cooling mode) and Expansion valve 1468 having a variable orifice size. Feedback control system 1454 (ie, heat exchanger M62, reservoir 1465, compressor 1466, condenser 1467, expansion valve 1468, and heat exchanger 1462, reservoir 1465, compressor 1466, condenser 1467, and expansion valve 1468 The conduits coupled together include a conventional type of cooling medium (which acts as a refrigerant or coolant when operating in a cooling mode) and the feedback control system 1454 can have low electrical conductivity to avoid The RF characteristics interfere with each other. The reservoir 465 prevents any liquid form of the cooling medium from reaching the compressor 1 by storing the liquid. The liquid is converted to vapor by appropriately operating the bypass valve 1469. In order to overcome the problem of thermal drift during the process, it is necessary to increase the efficiency of the feedback control system 145 1 to 1 或 or more by operating the anti-wei control systems 1454, 1462, 1465, 1466, 1467, 1468, so that in the heat exchange The cooling medium inside the device can be separated between the liquid phase and the gas phase. The liquid to gas ratio at inlet 1463 is sufficiently high to allow this liquid to gas ratio to be lowered at outlet 1464. This condition is ensured by the latent heat of all (or nearly all) heat transfer between the support base 48 201142936 seat 1424 and the cooling medium (coolant) through the cooling medium. Therefore, the heat flow in the anti-Wei control system 1 4 5 4 is more than 10 times higher than that in the single-phase cooling cycle. This condition can be met by lowering the liquid to gas ratio of the cooling medium from inlet 1463 to outlet 1464, which substantially limits the outlet 1464 to at least cause a very small amount of liquid to remain at outlet 1464 (or before outlet 1464). In the cooling mode, the coolant capacity of the anti-wei control system 1454 does not exceed the RF thermal load on the substrate. Under the control of the main processor M76 (the main processor 1476 controls both the feedback control loop processors 1472 and 1455), the cooperative feedback mode can be used to synchronously operate the fox feedback control loop of the back side gas pressure valve 1456.丨 454 and a wide range of temperature feedback control loops that regulate the refrigeration expansion valve 1々Μ. The feedback control loop (including the evaporator 1462, the compressor 1466, the condenser 1467, and the expansion valve 1468) controls the temperature of the workpiece by changing the temperature of the support base "Μ. Only by the heat capacity of the feedback control system 1454 Limiting this temperature range, and thus the temperature range can set the temperature of the workpiece to a very large range (for example, _1 〇. (: to any temperature of +15 〇. 。. However, by the thermal mass of the support pedestal Limiting a rate that affects the desired change in workpiece temperature at a particular time. For example, an electrostatic chuck used to support a 300 mm workpiece or a silicon wafer, this rate is slow so that i is in the workpiece 〇t: The change takes about 丨 minutes or more than 'this time is the time from the freezing unit to change the coolant hot strip 49 201142936 to the new temperature, until the workpiece temperature finally reaches the new temperature. Conversely, when the workpiece is in the workpiece The temperature feedback control system 1454 cannot change the temperature of the support base (at least not directly) when the desired change or correction is made in the temperature, and only the workpiece and support can be changed. Thermal conductivity between the pedestals. Since the rate is limited only by the rate at which the backside gas pressure can be varied and the thermal mass of the workpiece, the rate at which the workpiece temperature corresponds to this change is very large. In a typical system, The backside gas pressure corresponds to the movement of the valve 1456 in a small portion of 1 second. For a typical 3 mm mm wafer, the thermal mass is low so that the wafer (machined part) temperature corresponds to The backside gas pressure changes in a few seconds or in a portion of a second. Therefore, the temperature response of the workpiece in the temperature feedback loop is relative to the time scale in which the temperature control loop affects the temperature of the workpiece. In an instant. However, the agile feedback loop must be limited to change the temperature range of the workpiece: the RF heat load on the wafer is used to limit the maximum achievable workpiece temperature while the minimum temperature cannot be lower than the support pedestal. The temperature. However, it will be agile with a wide range of temperature control loops, and the case of d's because of its combination provides a large workpiece temperature range and non-hanging fast Therefore, the advantages of each can be used to compensate for the limitations of the other. A wide range of feedback control loops (processor 1472) can be used to program (Pr〇gram) the main processor 1476 to affect large temperature changes and to be used. An agile feedback control loop (processor 1472) is used to program the primary processor 1476' to affect fast but small temperature changes. The RF bias generator 1478 generates power in two frequency (HF) bands (eg, 13.56 MHz). 201142936 The elongated conductor or the rf conductor extending through the workpiece support pedestal will be connected to the conductive stencil with the bias impedance matching element 148. The above can be reversed with the above-mentioned 13A, l3B diagram. Embodiments of the invention are performed in different chambers of a combined electrolysis chamber. Two additional exemplary plasma reactors suitable for cyclic oxidation and etching include modified fast and/or far-end plasma oxidation (RPO) reactors (illustrated in Figure 14) and modified ring-type sources Plasma immersion ion implantation reactors such as P3I are illustrated in Figure 15. These reactors are available from Applied Materials, Inc., located in Laura, California. Figure 14 illustrates an apparatus or system for forming a plasma from a process gas, and the apparatus or system is used to deposit an oxide layer on a semiconductor structure. The apparatus or system includes a rapid thermal processing (RTP) apparatus 丨500, such as, but not limited to, RTP CENTURA® with HONEYCOMB SOURCETM, which is commercially available from Applied Materials. This suitable RTP device and the method of operation of the rtp device are described in U.S. Patent No. 5,155,336, assigned to the present applicant. Other types of thermal processors can also replace RTp devices' eg Epi or p〇ly Centura®. A single wafer "c〇ld Wall" reactor produced by Applied Materials can be used to form high temperature films such as epitaxial germanium, polylayers, oxides and nitrides. Dxz® chambers manufactured by Applied Materials are also suitable. The plasma applicator 1 502 is coupled to the RTP device 15 5 . In operation, the 5 xenon poly applicator 1502 provides an electropolymerized radical to the device, and the energy source is connected to the electric power source. Applicator 1 $ 〇2 to generate excitation 51 201142936 can produce plasma. In the embodiment illustrated in Fig. 14, the RTp setting 15 includes a process chamber 1506 surrounded by side walls 15A and bottom wall 1510. The upper portion of the side wall bog of the chamber 15〇6 is sealed to the window assembly i 5 12 by a "〇" ring. A radiant energy light pipe assembly or illumination II 1514 is disposed on the window assembly 1512 and coupled to the window assembly 1512. The light pipe assembly 1514 includes a plurality of tungsten sprocket lamps 1S16, such as Sylvan U YET lamps, each of which is mounted in a light guide 1518, for example, made of stainless steel, brass, aluminum, or other metal. production. The wafer or substrate 1520 is supported on the edge of the interior of the chamber 1506 by a support ring 1522 (typically made of tantalum carbide). The support ring 1522 is mounted on a rotatable quartz cylinder 1524. The support ring 1522 and the wafer or substrate ι 52 can be rotated during the process by the rotatable quartz cylinder 1524'. Additional tantalum carbide bond rings can be used to accommodate different sized wafers or substrates (e.g., 1 5 〇 mm, 200 mm, or 300 mm wafers) to be processed. The bottom wall 1 1 1 〇 of the RTP device 1 520 includes, for example, an upper surface of the gold or a reflector 1526 for reflecting energy on the back side of the wafer or substrate 1520. In addition, the rTP device ι5〇〇 includes a plurality of fiber optic probes 1528' that are disposed through the bottom wall 1510 of the RTP device 1500 for detection at a plurality of locations across the bottom surface of the wafer or substrate i 520 The temperature of the wafer or substrate 1 520. The RTP device 1520 includes a gas inlet (not shown) that passes through the sidewalls l5 〇 8 for injecting process gas into the chamber 1506 to permit various processing steps performed in the chamber 1506. A gas exit port (not shown) is placed on the opposite side of the gas inlet in the side wall 1 508. The gas outlet is part of the exhaust system and couples the gas outlet to a vacuum source, for example, a pump (not shown) is used to discharge process gas from the chamber 〇5〇6 and reduce the chamber 15〇 The pressure in 6. When the process gas containing the plasma radicals is continuously supplied into the chamber 丨5〇6 during the process, the exhaust system can maintain the required pressure. Other gas inlets 1530 are formed through the side walls 15A through which plasma of the process gas is injected into the process chamber. The applicator 15〇2 is coupled to the gas inlet ^30 for injecting plasma radicals into the process chamber. The light guide assembly 1514 includes a luminaire 1516 that is disposed in the shape of a hexagonal array or "honeycomb structure." Luminaire 1516 is provided to adequately cover the entire surface area of wafer or substrate 1520 and support pedestal 1522. The luminaire 1516 is partitioned to separate the regions to provide very uniform heating of the wafer or substrate 1520. The light pipe 1518 is cooled by flowing a coolant (e.g., water) between different light pipes. The viewfinder assembly 1512 includes a plurality of short light pipes 1532. A coolant (e.g., 'water) is injected into the space between the light pipes 1532 to cool the light guide s 1532. The light pipes 1532 are designated as light pipes of the illuminator. By extracting through the e-piece 1540 connected to one of the light guides f 1532, a true " will be produced in a plurality of light pipes. The light guides 1532 are sequentially connected to the catheter hubs. 53 201142936 The RTP device i500 is a single wafer reaction chamber capable of raising the temperature of the wafer or substrate 1520 at a rate of 25 to ° C/sec. For example, during the oxidation process, since the temperature of the wafer or substrate 152 is at least 4 〇〇 ° C, which is greater than the temperature of the chamber sidewall 1508, the RTp δ reserve of 15 00 can be regarded as a "cold wall" (c〇 Ld waU)" reaction chamber. The heating/cooling fluid is circulated through the side wall 1508 and/or the bottom wall ι 51〇 to maintain the wall at the desired temperature. The plasma applicator 丨5〇2 is coupled to the RTp device 15〇〇 as described above to provide an electrically forged free radical source to the RTP device. In the embodiment - the plasma is connected to the RTP device ls by the inlet member 1542. The plasma applicator 1502 also includes a gas inlet 1544. A gas source, such as a storage tank or reservoir 1546', is coupled to gas inlet 1544. Lightly applying the plasma applicator 1 5〇2 to the energy source 15〇4 by the waveguides 1 548a and 1 548b » the gas source contains one or more oxidizing gases, an inert gas, nitrogen for nitriding, and an etching gas, The gases can be in separate tanks or storage tanks. Fig. 14 illustrates an embodiment in which the plasma applicator i5〇2 is remote from the RTp device ι5〇〇, in this embodiment, plasma is generated outside the chamber 1506 of the rTP device 丨5〇〇. By placing the plasma applicator 1502 at a chamber 1506 remote from the RTP device 1500, a plasma source can be selectively generated to limit the plasma composition exposed to the wafer or substrate i to free radicals. "Therefore, a plasma of ions, radicals and electrons is generated in the plasma applicator i 5〇2. However, because of the size of the plasma applicator 1 502 (eg, 'length and volume) or the combined size of the plasma applicator 1502 and the inlet members 1542 54 201142936, all or generated by exciting the process gas to form a plasma Most of the ions will last longer than the ion lifetime of the ions and will become electrically neutral. Therefore, the composition of the plasma supplied to the gas inlet of the RTP device 15 is mainly a radical. The electric and applicator 1 502 comprises a body 15 5 such as aluminum or non-mineral steel. The body 1503 surrounds the tubular member 15〇5. The tube member 15〇5 is made of, for example, quartz or sapphire. The official member 1505 preferably does not have any electrical bias that attracts charged particles, such as ions. One end of the body 1503 includes a gas inlet 1544. Gas source 1546 is coupled to gas inlet 1544. The gas source 1546 is coupled to the gas inlet 1544 through a first input of the three-way valve to connect the second input of the two-way 155 至 to another process gas source, such as a storage tank or reservoir 1552. In the first position, valve MM provides a flow of gas between the source of gas, 1546 and gas inlet 1544, while avoiding any gas flow from gas source 1552 to process chamber 15〇6. In the second position, valve 1 550 provides a flow of gas between gas source 1552 and process chamber 1506 to prevent the flow of gas from the source of gas (10) to the gas inlet 1 544 of the applicator. The gas source contains one or more oxidizing gases, and the nitrogen gas and the etching gas are used for nitriding, and the gases may be in separate storage tanks or storage tanks. Connecting the flow controller 1554 to the valve 155〇, mobilizing between the different positions of the valve (10) according to the process to be performed, called the flow controller as a mass, dynamic controller, and coupling the flow controller to the source gas 1546 and Between the gas inlets 1544' to regulate the flow of gas to the plasma applicator (10) 55 201142936. Flow controller i 5 54 can also function in a manner similar to control 155 and 1551 to provide appropriate process gas flow from gas source 1546 or 1552 to the process chamber. A free radical outlet 1562 is placed on the opposite side of the gas inlet 1544. In a consistent embodiment, the free radical outlet 1 562 is coupled to the inlet member i 542 to provide free radicals from the plasma 1564 into the chamber 15〇6 of the RTP device 15〇〇. The free radical outlet 15 62 typically has a larger straight than the gas inlet i544 to allow the excited free radicals to be effectively discharged at the desired flow rate and to reduce the contact between the free radicals and the tube 15〇5. . The free radical flow rate generated and discharged by the plasma % adder 1502 can be determined mainly by the gas inlet flow, the size of the tube 15〇5 and the radical outlet 1562, and the pressure in the plasma applicator 15〇2. . The pressure in the process chamber should be less than the pressure in the applicator. When the pressure in the applicator is between about 1.0 and 8.0 Torr, the pressure in the process chamber is between about 0.50 and 4. Torr. For example, if the pressure in the applicator is about 2 00 Torr, then the pressure in the process chamber should be about 1.00 Torr. The energy source inlet 1566 is located at the gas inlet 1544 and the free radical outlet 1562 of the body 15〇3. The position between. The energy source inlet 1566 allows the excitation energy to be injected into the tube 丨5〇5 from the energy source 1 5〇4, which is, for example, energy having a microwave frequency. In the case of microwave frequencies, the excitation month b moves into the body 丨5 of the plasma applicator 15〇2 and passes through the tube 15〇5 to excite the gas source into electropolymerization, which is sourced parallel to the energy source inlet 1564. Move in the direction. 56 201142936 In one embodiment, the energy source 1 504 is comprised of a magnetron 1 568, an insulator and a virtual load 1570. The virtual load 1570 provides impedance matching. The magnetron 1 5 6 8 generates excitation energy, for example, an electromagnetic frequency or an inductive coupling frequency. The magnetron can generate 2.54 GHZ of microwave energy between 丨.5 and 6 〇 ku〇waU. A suitable magnetron assembly can be constructed from Applied Sciences and Technology, located in Santa Clara, California. Excitation energy from magnetron 1568 is directed through insulator, dummy load 1570 and waveguides 1548a and 1548b to tube 15〇5. In some respects, the virtual load 1570 acts as a clamp valve to allow energy to move in the direction of the applicator 15〇2 but not toward the magnetron 1568. The auto tuner 1S72 is located between the plasma applicator 15〇2 and the waveguide l548i and automatically adjusts the actuator 1572 to redirect the radiation reflected by the applicator 15〇2 back toward the plasma applicator to increase the application to the plasma application. The power of the device 1502. The auto-tuning stomach 1572 also concentrates the microwave power at the center of the tube so that the (four) can be more preferentially absorbed by the gas supplied to the applicator. Although an automatic adjuster is preferred, a manual tuner can be used. For example, 'software instruction logic, 铒 ,, the control signal is generated by the logic 1 5 5 5 is applied to the system to control crying" < 益1 556' The software instruction logic is to store the computer readable medium (for example, the department (4) is in the 糸, the first controller 1556 memory 1557 heart a, other things, the computer program contains a series of instructions 'This command ± „丁” ', 3, gas flow rate, chamber pressure, chamber 57 201142936 and force rate level, 旎罝 source adjustment and other specific process T number. By the system controller in the processor 1559 1556 to perform electricity. Month: 耘. So 'operable instructions to dominate time, gas flow rate, cavity to firm ^ to / dish degree, RF power level, energy source adjustment and its. His parameters 'to perform here The cyclic oxidation and (4) process. The apparatus in Fig. 14 further includes the above-described cooling circuit associated with the UB diagram, the cooling circuit is in communication with the controller system. 2 15 Figure illustrates the ring-type source plasma immersion ion implantation Into the reactor, the reactor is, for example, but not limited to, a P3I reactor commercially available from Applied Materials, Inc., which is described in U.S. Patent No. 7,166,524, assigned to the present applicant. This is suitable Apparatus and method of operation. Referring to Figure 15, a toroidal source plasma immersion ion implantation (pH) reactor 1600 can comprise a cylindrical vacuum chamber 16〇2 having a cylindrical sidewall 16 The crucible 4 is defined by a disc-shaped ceiling. The wafer support pedestal 16 〇 8 on the chamber chassis supports the processed semiconductor wafer 1610. The gas distribution plate or shower head "n" on the ceiling 1614 will come from the gas The process gas of distribution panel 1616 is received in gas manifold 1614 of gas distribution plate or showerhead 1612. The gas distribution surface - plate I616 gas output may be from any one or more individual gas supplies. 1618 Or a gas mixture. The vacuum pump 1620 is coupled to an extraction annulus 1622 defined between the wafer support pedestal 1608 and the sidewall 1604. The process region 1624 is defined between the wafer 161 〇 and the gas distribution plate 1612. 58 201142936 A pair of outer concave angle conduits 1626, 1628 establish a concave angular path that is supplied to the plasma flow through the process region, the annular path intersecting in the process region 1624. Each conduit 1626, 丨MS There is a pair of ends 1630 that are coupled to the cavity to the opposite side. Each of the conduits 1626, 1628 is a hollow conductive tube. Each of the conduits 1626, 1628 has a dc insulating ring 1632' that avoids both ends of the conduit. A closed loop conductive path is formed. The annular magnetic ring 1634 surrounds the annular 4 of each of the conduits 1626, 1628. The excitation coil 2 63 6 surrounding the magnetic coil j 63 4 is coupled to the RF power source 1 through the impedance matching component 1640. 638. The two RF power sources 1638 coupled to each individual magnetic ring 1636 can be two slightly different frequencies. The rf power coupled by the RF power generator 1638 produces a plasma ion current in a closed annular flow extending through the individual conduits 1626, 1628 and the process region 1624. The ion streams oscillate at the frequencies of the individual RF power sources I626, 1628. The bias power is applied to the wafer support pedestal 1608 through the impedance matching circuit 1644 by the bias power generator 1642. Plasma formation and subsequent oxide layer formation can be performed by: passing the process gas through the gas. Distribution plate 1612 is introduced into chamber 1624 and sufficient source power from generator 1 638 is applied to the concave corner guides 1626, 1628' to create an annular electrical current flow in the conduit and process region ι624. With RF bias generator! The applied wafer bias of 642 determines the flow of plasma near the surface of the wafer. The rate or flow rate of the electropolymer can be determined by the plasma density (the number of subdivisions per square centimeter per second from the surface of the sample is 59 201142936), and the plasma density can be increased by the RF source power generator 1638. The RF power level is controlled. The cumulative ion dose (ion per square centimeter) on wafer 161 is determined by both the flow rate and the total time to maintain the flow. If the wafer support pedestal 1608 is an electrostatic chuck, then the immersed electrode 1646' is provided in the insulating plate 1648 of the wafer support pedestal and the immersed electrode 1646 is coupled to the bias power through the impedance matching circuit 1644. 1642. In operation, the semiconductor wafer is realized by placing the wafer 丨6丨〇 on the wafer support pedestal 1608, introducing one or more process gases into the chamber 16〇2, and generating plasma by the process gas impact. An oxide or nitride layer is formed. The wafer bias ' delivered by the RF bias power generator 1642 can be adjusted to control the flow of ions to the wafer surface. In any of the above-described apparatuses relating to Figures 13A, 14 and 15, during the oxidation, exemplary conditions are: a pressure between about mT rrrr to about ι 〇 Ton*, and a power of about i to 5 Between the ranges of 〇〇〇Wau, more specifically, between the range of about 1 to 3000 Watts, and the temperature is between about 0 ° C and about 80 (the range of TC, and more specifically, Between about 〇 ° C and about 500 ° C. Exemplary etching conditions include: a pressure of about ! mT 〇rr to a range of about 1 〇 T 〇rr, and a power of about 1 to 5000 Watt Between the ranges, and the temperature is in the range of from about 〇 ° c to about 8 〇〇 r. In a particular embodiment, at about 30 C +/- 5 C to utilize direct electricity of NH: 5 / NF 3 chemistry The etching is performed by poly. The sublimation reaction is achieved by heating the substrate to at least about 1 ° C for at least about 1 minute at a pressure of 60 201142936 between the range of about 1 mTonr to about 1 〇T rr. The chambers associated with Figures 13A, 14 and 15 are used to achieve these conditions and to perform the cyclic etching and oxidation and/or nitridation processes described above. Any of the chambers associated with Figures 14 and 15 may include a system controller to control chamber operation, as described above in the system illustrated in Figure 12. Thus, in operation orders, the system controller can collect data from individual systems. And feedback, the individual system is, for example, a gas source's plasma source, heating source, and other components used to optimize chamber tool performance. Thus the 'gas source contains a volume or mass flow controller coupled to the system controller. 'The system controller can control the increase or decrease of gas flow in the chamber and the increase or decrease of pressure. The system controller connected to the source of the electricity can change the power, bias and other plasma of the plasma source of the chamber. Parameter. Whether the heating source is a heated sprinkler, electric resistance heater, light source or laser source (related to the type described in (4) below) 'the system controller is also connected to the heating source.

-個用於執行形成上述浮動閉極- one for performing the formation of the above floating closed

CpU來執行軟體常式(例如其 竽動閘極的方法)時,將CPU 61 201142936 轉換為特殊用途電腦(控制罘彳。允^Γ ί4丄 i w工利盗)亦可藉由位於遠離工呈 Μ 圖示)㈣存及/或執行該軟體常式。透 過使用系統控制器’可在第13八、14肖15圖的腔室中循 環地重覆形成氧化物層及/錢化物層以及㈣(藉由電 漿以及昇華)步驟’直到形成具有預期材料厚度的氧化物 及/或氮化物層。在第从至3C、以至se、7八至π、 8A至^、脱至^^至叫圖中描述示例性的元 件以及製程程序’且可在第13A、14肖15圖中所描述的 單一腔室中執行該些製程的任何—個。 根據-或多個實施例,在該些腔室中可在少於約3分 鐘内完成氧化及/或氮化與蝕刻步驟的完整製程程序。在 特定實施例中,在該些腔室中可在少於約2分鐘内完成 氧化及/或氮化與蝕刻步驟的完整製程程序,且在更特定 實施例中’在該些腔室中可在少於約丨分鐘内完成氧: 及/或氮化與蝕刻步驟的完整製程程序,例如45秒或川 秒。以往認為,如此的處理時間無法在單一腔室中達成, 該單一腔室同時需要蝕刻化學物質、氧化及/或氮化化學 物質以及由約100。(;或更高的溫度至低於約i〇〇t(例2 低於約5〇°C ,更具體為低於約4〇t,例如約3〇〇c +/ 5 °C)的快速循環能力,以完成至少—個氧化及/或氮化與 餘刻的單一製程程序。 在材料表面上方僅幾微米的精確溫度控制可幫助製造 具有上述超窄特徵的元件’該超窄特徵具有淺以及陡峭 的接面。為了達到此目的,在上述與第13A、M與Μ 62 201142936 圖有關的系統中需要包含燈具或雷射加熱部分。在一或 多個實施例中,配置來自燈具或雷射的光線,使得由燈 具所放射的光能可以一入射角度接觸晶圓,該入射角可 由被處,之材料來最佳化吸收值。由本發明所處理的材 料可與單-波長來源、或多個光波長接觸,因此可藉由 經加熱的材料有效吸收—部分的波長。適合的光源包含 雷射、或各種非同調散射的光源,例如燈具、鎢 燈等等。 〃 已發展出脈衝式雷射加熱處理,該脈衝式雷射加熱處 理使用雷射輻射的短脈衝(例如,2G ns),該雷射賴射可 聚焦在被處理元件的縮小面積上。理想地,該脈衝與位 於20 _乘30 _附近的光學步進器區域是相同尺寸。 雷射脈衝的總能量是足以立即將被照射面積加熱至高 溫。之後,由淺雷射脈衝所產生的小體積熱能可快速地 擴散至被處理材料的未加熱下部部分,因而大幅增加被 照射表面區域的冷卻速率。可在每秒數百脈衝的重複速 率下脈衝多種類型的高功率雷射。以重複步進的方式在 被處理的材料表面上方移動雷射,且在週邊區域脈衝該 雷射以熱處理被處理材料的整個表面。已開發出新類型 的雷射熱處理裝備,在該裝備中將具有長尺寸與短尺寸 的連續波(CW)雷射輻射的窄線形光束在沿著該短尺寸 (亦即,垂直於該線)的方向中於被處理的材料上方進行 掃描。該線寬度為足夠小且該掃描速率為足夠快,因此 經掃描的輕射線在表面上可產生非常短的熱脈衝,之後 63 201142936 可快速垂直擴散至基板且水平擴散至較低溫度的表面區 域。該製程可視為熱流退火。美國專利案號第6,987,240 揭硌使用/。著光束的長方向排列之雷射二極體條來產生 雷射輻射。此雷射二極體條一般是由GaAs或類似半導 體材料所組成,且由形成在光電晶片的相同層中的數個 雷射二極體所組成。在美國專利案號第6,987,240所揭露 的GaAs雷射條在約8〇8 nm的波長下放射近紅外線輻 射,該近紅外線輻射與矽具有良好耦合。因此,根據一 或多個實施例,可使用燈具輻射、經脈衝雷射、連續波 雷射、及/或雷射二極體,以選擇性地氧化材料層表面, 而形成氧化物層及/或蝕刻該氧化物層。 近來,已知除了 GaAs二極體以外的雷射來源也具有 優點’例如,=氧化碳雷射,且已建議使用雙重雷射來 源。例如,美國專利案號第7,279,721揭露可使用雙重雷 射來源系統來選擇性地氧化材料層表面,以形成氧化物 層及/或蝕刻該氧化物層。 現參照第16與17圖,顯示揭露在美國專利案號第 7,279,721中的雙重來源照光系統的示例性實施例。第μ 圖顯示本發明的其中一個實施例的簡易圖式代表圖。將 晶圓1720或其他基板固定在載台咖上,在系統控制 器1724的控制下在—個或兩個方向中以馬達驅動該載 台1722。相對短波長雷射1726(例如,雷射條)在 短於矽能帶間隙波長(約hll心)的波長下放射可見光 或近可見光的連續波(CW)光束。對於GaAs雷射I?%而 64 201142936 言,放射波長通常為約810 nm,具有紅光的特性。第一 光學器件1730可聚焦並塑形該光束1728,且反射器1732 將光束1728以相對寬的激發光束1734重新導向晶圓 1720,亦在第17圖的平面圖中說明。將激發光束1734 以某一角度(例如相對於晶圓1 5度)傾斜,以避免光束反 射回到GaAs雷射1 726。此經反射的輻射會縮短雷射二 極體的使用期限。長波長雷射i 74〇(例如,二氧化碳雷射) 在長於矽能帶間隙波長(1 · 11 a m)的波長下放射紅外線 連續波(CW)光束1742。在特定實施例中,二氧化碳雷射 在接近10.6 的波長下放射。第二光學器件1744可 聚焦並塑形該二氧化碳光束! 742,且第二反射器1746 將二氧化碳光束1742反射為相對窄的加熱光束1748。 在特疋貫施例中’將二氧化碳加熱光束丨7 4 8相對於基板 以布魯斯特角度(Brewster angle)傾斜,該布魯斯特角度 對於二氧化矽而言約72度,因此可將加熱光束1748最 大化耦合至基板1720。因為在基板172〇中的折射光束 …任何反射光束之間的角度為9〇度,而未產生反射的輻 射所以布魯斯特角度的入射角_卜極化輕射(亦即, 〜著基板1720表面被極化的輻射)來說是最有效的。因 此,在二氡化碳光束1718中s-極化光會比p_極化光更可 有皿地破抑制。然而’實驗顯示出在遠離基板度(仏⑺ 度)。同軸的20度圓錐形輻射對於各種圖案通常產生約 3.5%的可變吸收值’該吸收值與在布魯斯 圓雜所達到的㈣幾乎-樣好。如第17圖所說明,將 65 201142936 長波長(二氧化碳)加熱光束17 4 8定位在且較佳地共轴在 該短波長(可見光)激發光束1734上。隨著載台ι722相 對於包含雷射1726、1740與光學構件173〇、1732、1744、 1746的光源1750來移動基板,將光束1734與1748兩 者同步掃描橫跨基板1720。或者,可將基板穩定地固定, 同時根據來自控制器1 7 2 4的訊號,該致動器1 7 5 2在平 行於基板1720表面的一或兩個方向中移動所有或一部 份的光源1 7 5 0。 對於紅外線加熱光束1748與可見光激發光束1734兩 者而言,在基板1720上的光束形狀為實質矩形或至少為 擴圓。應理解到,目為事實上光束具有延伸超過所說明 形狀的有限尾端,所以所描述的光束形狀為圖示性且代 表中心強度的一些部分。且,較佳地,當光束1734、1748 兩者相對於基板1720同步移動時,將該紅外線光束1748 接近共軸在較大可見光光束1734上。 一般作用為’較大可見光光束1734(該較大可見光光束 1734在矽中會大幅度的衰減)在通常接近晶圓表面的稍 大區域中產生自由載子。較小紅外線光束1川(該較小紅 卜線光束1 748不會被未照射的矽所吸收)與可見光光束 1734所產生的自由載子進行交互作用,且有效吸收該紅 卜、本光束之較長波長射並轉換為熱,因而可快速提升 在紅外線光束1 748面積中的溫度。 主要可藉由較小紅外線光束1748的尺寸來測定溫度 的斜線上升率與掃描速率,而較大可見光光束⑽應包 66 201142936 3車乂小紅外線光束丨748。在掃描方向中的較小紅外線光 束1748的寬度可部分確定溫度的斜線上升率且在大部 刀應用中可縮小該較小紅外線光束1748的寬度。垂直於 知描方向的較小加熱光束1748的長度應足夠大,以在基 板的相當大的部分上延伸’且因此可在一次通過後退火 '•亥相田大的部分。常’線形光束的長度至少為線形光 束寬度的10倍。較佳地,長度等於或略大於基板直徑。 j而,對於商業上可行的應用來說,該長度為毫米等級。 在晶圓上的較小加熱光束1748的示例性尺寸為0丨mm X 1 mm ’但可使用其他尺寸。通常期望有較小的寬度, 例如,少於500 "爪或少於175 。較大激發光束卩“ 可大於加熱光束 性的尺寸設定中 1748,例如,大於i mm,使得在示例 ,較大激發光束1734可在掃描方向中延 伸約1 mm,且在垂直方向中延伸幾毫米。 "亥雙重波長造成在吸收可見光輕射的表面區域中集中 更多的紅外線吸收。表面區域的深度小於二氧化碳輻射 本身的吸收長度。切中的可見光㈣的室溫衰減深度 在可見光光譜_隨著波長降低而快速的減少,例如,對 於800nm的輻射而言該吸收深度約1〇 對於6〇〇 nm的輻射而言約3 ,且對於5〇〇1^的輻射而言約 1 ym。因此,較短激發波長僅對於在非常接近晶圓表 面處產生自由載子,以限制接近表面的熱能而言是有利 的。因此,對於-些應用來說,期望均句的較短激發波 長,例如來自倍頻Nd: YAG雷射的532 nm輻射,具有 67 201142936 綠光的特徵。 應理解到,上述的光源系統不需要包含雙重光源,且 在一些實施例中,可使用單一光源。假如根據—或多個 實施例使用光源系統來加熱基板上的材料層,該先源系 統可與在說明書中上述或以下任何一個腔室的系統控制 器連通,且可藉由系統控制器來控制材料表面的加熱, 該系統控制器可控制光源的各種製程參數,例如光源的 功率以及材料層曝露至光的持續時間。 在其他實施例中,可使用經修飾的乾式蝕刻腔室.,以 執行氧化物材料表面的循環氧化與蝕刻。一示例性腔室 為可由應用材料公司所購得的sic〇nitm,將在第丨8至 20圖說明。 第18圖為顯示說明性製程腔室18〇〇的部分截面圖。 製程腔室1800包含腔官主舻18Λ1 隹,从 ^ 3股至王體18〇1、羞組件184()以及支 樓組件1 8 2 0。將蓋紐株1 χ 4 + 一 蓝、,且件1840设置在腔室主體1801的上 端 且將該支撐組件1 820至少部分 設置在腔室主體1801 中。腔室主體1801包令姑絲 匕s狹縫閥門開口 1 8 11,該狹缝間 門開口 1811形成在妒:它士胁10(Λ1 长瓜至主體1801的側壁中,以提供出 入口給製程腔至1800的内部。將狹縫閥門開D “η選 擇性地開啟與關閉’以允許進出該腔室主體内部。 腔室主體1801包含形成在該腔室主體1801中的通道 1802 用於經由該通道18〇2 流動熱傳輸流體。熱傳輸流 體可為加熱流體或冷卻劑 於控制腔室主體18〇1的溫 ’且在處理與基板傳輸期間用 度。示例性的熱傳輸流體包含 68 201142936 示例性的熱傳輸流體亦可包含 水、乙二醇或其混合物。 氮氣。 腔室主體1801更包含襯塾18〇8,該概塾18〇8圍繞該 支樓組件刪。襯墊刪是可移動的,用於進行保養 與清潔,墊刪可由金屬製成,例如,铭、或陶究材 料。然而,襯墊18〇8可為任何製程相容的材料。可將襯 墊1808進行珠磨以增加沉積在襯墊18〇8上的任何材料 的附著性,因此可避免材料的剝落造成製程腔室18〇〇的 污染。襯塾1808 &含一或多個孔洞18〇9與形成在概塾 1808中的抽取管道1〇6,該抽取管道與真空系統流體連 通。孔洞1809提供流動路徑給進入抽取通道18〇6的氣 體,該抽取通道1806提供排出口給製程腔室18〇()中的 氣體.。 真空系統包含真空幫浦1 804與節流閥丨8〇5,以調節 通過製程腔室1800的氣體流動。將真空幫浦18〇4耦接 至s又置在腔室主體1801上的真空淳18〇7,且因此與形 成在襯墊1808中的抽取通道18〇6流體連通。 扎洞1809允許抽取通道1806與腔室主體18〇1中的製 程區1 8 10流體連通。以蓋組件1 8 4 0的下表面與支撐組 件1820的上表面界定製程區1810,且利用襯墊圍 繞製程區1810。可將孔洞1809的尺寸設計為與襯墊18〇8 —致且均勻放置在襯塾1 8 0 8周圍。然而,可使用任何數 量、位置、尺寸或形狀的孔洞,並且可根據在基板接收 表面上所期望的氣體流動圖案來改變每一個設計參數, 69 201142936 以下將更詳細討論。此外,可配置孔洞i809的尺寸、數 量以及位置,以接收離開製程腔室i 800的均勻氣體流 動。且,可配置孔洞尺寸與位置,以提供快速或高容量 的抽取,以幫助由製程腔室1800快速的排出氣體。例 如,接近真空埠1807的孔洞ι809數量與尺寸可小於距 離真空埠1 807較遠處的孔洞1 8〇9的數量與尺寸。 更詳細地說明蓋組件1840,第19圖顯示蓋組件184〇 的放大截面圖,可將該蓋組件184〇設置在腔室主體ΐ8〇ι 的上端。參照第18與19圖’蓋組件184〇包含許多堆疊 在彼此上方的組成件,用以在該些組成件之間形成電漿 區域或凹部。蓋組件1840包含第—電極1841(上電極), 該第一電極1841垂直地設置在第二電極1852(下電極) 上方,而於第一電極1841與第二電極1852中限制出電 漿容積或凹部1849。將第一電極丨84丨連接至功率來源 1844,例如RF功率供應器,且將第二電極1852接地, 於這兩個電極1841、1852之間形成電容。 蓋組件1840包含一或多個氣體入口 1842(僅顯示一 個)’該一或多個氣體入口 1842至少部分形成在第一電 極184丨的上部部分1843令。—或多種製程氣體透過一 或多個氣體入口丨842進入蓋組件丨84〇中。—或多個氣 體入口 1842在該一或多個氣體入口 1842的第—末端處 與電聚凹冑1849流體連通,且在該—或多個氣體入口 1842的第二末端處耦接至一或多個上游氣體來源及/或 其他氣體輪送組成件,例如氣體混合器。一或多個氣體 70 201142936 入口 1842的第一末端在擴充部分1846的内直徑1850的 最上方點處開放進入電漿凹部1 849。類似地,一或多個 氣體入口 1842的第一末端可沿著擴充部分1846的内直 徑1 850的任何高度間隔處開放進入電漿凹部1 849。儘 管未顯示’但可將兩個氣體入口 1 842設置在擴充部分 1846的相對側上,以創造旋渦流動圖案或「渦流(v〇rtex)」 流動進入擴充部分1 846中,該旋渦流動圖案或渦流可幫 助混合電漿凹部1 849中的氣體。 第電極1841具有擴充部分1846,該擴充部分1846 容納電漿凹部1849。擴充部分1846與上述的氣體入口 1842流體連通。擴充部分1846可為環形元件,具有由 該擴充部分1846的上部部分1847至該擴充部分“牝的 下部部分1848逐漸增加的内表面或直徑185〇。因此, 第一電極1841與第二電極1852之間的距離是可變的。 此可變距離幫助控制在電漿凹部丨849中產生的電漿形 成與穩定性。 擴充部份1846類似於圓錐或「漏斗“⑽以丨)」,如第18 與19圖所示。擴充部分1846的内表面185〇由上部部分 1847至擴充部分1846的下部部分1846為逐漸傾斜。内 直徑⑽的傾斜度或角度可根據製程需要及/或製程限 制來改變。擴充部分1 846的 97長度或阿度亦可根據特定製 程需要及/或限制來改變。内直徑185〇的傾斜度、或擴 充部/刀1486的面度、或此兩者可根據製程所需的電聚容 積來改變。 71 201142936 不希望受到理論的约束,應相信兩個電極ΐ84ΐ ι㈣ 之間的距離變化可允許形成在電裳凹部1849中的電聚 在假如不遍及整個電聚凹部⑽的情況下,可獲得所需 要的功率位準’用以在電梁凹部⑽的一些部分中維持 f毁本身。因此,在電聚凹物9中的電製較不受壓力 影響’而允許在較寬的操作視窗_產生與維持電聚。因 此:可在蓋組件购中形成可重複且更可#的電激。 第-電極1841可由任何製程可相容的材料所構成,例 如鋁、陽極化的鋁、鍍鎳的鋁、鍍鎳的鋁6〇6ι_Τ6、不 鏽鋼、及其組合與合金。在-或多個實施例中,將整個 第一電極1841或一部分的第一電極1841塗佈鎳,以降 低不需要的粒子形成。較佳地是,至少擴充部分1846的 内表面1850電鍍鎳。 第二電極1 852可包含一或多個堆疊平板。當需要兩個 或多個平板時,該些平板應彼此電氣連接。每一個平板 應包含複數個孔洞或氣體通道,以允許來自電衆凹部 1849的一或多種氣體流動穿過該複數個孔洞或氣體通 道〇 蓋組件1840更包含絕緣體環1851,以電氣隔絕該第 一電極1841與該第二電極1852。絕緣體環1851可由氧 化紹或任何其他具絕緣性、製程相容的材料所製成。較 佳地是’絕緣體環1851至少圍繞或至少實質上圍繞該擴 充部分1 846。 第一電極1852包含頂端平板1853、分配平板1858以When CpU executes a software routine (such as its method of slamming a gate), converting CPU 61 201142936 to a special-purpose computer (control 罘彳 允 Γ ί ί ) ) ) ) ) ) ) ) ) ) ) ) ) ) ) ) ) Μ Diagram) (4) Save and/or execute the software routine. By using the system controller', it is possible to cyclically re-form the oxide layer and/or the money layer in the chamber of the 13th, 14th, and 15th, and (4) (by plasma and sublimation) steps until the formation of the desired material An oxide and/or nitride layer of thickness. In the first to the 3C, to the se, 7 to π, 8A to ^, to the ^ ^ to the figure to describe the exemplary components and process procedures 'and can be described in the 13A, 14 Xiao 15 figure Any of these processes are performed in the chamber. According to one or more embodiments, the complete process of oxidation and/or nitridation and etching steps can be completed in the chambers in less than about 3 minutes. In a particular embodiment, the complete process of oxidation and/or nitridation and etching steps can be completed in the chambers in less than about 2 minutes, and in a more specific embodiment 'in the chambers Complete process of oxygen: and/or nitridation and etching steps in less than about one minute, such as 45 seconds or seconds. It has been previously believed that such processing time cannot be achieved in a single chamber that requires both etching chemistry, oxidizing and/or nitriding chemicals and about 100. (or higher temperature to less than about i〇〇t (example 2 is less than about 5 ° C, more specifically less than about 4 〇t, such as about 3 〇〇 c + / 5 ° C) Cycle capability to complete at least one oxidation and/or nitridation and residual single process. Accurate temperature control of only a few microns above the surface of the material can help fabricate components with the ultra-narrow features described above. In order to achieve this, it is necessary to include a luminaire or a laser heating section in the system described above in connection with Figures 13A, M and Μ 62 201142936. In one or more embodiments, the configuration is from a luminaire or a ray. The emitted light is such that the light energy emitted by the luminaire can contact the wafer at an incident angle that can be optimized by the material being used. The material processed by the present invention can be used with a single-wavelength source, or A plurality of light wavelengths are contacted, so that the wavelength of the portion can be effectively absorbed by the heated material. Suitable light sources include lasers, or various non-coherently scattered light sources, such as lamps, tungsten lamps, etc. 脉冲 Pulsed Laser heat treatment, The pulsed laser heating process uses a short pulse of laser radiation (eg, 2 G ns) that can focus on the reduced area of the component being processed. Ideally, the pulse is located near 20 _ by 30 _ The optical stepper area is the same size. The total energy of the laser pulse is sufficient to immediately heat the illuminated area to a high temperature. After that, the small volume of thermal energy generated by the shallow laser pulse can be quickly diffused to the untreated material. Heating the lower portion, thereby greatly increasing the cooling rate of the illuminated surface area. Multiple types of high power lasers can be pulsed at repetition rates of hundreds of pulses per second. Repetitively stepping over the surface of the material being processed Shooting and pulsing the laser in the surrounding area to heat treat the entire surface of the material being processed. A new type of laser heat treatment equipment has been developed in which continuous wave (CW) laser radiation of long and short dimensions will be developed. a narrow linear beam of light is scanned over the material being processed in a direction along the short dimension (ie, perpendicular to the line). The line width is sufficiently small and The scan rate is fast enough that the scanned light rays produce very short thermal pulses on the surface, after which time 63 201142936 can be rapidly diffused vertically to the substrate and diffused horizontally to a lower temperature surface area. This process can be considered as heat flow annealing. U.S. Patent No. 6,987,240 discloses the use of a laser diode strip arranged in the longitudinal direction of the beam to produce laser radiation. The laser diode strip is generally composed of GaAs or a similar semiconductor material and is composed of A plurality of laser diodes formed in the same layer of the photovoltaic wafer. The GaAs laser strip disclosed in U.S. Patent No. 6,987,240 emits near-infrared radiation at a wavelength of about 8 〇 8 nm. The radiation is well coupled to the crucible. Thus, in accordance with one or more embodiments, luminaire radiation, pulsed laser, continuous wave laser, and/or a laser diode can be used to selectively oxidize the surface of the material layer, An oxide layer is formed and/or the oxide layer is etched. Recently, it has been known that a laser source other than a GaAs diode has an advantage 'e.g., a carbon oxide laser, and a dual laser source has been suggested. For example, U.S. Patent No. 7,279,721 discloses the use of a dual laser source system to selectively oxidize the surface of a material layer to form an oxide layer and/or etch the oxide layer. Referring now to Figures 16 and 17, an exemplary embodiment of a dual source illumination system disclosed in U.S. Patent No. 7,279,721 is shown. The μth diagram shows a simplified pictorial representation of one of the embodiments of the present invention. The wafer 1720 or other substrate is mounted on the carrier, and the stage 1722 is driven by the motor in one or two directions under the control of the system controller 1724. A relatively short wavelength laser 1726 (e.g., a laser strip) emits a continuous wave (CW) beam of visible or near visible light at a wavelength shorter than the wavelength of the band gap (about hll center). For GaAs lasers I?%, 64 201142936, the emission wavelength is usually about 810 nm, with red light characteristics. The first optics 1730 can focus and shape the beam 1728, and the reflector 1732 redirects the beam 1728 to the wafer 1720 with a relatively wide excitation beam 1734, also illustrated in the plan view of FIG. The excitation beam 1734 is tilted at an angle (e.g., 5 degrees relative to the wafer) to prevent the beam from being reflected back to the GaAs laser 1726. This reflected radiation shortens the life of the laser diode. A long-wavelength laser, i.e., a carbon dioxide laser, emits an infrared continuous wave (CW) beam 1742 at a wavelength longer than the wavelength of the band gap (1 · 11 a m). In a particular embodiment, the carbon dioxide laser emits at a wavelength near 10.6. The second optics 1744 can focus and shape the carbon dioxide beam! 742, and the second reflector 1746 reflects the carbon dioxide beam 1742 into a relatively narrow heated beam 1748. In a special embodiment, 'the carbon dioxide heating beam 丨 7 4 8 is tilted relative to the substrate at a Brewster angle, which is about 72 degrees for the cerium oxide, so the heating beam 1748 can be maximized. The coupling is coupled to the substrate 1720. Because the angle of the refracted beam in the substrate 172 ......the angle between any reflected beams is 9 ,, and the reflected radiation is not generated, the incident angle of the Brewster angle is polarized (ie, the surface of the substrate 1720) It is most effective in terms of polarized radiation. Therefore, the s-polarized light in the di-n-carbonized light beam 1718 is more damped than the p-polarized light. However, the experiment showed a degree away from the substrate (仏(7) degrees). Coaxial 20 degree conical radiation typically produces a variable absorption value of about 3.5% for various patterns. This absorption is almost as good as the (four) achieved by Bruce. As illustrated in Figure 17, a 65 201142936 long wavelength (carbon dioxide) heating beam 17 4 8 is positioned and preferably coaxially on the short wavelength (visible light) excitation beam 1734. As the stage ι722 moves the substrate relative to the light source 1750 containing the lasers 1726, 1740 and the optical members 173, 1732, 1744, 1746, the beams 1734 and 1748 are scanned synchronously across the substrate 1720. Alternatively, the substrate can be stably fixed while moving all or a portion of the light source in one or two directions parallel to the surface of the substrate 1720 in accordance with a signal from the controller 1724. 1 7 5 0. For both the infrared heated beam 1748 and the visible light excitation beam 1734, the beam shape on the substrate 1720 is substantially rectangular or at least rounded. It should be understood that in fact the beam has a finite end that extends beyond the illustrated shape, so the beam shape described is illustrative and represents some portion of the center strength. Moreover, preferably, when both beams 1734, 1748 move synchronously relative to substrate 1720, the infrared beam 1748 is brought approximately coaxially over the larger visible beam 1734. The general effect is that the 'large visible light beam 1734 (which is greatly attenuated in the erbium) produces free carriers in a slightly larger area generally near the surface of the wafer. The smaller infrared beam 1 (the smaller red beam 1 748 is not absorbed by the unirradiated 矽) interacts with the free carrier generated by the visible beam 1734, and effectively absorbs the red, the beam The longer wavelengths are incident and converted to heat, thus rapidly increasing the temperature in the area of the infrared beam 1 748. The ramp rate and scan rate of the temperature can be determined primarily by the size of the smaller infrared beam 1748, while the larger visible beam (10) should include a small infrared beam 丨 748. The width of the smaller infrared beam 1748 in the scanning direction may partially determine the ramp rate of temperature and may reduce the width of the smaller infrared beam 1748 in a multi-knife application. The length of the smaller heating beam 1748, which is perpendicular to the direction of the depiction, should be sufficiently large to extend over a substantial portion of the substrate' and thus can be annealed at one pass and then a large portion of the field. The length of the constant 'linear beam' is at least 10 times the width of the linear beam. Preferably, the length is equal to or slightly larger than the diameter of the substrate. However, for commercially viable applications, the length is in the order of millimeters. An exemplary size of the smaller heating beam 1748 on the wafer is 0 丨 mm X 1 mm ′ but other dimensions can be used. It is generally desirable to have a smaller width, for example, less than 500 "claws or less than 175. The larger excitation beam 卩 "may be greater than 1748 in the size setting of the heating beam properties, for example, greater than i mm, such that, in the example, the larger excitation beam 1734 can extend about 1 mm in the scanning direction and a few millimeters in the vertical direction. The double wavelength causes the absorption of more infrared light in the surface region where the visible light is absorbed. The depth of the surface region is smaller than the absorption length of the carbon dioxide radiation itself. The visible light of the visible light (4) in the cut is in the visible light spectrum. The wavelength is reduced and rapidly reduced, for example, the absorption depth is about 1 对于 for 800 nm radiation, about 3 for 6 〇〇 nm radiation, and about 1 μm for 5 〇〇 1 ^ radiation. Shorter excitation wavelengths are only advantageous for generating free carriers at very close to the wafer surface to limit thermal energy close to the surface. Therefore, for some applications, shorter excitation wavelengths of the desired sentence, such as from Frequency-doubled Nd: YAG laser 532 nm radiation with the characteristics of 67 201142936 green light. It should be understood that the above-mentioned light source system does not need to contain dual light sources. In some embodiments, a single light source can be used. If a light source system is used to heat a layer of material on a substrate in accordance with - or embodiments, the precursor system can be coupled to a system controller of any of the above or below chambers in the specification Connected, and the heating of the surface of the material can be controlled by a system controller that can control various process parameters of the light source, such as the power of the light source and the duration of exposure of the material layer to the light. In other embodiments, A modified dry etch chamber to perform cyclic oxidation and etching of the surface of the oxide material. An exemplary chamber is sic〇nitm available from Applied Materials, Inc., as illustrated in Figures 8-20. Figure 18 is a partial cross-sectional view showing the illustrative process chamber 18〇〇. The process chamber 1800 includes a cavity main 舻 18Λ1 隹, from ^ 3 strands to the king body 18 〇 1, shy component 184 () and the branch assembly 1 8 2 0. The cover strain 1 χ 4 + a blue, and the member 1840 is disposed at the upper end of the chamber body 1801 and the support assembly 1 820 is at least partially disposed in the chamber body 1801. The chamber body 1801 The slit opening valve opening 1811 is formed in the side wall of the 妒: 士士胁 10 (Λ1 long melon to the main body 1801) to provide an inlet to the interior of the process chamber to 1800. The slit valve is opened D "n selectively opened and closed" to allow access to the interior of the chamber body. The chamber body 1801 includes a passage 1802 formed in the chamber body 1801 for flowing heat through the passage 18〇2 The fluid is transported. The heat transfer fluid can be a temperature that heats the fluid or coolant at the control chamber body 18〇1 and is used during processing and substrate transfer. Exemplary heat transfer fluids include 68 201142936 Exemplary heat transfer fluids also It may comprise water, ethylene glycol or a mixture thereof. Nitrogen. The chamber body 1801 further includes a lining 18〇8 which is deleted around the branch assembly. Pads are removable for maintenance and cleaning, and padding can be made of metal, for example, inscriptions, or ceramic materials. However, the liner 18〇8 can be any process compatible material. The pad 1808 can be bead milled to increase the adhesion of any material deposited on the pad 18 8 so that spalling of the material can be avoided resulting in contamination of the process chamber 18 . The lining 1808 & includes one or more apertures 18 〇 9 and an extraction conduit 1 〇 6 formed in the schematic 1808, the extraction conduit being in fluid communication with the vacuum system. The hole 1809 provides a flow path to the gas entering the extraction channel 18〇6, which provides a discharge to the gas in the process chamber 18(). The vacuum system includes a vacuum pump 1 804 and a throttle valve 丨8〇5 to regulate the flow of gas through the process chamber 1800. The vacuum pump 18〇4 is coupled to the vacuum port 18〇7, which is again placed on the chamber body 1801, and thus is in fluid communication with the extraction channel 18〇6 formed in the pad 1808. The hole 1809 allows the extraction channel 1806 to be in fluid communication with the process zone 108 in the chamber body 18〇1. The zone 1810 is customized with the lower surface of the cover assembly 1840 and the upper surface of the support assembly 1820, and the process zone 1810 is wrapped with a gasket. The hole 1809 can be sized to fit snugly around the liner 18 8 and evenly around the liner 108. However, any number, location, size or shape of holes can be used and each design parameter can be varied depending on the desired gas flow pattern on the substrate receiving surface, 69 201142936, which is discussed in more detail below. Additionally, the size, number, and location of the holes i809 can be configured to receive a uniform gas flow exiting the process chamber i 800. Also, the hole size and position can be configured to provide a fast or high volume extraction to aid in the rapid venting of gas by the process chamber 1800. For example, the number and size of the holes ι 809 close to the vacuum 埠 1807 can be smaller than the number and size of the holes 1 8 〇 9 at a distance from the vacuum 埠 1 807. The cap assembly 1840 is illustrated in more detail, and Fig. 19 shows an enlarged cross-sectional view of the cap assembly 184, which can be disposed at the upper end of the chamber body ΐ8〇. Referring to Figures 18 and 19, the cover assembly 184 includes a plurality of components stacked on top of each other for forming a plasma region or recess between the components. The cap assembly 1840 includes a first electrode 1841 (upper electrode), the first electrode 1841 being vertically disposed above the second electrode 1852 (lower electrode), and limiting the plasma volume in the first electrode 1841 and the second electrode 1852 Concave 1849. The first electrode 丨84丨 is connected to a power source 1844, such as an RF power supply, and the second electrode 1852 is grounded to form a capacitance between the two electrodes 1841, 1852. The lid assembly 1840 includes one or more gas inlets 1842 (only one shown). The one or more gas inlets 1842 are at least partially formed in an upper portion 1843 of the first electrode 184A. - or a plurality of process gases are introduced into the cover assembly 丨 84 through one or more gas inlet ports 842. Or a plurality of gas inlets 1842 are in fluid communication with the electro-concave recess 1849 at the first end of the one or more gas inlets 1842 and coupled to one or at the second end of the one or more gas inlets 1842 A plurality of upstream gas sources and/or other gas transfer components, such as a gas mixer. One or more gases 70 201142936 The first end of the inlet 1842 opens into the plasma recess 1 849 at the uppermost point of the inner diameter 1850 of the expanded portion 1846. Similarly, the first end of one or more gas inlets 1842 can be opened into the plasma recess 1 849 at any height spacing of the inner diameter 1 850 of the expansion portion 1846. Although not shown 'but two gas inlets 1 842 may be placed on opposite sides of the expansion portion 1846 to create a swirling flow pattern or "vortex" flow into the expansion portion 1 846, the vortex flow pattern or Eddy currents can help mix the gases in the plasma recess 1 849. The first electrode 1841 has an expansion portion 1846 that houses a plasma recess 1849. The expansion portion 1846 is in fluid communication with the gas inlet 1842 described above. The expansion portion 1846 can be an annular member having an inner surface or diameter 185 that is gradually increased from the upper portion 1847 of the expansion portion 1846 to the lower portion 1848 of the expansion portion. Thus, the first electrode 1841 and the second electrode 1852 The distance between the two is variable. This variable distance helps control the plasma formation and stability generated in the plasma recess 849. The expanded portion 1846 is similar to a cone or "funnel" (10), such as the 18th. As shown in Figure 19. The inner surface 185 of the expansion portion 1846 is gradually inclined from the upper portion 1847 to the lower portion 1846 of the expansion portion 1846. The inclination or angle of the inner diameter (10) can be varied depending on process requirements and/or process limitations. The 97 length or degree of the extension 1 846 may also vary depending on the particular process needs and/or limitations. The inclination of the inner diameter 185 、, or the extent of the expansion portion/knife 1486, or both, may vary depending on the electro-convergence volume required for the process. 71 201142936 Without wishing to be bound by theory, it is believed that the change in distance between the two electrodes ΐ84ΐ ι(4) may allow for the formation of electro-convergence in the sheath 1849 without the need for the entire electro-convex recess (10). The power level 'is used to maintain the destruction itself in some parts of the beam recess (10). Therefore, the electrical system in the electro-convex concave 9 is less affected by the pressure and allows for the generation and maintenance of electro-convergence in a wider operating window. Therefore, a repeatable and more galvanic electric shock can be formed in the cover assembly. The first electrode 1841 can be constructed of any process compatible material such as aluminum, anodized aluminum, nickel plated aluminum, nickel plated aluminum 6 〇 6 ι Τ 6, stainless steel, and combinations and alloys thereof. In - or more embodiments, the entire first electrode 1841 or a portion of the first electrode 1841 is coated with nickel to reduce unwanted particle formation. Preferably, at least the inner surface 1850 of the expanded portion 1846 is plated with nickel. The second electrode 1 852 can include one or more stacked plates. When two or more plates are required, the plates should be electrically connected to each other. Each plate should include a plurality of holes or gas passages to allow one or more gases from the electrical recess 1849 to flow through the plurality of holes or gas passages, the cover assembly 1840 further includes an insulator ring 1851 to electrically isolate the first The electrode 1841 and the second electrode 1852. The insulator ring 1851 can be made of oxidized or any other insulating, process compatible material. Preferably, the 'insulator ring 1851 surrounds or at least substantially surrounds the expanded portion 1 846. The first electrode 1852 includes a top plate 1853 and a distribution plate 1858 to

72 S 201142936 及阻礙平板1 862,該阻礙平板1862將製程腔室中的基 板與電漿凹部隔開。將頂端平板1853、分配平板1858 以及阻礙平板1862堆疊且設置在與腔室主體ΐ8〇ι連接 的蓋邊緣1864,如第18圖所示。如在此技術領域中所 得知,可使用鉸鏈组件(未圖示),將蓋邊緣1864耦接至 腔室主體18〇丨。蓋邊緣1864包含内炭式管道或通道 1865 ’帛於容納力σ熱傳輸媒介。力〇熱傳輸媒介可根據製 程需求用於加熱、冷卻、或兩者。 頂端平板1 853包含形成在電漿凹部1849下方的複數 個氣體通道或孔洞1856,以允許來自電漿凹部1849的 氣體流動穿過該複數個氣體通道或孔洞丨856。頂端平板 1853包含凹陷部分1854,該凹陷部分1 854適用以容納 至少一部分的該第一電極1841。在一或多個實施例中, 孔洞1856穿過位於凹陷部分1854下方的頂端平板1853 的截面。頂端平板1853的凹陷部分1 854可為階梯狀(如 第19圖所不),以提供凹陷部分1854中較佳的密封接 合。且,可將頂端平板1853的外直徑設計為安裝或支撐 在分配平板1 858的外直徑上,如第19圖所示。將〇型 %狀密封件,例如,彈性〇_型環丨855,至少部分設置在 頂端平板1 853的凹陷部分1854中,以確保與第一電極 1 84 1流體密封地接觸。同樣地,可使用〇_型環狀密封件 857’用以在頂端平板1853的外邊緣與分配平板1858 之間提供流體密封的接觸。 分配平板1858實質上為盤型且包含複數個孔洞1861 73 201142936 或通道,以分配氣體流動穿過該複數個孔洞1 86 1或通 道。可設計孔洞1861的尺寸並將該孔洞1861安置在分 配平板1 858的周圍’以提供經控制且均勻的流動分配至 製程區1810,在該製程區181〇中設置有被處理的基板。 且’該孔洞1 86 1藉由減緩與重新導向流動氣體的速度分 布,以避免氣體直接衝擊在基板表面上,且均勻地分配 氣體流動,而在整個基板表面上方提供均勻的氣體分佈。 分配平板1858亦包含環形安裝凸緣1859,該環形安 裝凸緣1859形成在該分配平板1 858的外周圍上。可設 叶安裝凸緣1859的尺寸以支撐在蓋邊緣1864的上表 將Ο-型環狀松封件,例如,彈性型環,至少部分 «又置在%形安裝凸緣1859中以確保與蓋邊緣ISM流 體密封接觸。 刀配平板1858包含一或多個内崁式管道或通道 用於合納加熱益或加熱液流,以提供蓋組件1 84〇 、又控制可將電阻加熱構件插入通道1 860中,以加 '、'、刀配平板1858 °將熱電偶與分配平板1 858連接,以 =分配平板⑽的溫度。可以反餽迴路的方式來使用 ‘、、、電偶’以控制施加至加熱構件的電流。 室1神冑熱傳輸媒介通過通道1860。視需要,根據腔 人=體1801中的製程需求,該—或多個通道議可包 戶U部媒介,以較佳地控制分配平板1858的溫度。如上 , 可使用任何加轨彳11 -隨; …、得w媒介,例如,氮氣、水、乙 一释、或其混合物。 74 201142936 圖示)來加熱蓋組件 1 858的上表面的周 1858的蓋組件184〇 可使用一或多個加熱燈具(未 ⑽。將加熱燈具設置在分配平板 圍’藉&輕#來加熱包含分配平板 的組成件。 ,擇使用阻礙平板1862,且將該阻礙平板一 端平板1853與分配平板⑽之間”交佳地是, 將阻礙平心862可移動地安褒至頂端平板1 853的下表 面:阻礙平板1862可產生與頂端平板則良好的熱與 電乳接觸。使用螺栓或相似的緊固件將阻礙平&輕 接至頂端平板1 853。亦可將阻礙平板1862螺紋固定或 螺旋固定在頂端平板1853的外直徑上。 、,阻礙平板1862包含複數個孔洞1863,以提供由頂端 平板1853至分配平板1 858的複數個氣體通道。設計孔 /同1863的尺寸,且將該孔洞丨863設置在阻礙平板1 862 的周圍,以提供經控制且均勻地流動分配至分配平板 1858。 第20圖顯示說明性的支撐組件1 82〇的部分截面圖。 將支樓組件1820至少部分設置在腔室主體18〇1中。支 撐組件1820包含支撐件1822,該支撐件1822支撐基板 用於在腔室主體18〇1中進行處理。將支撐件1822透過 轴件1826輕接至升降機構1831,該軸件1826延伸穿過 形成在腔室主體1801底部表面中的中心設置開口 1803。利用伸縮囊1832將升降機構1831與腔室主體ls〇1 彈性密封’該伸縮囊1832可避免來自軸件1826周圍的 75 201142936 真空拽漏。升降機構1831允許支撐件1822在腔室主體 1 80 1中的製程位置與一較低的傳輸位置之間垂直移動。 該傳輸位置略低於形成在腔室主體丨8 〇丨側臂中的狹縫 閥門1 8 11的開口。 在一或多個實施例中,使用真空夾具將基板固定至支 撐組件1820。頂端平板1823可包含複數個通孔1824, 該複數個通孔1824與形成在支撐件1822中的一或多個 凹槽1827流體連通。透過設置在軸件1826與支撐件1822 中的真空管道1 825,該凹槽1 827與真空幫浦(未圖示) 流體連通。在某些條件下,當基板不設置在支撐件1822 上時,可使用真空管道1825來施加淨化氣體至支撐件 1822的表面上。該真空管道1 825亦可在處理期間通過 淨化氣體,以避免反應性氣體或副產物接觸基板背側。 支撐件1822可包含一或多個貫穿的鑽孔1829 ,以接 納升降銷1830。通常每一個升降銷183〇是由陶瓷或包 含陶瓷的材料所構成,且每一個升降銷丨83〇是用於基板 處理與運輸。將每一個升降銷183〇滑動地安裝在鑽孔 1829中。藉由接合設置在腔室主體18〇1中的環形升降 環1828,使·得該升降銷183〇在該該升降銷183〇的個別 鑽孔1829中是可移動的。升降環1 828是可移動的,當 升降環1828位於上方位置時,使得升降銷183〇的上表 面可設置在支撐件1822的基板支撐表面上方。相反地, 當升降環1828位於下方位置時,升降銷183〇的上表面 位在支撐件1822的基板支撐表面下方。因此,當升降環 76 201142936 1828由下方位置移動至上方位置時,每—個升降銷“π 的4刀穿過位於支揮件1822中該每一個升降銷1830的 個別鑽孔1 8 2 9。 當致動時,升降銷1830推著基板2140的下表面,將 基板升高離開支撐件1822。相反地,將升降銷183〇去 致動,以降低基板,因此將基板撐在支撐件丨822上。 支撐組件1820可包含邊緣環1821,該邊緣環i82i設 置在支撐件1822周圍。邊緣環1821為環形部件,適用 以覆蓋支撐件1 822的外周圍並且保護支撐件1822。將 邊緣環1821設置在支撐件1822上或與支撐件1822相 鄰,用以在支撐件1822的外直徑與邊緣環1821的内直 徑之間形成環形淨化氣體通道1833。環形淨化氣體通道 1833與形成貫穿支撐件1822與轴件1826的淨化氣體管 道1 834流體連通。較佳地是,淨化氣體導管1834與淨 化氣體供應器(未圖示)流體連通,以提供淨化氣體至淨 化氣體通it 1 833。在操作中,淨化氣體流動穿過管道 1834進入淨化氣體通道1833,且圍繞設置在支撐件1822 上的基板邊緣。因此,與邊緣環共同操作的淨化氣 體可避免在邊緣及/或基板背側的沉積作用。 藉由循環穿過嵌入支撐件1822主體中的液流通道 1835的液流來控制支撐組件182〇的溫度。液流通道Μ” 與熱傳輸管道1836流體連通,該熱傳輸管道Η%設置 穿過支撐組件1820的軸件1826。將液流通道By沿著 支樓件1822設置’以提供均句的熱傳輸至支撑件1822 77 201142936 的基板接收表面。液流通道1 83 5與熱傳輸管道183 6可 流動熱傳輸液流’以加熱或冷卻支撐件1 822。支撐組件 1820更進一步包含崁入式熱電偶(未圖式),用於監控支 撐件1822的支撐表面的溫度。 在操作中,將支撐件1822升高至接近蓋組件1 840, 以控制被處理的基板溫度。因此,透過由加熱構件丨86〇 所控制的分配平板1858所放射的輻射來加熱該基板。或 者’藉由使用升降環1828所致動的升降銷ι830,將基 板升兩遠離支撐件1 822至接近加熱的蓋組件184〇。 經修飾的腔室可更包含氧化氣體供應器,且該氧化氣 體供應器與輔助氣體入口 1 892流體連通,用以提供氧化 氣體至腔室1800中(如第18圖所示),例如,氧氣(〇2)、 一氧化二氮(仏〇)、一氧化氮…⑺與其組合。在第19圖 所顯示的一替代實施例中,氧化氣體供應器189〇與電漿 容積或凹部1849中的輔助氣體入口 1 893流體連通。在 另-變化例中(未圖示)’氧化氣體與遠端電毁來源連 接,該遠端電t來源於遠離腔室18〇〇處產生氧化電漿且 將氧化《傳送進入腔室刪中。還原氣體供應器難 可藉由還原氣體入口觀,將諸如氫氣的還原氣體供應 至腔至刪中。其他氣體供應器包含惰性氣體供應器盥 入口 (未圖示),以傳送‘隋性氣體,例如氦氣、氬氣等等。 :系統亦包含氮氣來源’用於在材料層上執行氮化反 與ί統控制器(未圖示)連接的f量或體積流動 控制态來调郎每一個該等氣體的流動。 78 201142936 在腔室1800的其他變化例中,可使用與上述第16與 17圖相關類型的燈呈志 _ u雷射加熱部分,以快速加熱被處 的70件。I,可使用與上述第13B圖相關類型的冷卻 系統’以快速冷卻支料助與基板的溫度,而在基板 的材料層上執行上述的循環氡化與蝕刻製程^與腔室 00相關的加熱與冷卻系統與其他組成件可操作性地連 接至系統控制器,以控制各種系統參數。期望地,該系 統控制器可控制製程在少於約3分鐘内完成氧化及/或氮 化與蝕刻步驟的完整製程程序。在特定實施例中,可在 /於約2分鐘内於腔室令完成氧化及/或氮化與钮刻步驟 的完整製程程序,且在更特定實施例中,可在少於約i 分鐘内,例如45秒、或30秒,於腔室中完成氧化及/或氮 化與蝕刻步驟的完整製程程序。 以下將描述於製程腔室18〇〇中執行的示例性乾式蝕 刻製程’該乾式钱刻製程使用氨氣(簡3)與三氣化氣(NF3) 來移除氧化物層。參照第18與2〇圖,該乾式蝕刻製程 開始於將基板放置在製程區181〇中。通常透過狹缝閥門 開口 1811將基板放置在腔室主體18〇1中,且將基板設 置在支撐件1822的上表面上。將基板夾持在支撐件1822 的上表面’且將邊緣淨化氣體通過通道丨833。藉由透過 官道1 825與真空幫浦流體連通的孔洞1824與凹槽1827 來抽取真二,將基板固持在支樓件1822的上表面。假如 支撐件1822並未在製程位置上時,接著將支撐件1822 升高至腔室主體1801中的製程位置。將腔室主體18〇1 79 201142936 維持在50°C至80°C之間的溫度,較佳為65t。藉由將 熱傳輪媒介通過液流通道1 8 0 2來維持腔室主體i 8 〇丄的 溫度。 藉由將熱傳輸媒介或冷卻劑穿過形成在支撐組件丨82〇 中的液流通道1 835,而將該基板(具有一或多個與上述第 3A 至 3C、5A 至 5E、7A 至 7D、8A 至肋、i〇a 至 或11A至11C圖相關類型的材料層)冷 例:介於价至抓。卜實施财,將基^ =低 於至溫。在其他實施例中,將基板維持在介於22〇c至4〇 t的溫度之間。通常,將支撐件1822維持在低於約Μ c以達到上述預期的基板溫度。為了冷卻支撐件1822, 將冷卻劑通過該液流通道1835。連續的冷卻劑流提供支 撐件1822較佳的溫度控制。或者,使用與第㈣圖相關 類型的系統來冷卻基板。 生接著將氨氣與三氟化氮氣體引入腔室18〇〇中,以形成 清潔氣體混合物。每一個引入腔室中的氣體數量是可改 變的且可經過’整以調節’例如,被移除的氧化物層厚 度進仃清潔的基板或其他材料表面的幾何形狀、電聚 的體積谷1、腔室主體1801的體積容量以及耦接至腔室 2咖的真空腔室的容量。在一態樣中,添加氣體以 =氣體混合物’該氣體混合物具有氨氣:三氣化氮至 W莫耳比率。在另—態樣中,氣體混合物的莫耳 比率至少為約 將^、 0 3.1(乱乳.二氣化氮)。在特定實施例中, 將氣體以5:彳γ—在 (氰虱·二氟化氮)至30: 1的莫耳比率弓丨 201142936 入該腔室100中。 物的莫耳比率為約 氣體混合物的莫耳 至約20 : 1。 更特定地在一些實施例中,氣體混合 5 . 1 (氨氣:三氟化氮)至約1 〇 : 1。 比率亦落在約10 : 1(氨氣:三氟化氮) 亦可將淨化氣體或载體氣體添加至氣體混合物中。可 使:任何適合的淨化/載體氣體’例如氬氣、氦氣、氫氣、 氮氣或口物。在一些實施例中’整個氣體混合物是 由體積約0.05%至約& 主約20/。的氨氣與三氟化氮所組成,剩 餘部份為載體惫體。+ & ” 在一霄施例中,在反應性氣體進入 腔室主體1801之前’先將淨化或載體氣體引入腔室主體 1801中,以穩定腔室主體1801中的壓力。 腔至主體1801中的操作壓力室可改變的。在一些實施 例中將壓力維持在約5〇〇 mTorr至約30 Torr之間。在 特定實施例中,將壓力維持在約1 T〇rr至約10 Torr之 間。在一或多個實施例中,將腔室主體1 801中的操作壓 力維持在約3 Torr至約6 Torr之間。 任一二貫施例中,將約5至約6〇〇 Wau& rf功率施 加至第-電極1841,將電t凹部1849令的氣體混合物 電裝點火。在-特定實例中,RF功率小於i⑽伽。在 -更特定的實施例中’施加功率的頻率是相對低的,例 如低於100 kHz。在特定實施例中,該頻率是介於約5〇 伽至約9〇Μζ的範圍令。因為下方電極1853、阻礙平 板腕與分配平板1858的緣故,使得在電激凹部⑽ 中被點火的電漿不會與製程區181〇中的基板接觸,而是 81 201142936 被收集在腔室凹部1 8 4 9中。因此,可將電聚相對於製程 區1810而遠端產生在電漿凹部ι849中。即,製程腔室 1 800提供兩個分開的區域:電漿凹部1 849與製程區 1810。就形成在電漿凹部1849中的電漿來說,這些區域 不會彼此連通’但對於形成在電漿凹部i 849中的反應性 物種來說,這些區域彼此連通。具體地來說,由電漿所 生成的反應性物種可經由孔洞1 8 5 6離開電聚凹部 1849、穿過阻礙平板ι862的孔洞1 863、且經由分配平 板1 8 5 8的孔洞1 8 6 1進入製程區1 8 1 〇中。 電漿能量將氨氣與三氟化氮氣體解離為反應性物種, 該反應性物種結合形成高反應性的氣相氟化銨(NH4F)化 合物及/或氟化氫銨(NHj · HF)。這些分子流動穿過孔洞 1856、1863與1861,與基板上的材料層的氧化物層反 應。在一實施例中’首先將載體氣體引入腔室18〇〇中、 在電漿凹部1849中產生載體氣體的電漿、然後將反應性 氣體、氨氣與三氟化氮添加至電漿中。如前所述,形成 在電聚凹部1849中的電漿不會抵達設置在製程區域或 製程區1810中的基板。 不希望被理論所束缚,相信蝕刻劑氣體、NH4F及/或 ΝΗβ HF可與二氧化矽表面反應,以形成六氟矽酸銨 ((H4)2SiF6)氨氣(NH3)與水的產物。氨氣與水在製程 條件下為蒸氣,且藉由真空幫浦18〇4將氨氣與水由腔室 1800移除。更特定而言之,在氣體離開腔室穿過 真工璋1807進入真空幫浦j 8〇4之前,該揮發性氣體流 82 201142936 動穿過形成在襯墊1 808中的孔洞1 809而進入抽取通道 18 06中。在進行處理的材料層表面的背面處留下— (NH〇2SiF6薄膜。此反應機制可彙整如下: NF3 + NH3 — NH4F + NH4F · HF + n2 6NH4F + Si02 — (NH4)2SiF6 + H20 (NH4)2SiF6 + 熱—NH3 + HF + SiF4 在基板表面上形成薄膜之後,將具有基板支撐在其上 方的支撐件1 822升咼至退火位置,該退火位置接近加熱 的分配平板1858。由分配平板1 858所輻射的熱應足以 將(NH^SiF6解離或昇華為揮發性的四氟化矽(siF〇、氨 氣與氟化氫(HF)的產物。接著,藉由上述的真空幫浦18〇4 將這些揮發性產物由腔室中移除。實際上,由基板上的 材料:將溥膜汽化或蒸發,會留下曝露的氧化物表面。 在實施例中,使用75t或更高的溫度以有效率地由材 料表面料並移㈣膜。在特定實施射,㈣100t 或更高的溫度,例如介於約⑴。。至約蘭。 藉由刀配平板1858來傳送或輻射將(随A仙6薄膜解 離為(NH4)2SiF6揮發性細士 早發性組成的熱能。如上所述,加埶構 件1860可直接耦接至分 …苒 板1858,並且將加熱構件 1860致動,用以將分 板1 858以及與加熱構件1 860 熱接觸的組成件加教至八 产/ m …至7丨於約至約25(TC間的溫 度。在一態樣中,將分s 刀配千板1858加熱至介於約1〇〇〇c 83 201142936 至約2 0 〇 c間的溫度,例如,約12 〇 °c。 升降機構183丨可升高支撐件1822朝向分配平板i858 的下表面。在此升降步驟期間,將基板固定至支撐件 1822,例如藉由真空夾具或靜電夾具。或者,將基板升 高離開支撐件1822,且透過升降環1828將升降銷183〇 升尚,而將基板放置在接近加熱的分配平板1 85 8。 介於基板(該基板上方具有薄膜)上表面與分配平板 1 858之間的距離可藉由實驗來測定。需要能夠有效率地 蒸發薄膜而不會損壞下層基板的間距是根據幾個因素所 決定,該些因素包含,但不限制於,膜層的厚度。在一 或多個實施例中,介於約〇.254 _(1〇 mUs)至乂〇8 _ (200 mils)之間的間距是有效的。此外,氣體的選擇也會 影響間距。 在蝕刻期間,期望將基座維持在相對低的溫度,例如, 在約20eC至約60。(:的範圍中、低於約50。匚、具體為, 低於約45t、低於約4〇t:、低於約35°C。在特定實施 例中,在腔室1 800中進行蝕刻期間,將溫度維持在約 30°C +/-約5°C ’以幫助凝結蝕刻劑並選擇性地控制蝕刻 反應。移除膜層或氧化物層可進一步包含:使用升降機 構1831將支撐件1822升高朝向分配平板1858的下表 面。或者’將基板升高離開支撐件1 822,且透過升降環 1828將升降銷1830升高,而將基板放置在接近加熱的 分配平板1 858。期望將分配平板加熱至超過約1 〇〇〇c的 溫度’使得被银刻的材料表面可加熱至高於約i 〇(rc。 84 201142936 在特定實施例中,胳八 。 、刀配平板1 858加熱至至少約140 C、至少約150。。、至少約16〇它、至少約17〇。。、至少 約 18 0 °C、至少約]4 η. C ’以確保材料表面達到足以昇華 二氧化矽的溫度。因并,—咖〜 此在腔至1 800中’ 一非限制性的 6式蝕刻製程實例包含··將氨氣⑽。或三氟化氮 氣體、或無水氟化氫⑽)氣體與遠端電聚施加在電聚容 積1849中,在低溫(例如,約抓)下,氨氣或三氟化氮 乳體、或無水敗化氫氣體與遠端電激凝結在二氧化矽上 (、〇2),並且反應形成隨後可在中等溫度(例如,大於⑽ t)於腔…中昇華的化合物,用以敍刻二氧化石夕。 該昇華可完成材料表面的蝕刻,且可藉由真空幫浦1840 將副產物移除。期望將腔室壁面的溫度維持在介於基板 支撐件的溫度與氣體分配平板的溫度之間,以避免蝕刻 劑與副產物凝結在腔室1800的該些壁面上。 一旦將膜層或氧化物從材料表㈣除,該材料表面即 準備進行後續的氧化製程,以形成氧化物層。將乾式钮 刻處理器1832進行淨化與抽空。藉由將惰性氣體直接穿 過氣體入口或分配平板⑽流動進入製程腔室來完成 淨化’該惰性氣體例如氮氣、氫氣或氩氣。接著,利用 氧化製程進-步處理材料層以形成氧化物層。應理解 到’不需要先執行由材料表面移除膜層或氧化物層的步 驟。由與第3A至%、从至沾、7八至忉、8八至沾、 l〇A至腦或11A至llc圖相關的製程描述可理解到, 在-些實施例中’在由材料層移除一部分的氧化物層或 85 201142936 膜層之則可執行將材料層表面氧化以形成氧化層的步 驟。 在一實施例中,在腔室j 800中形成氧化物層。在其他 實施例中,在狹縫閥門開口 1811外側的負載鎖定區域(未 圖示)中形成氧化物層。 在腔至1 800中形成氧化物層的實施例中,氧化氣體供 應器1890將氧化氣體直接透過入口 1892流入腔室中。 適當的氧化氣體包含一或多種的氧氣、臭氧、水、過氧 化氬(H2〇2)、或氮氧化物物種,例如,一氧化二氮(仏〇)、 一氧化氮(NO)或二氧化氮(N〇2)。在適當低壓下將氧化氣 體導入腔室中。接著,將腔室加熱至適當溫度使得氧化 物層可生長在材料表面上。在一或多個實施例中,將腔 室溫度加熱至約20〇t至約800t的範圍中。在特定實施 例中,將腔室加熱至約3〇〇°C至約4001的範圍中。上述 是為了促進在材料上的氧化反應,該材料將被處理形成 材料層,例如與上述第3A至3C、5A至5E、7A至7D、 8A至8B、10A至10D或11A至11C圖的描述相關。 在一替代實施例中,透過冷卻的支撐件1 822導入氧化 氣體’例如氧氣或其他氧化氣體中的其中一種,該氧化 氣體穿過在支撐件中的氣體通道以減少氧化氣體在接觸 材料表面之前產生氧化氣體的過早分解,在該材料表面 上將形成氧化物層。 在其他替代實施例中,氧化氣體供應器1 890經由氣體 入口(未圖示)與電漿容積1849流體連通,且藉由氧氣電 86 201142936 毁的導入可在基板的材料表面上形成氧化物層。在其他 替代實施例中,在與腔室1800流體連通的遠端電漿氧化 來源中形成氧化電漿’與第13圖所顯示的配置類似。藉 由將氮氣施加至遠端電漿來源亦可形成遠端氮化電漿。 在另一貫施例中,可利用射頻(RF)功率來源(與第J 5圖 所顯示的配置類似)將基板支撐件1 822進行偏壓。 因此’總結上述’可藉由以下一或多種方法在腔室i 8 〇 〇 中形成材料表面上的氧化物層:將氧化氣體導入腔室中 並加熱材料表面、導入形成在遠端電漿來源的氧化電 黎·’該遠端電漿來源與電漿容積1 849隔開、將氧化氣體 導入電漿容積1849中且將氧化電漿輸送至支撐件1822 上的基板、或使用經RF驅動的基板支撐件1822來形成 電黎·且將氧化氣體導入腔室中。在腔室中的實例性與適 合的壓力為約;[mTorr至約1 0 Torr範圍之間。 又另—替代實施例中,可透過使用燈具或雷射加熱部 件(與上述第16與1 7圖相關的類型)精確加熱材料表面 以形成氧化物層。可使用此燈具或雷射加熱部件,將進 行處理的元件快速加熱至〇°C至1000°C範圍内的溫度。 在特疋貫施例中,可使用臭氧作為氧化氣體,可將臭 氧導入穿過氣體入口或基板支撐件1822並且使用紫外 光來啟動光化學氧化反應。預期在狹縫閥門18 11外側的 負載鎖定區域中執行此反應。 在氧化材料層表面而形成氧化物層之後,再次淨化腔 室1800以移除氧化氣體與該(等)氧化反應的副產物。藉 87 201142936 由將惰性氣體流入腔室中及/或使用真空幫浦18〇4來達 成淨化。可在腔室1 800中循環重複形成氧化物層與蝕刻 (藉由電漿與升華作用)的步驟,直到形成具有期望材料 厚度的氡化物層。實例性的元件與製程程序的描述與上 述第 3A 至 3C、5A 至 5E、7A 至 7D、8A 至 8B、10A 至 !〇!>或11A至11C圖相關,並且可在上述的單一腔室18〇〇 中執行任何製程。 亦可使用單一腔室快速熱製程(RTP)設備來執行在腔 至中循環重複形成氧化物層與蝕刻(藉由電漿與昇華作 用)的步驟,直到形成具有期望材料厚度的氧化物層。實 例性的7L件與製程程序的描述與上述第3A至3C、5A至 5E、7A 至 7D、8A 至 8B、l〇A 至 l〇D 或 11A 至 11C 圖 相關’並且可在第21圖中描述的單一腔室中執行任何製 程。第21圖顯示快速熱製程腔室21⑽的實例性實施例。 製程腔室2100包含基板支撐件2104、腔室主體2102, 該腔室主體2102包含壁面21〇8、底部211〇以及頂部 2112,該底部2110以及頂部2m界定—内部容積2u 壁面2108通常包含至少—個基板出入口 2148,以幫助 基板214G的進出(―部分的基2140顯示在第21圖 中)。該出入口輕接至傳輸腔室(未圖示)或負載鎖定腔室 (未圖示)該出入口選擇性與一閥門密封例如狹縫 間門(未圖示)。在—實施例巾,基板支撐件2104為環形 且腔室2100包含輻射熱源2106, 在基板支樓件2104的内直徑中。 該輻射熱源2106設置 輻射熱源2106通常包 88 201142936 含複數個燈具。修飾RTP腔室與使用基板支撐件的範例 描述在美國專利第6,800,833號以及美國專利申請公開 號第2005/0191044號中。在本發明的一實施例中,腔室 2100包含反射板2200,該反射板2200與氣體分配出口 (以下將更清楚的描述)合併,用以在基板上方均勻地分 配氣體’而允許快速並且經控制的基板加熱與冷卻。將 該板2200加熱及/或冷卻’以幫助上述的氧化及/或蝕刻。 該板可具吸收性、反射性或具有吸收與反射區域的組 合。在一詳細的實施例中,該板可具有多個區域,一些 區域在高溫計的視野内,一些區域在高溫計的視野外 側。在高溫計視野内的該些區域,假如為圓形,具有約 為1英吋的直徑,或根據需求具有其他形狀與尺寸。在 探針視野内的該些區域可在高溫計所觀察到的波長範圍 上具有非常尚的反射性。在高溫計波長範圍與視野的外 側’該板的範圍可從將輻射熱流失最小化的具反射性至 將輻射熱流失最大化的具吸收性以用於較短的熱曝露。 顯示在第21圖中的RTP腔室亦包含冷卻區塊2180, s亥冷卻區塊2180與頂部2112相鄰、與頂部2112搞接、 或形成在頂部2112中。通常,將冷卻區塊218〇放置在 遠離輻射熱源2 1 06處並與輻射熱源2 1 06相對。冷卻區 塊2108包含一或多個冷卻劑通道2 1 84,該冷卻劑通道 2184與入口 2181A以及出.口 2181B耦接。冷卻區塊2108 可由製程相容的材料所製成’例如不鏽鋼、紹、聚合物、 或陶瓷材料。冷卻劑通道2 1 84包含螺旋圖案、矩形圖 89 201142936 案、圓形圖案或上述圖案的組合,且例如,藉由禱形冷 卻區塊2 1 80及/或由兩個或多個部件來製造冷卻區塊 2180並將該些部件接合,而將通道2184 —體形成在冷 卻區塊21 80中。此外或另,將冷卻劑通道2丨84鑽入冷 卻區塊2 1 8 0中。 藉由閥門與適合的管系(plumbing)將入口 2 181A與出 口 21 81B鋼接至冷卻劑來源21 8 2,且該冷卻劑來源21 8 2 與系統控制器21 2 4連通’以幫助控制設置在冷卻劑來源 21 8 2中的壓力及/或液流流動。該液流可為水、乙二醇 (ethylene glycol) '氮氣(N2)、氦氣(He)、或其他作為熱 交換媒介的液流。 在所表示的實施例中,該基板支撐件2 1 04係選擇性適 用於磁力懸浮且在内部容積2120中旋轉。當於製程期間 將基板垂直升高與降低時,所顯示的基板支撐件2104是 能夠旋轉的’且在製程之前、製程期間、製程以後,亦 可將基板升高或降低而不旋轉基板。因為缺少或減少通 常用於升高/降低及/或旋轉基板支撐件的移動部件,此磁 力懸浮及/或磁力旋轉可避免粒子產生或將粒子產生最 小化。 腔室2100亦包含視窗2114,該視窗2114是由可穿透 熱與各種波長的光的材料所製成,該各種波長包含在紅 外線圖譜中的光,經由該視窗2114來自輻射熱源2 106 的光子可加熱基板2140。在一實施例中,視窗2114是 由石英材料所製成,但可使用其他可穿透光的材料,例 90 201142936 如’藍寳石。視窗2 11 4亦包含複數個升降銷2 1 44,該 升降銷2144耦接至視窗2114的上表面,該升降銷2144 適用以選擇性接觸並支撐該基板214〇,以幫助傳輸基板 進出腔至2100。配置該複數個升降鎖2144中的每一個, 以最小化吸收來自輻射熱源2106的能量、,且該複數個升 降銷2144中的每一個是由與視窗2114相同的材料所製 成’例如石英材料。設置該複數個升降銷2144,並且彼 此放射狀地隔開,以幫助末端作用器的通過,該末端作 用器轉接至傳輸機械臂(未圖示)。或者,末端作用器及_/ 或機械臂能夠水平以及垂直地移動,以幫助傳輸基板 2140。 在貫施例中,輪射熱源210 6包含由一外殼所形成的 燈具組件,該燈具組件包含在冷卻劑組件(未圖示)中的 蜂巢狀管2160,該冷卻劑組件耦接至第二冷卻劑來源 2183。第二冷卻劑來源2183可為下列其中一個或組合: 水、乙二醇、氮氣以及氦氣。外殼壁面21〇8與21⑺可 由銅材料或由其他適合材料所製成,該外殼壁面21〇8與 2110具有適合的冷卻通道形成在其中,用於流動來自第 二冷卻劑來源2183的冷卻劑。該冷卻劑冷卻腔室21〇〇 的外殼,使得外殼比基板2140還要冷。每一個管216〇 包含反射器以及高強度燈具組件或形成蜂巢狀管路配置 的紅外線⑽韓射源。管路的緊密堆積六角形配置提供 具有高功率密度的能量來源以及良好的空間解析度。在 -實施例巾,輻射熱源21〇6提供足夠的㈣能量,以熱 91 201142936 處理該基板,例如,將沉積在基板2140上的矽層進行退 火。輻射熱源2106更包含環形區,其中可改變藉由控制 器2124施加至複數個管216〇的電壓,以提高來自管216〇 的能量輻射分佈。藉由一或多個溫度偵測器2117可影響 加熱基板2140的動態控制,該一或多個溫度偵測器2ii7 適用以偵測整個基板2140的溫度。 在所顯示的實施例中,可選擇的定子紐件2118外接腔 室主體2102的壁面2108,且該定子組件2U8耦接至一 或多個致動組件2122,該一或多個致動組件2122控制 定子組件2118沿著腔室主體21〇2的外部上升。在一實 施例中(未圖示),腔室21〇0包含三個致動組件2122,沿 著腔室主體放射狀地設置這三個致動組件2122,例如, 沿著腔室主體21〇2約12〇度的角度。將定子組件磁性地 耦接至设置在腔室主體2102内部容積2120中的基板支 撐件2 1 04基板支撐件2 1 04具有或包含作為轉子功能 的磁性部件,因此可產生磁性軸承組件,以升高及/或轉 動該基板支樓件。在一實施例中,α 一凹槽(未圖示)部 分環繞至少一部分的基板支撐件21〇4,該凹槽耦接至液 流來源2186,該液流來源2186包含水、乙二醇、氮氣、 氣氣、或上述之組合,作為基板支撐件的熱交換媒介。 定子組件2118亦包含外殼219〇,以容納定子組件2ιΐ8 的各種部份與組成件。在一實施例中,定子組件HU包 含驅動線圈組件2168,該驅動線圈組件2168堆疊在懸 吊線圈組件217G _L。當懸吊線圈組件2m適用以將基 92 201142936 板支擇件21G4被動地定位在製程中央時,驅 ㈣㈣件2168適㈣轉動及/或升高/降低該基板支樓 件或者,可藉由具有單一線圈级件的定子來執行旋轉 與中央定位功能。 亦將氛圍控制系統2164耗接至腔室主體2 i 〇2的内部 合積2120中。氛圍控制系统2164通常包含節流間與真 =幫浦用於控制腔室壓力。氛圍控制系統加可額外包 a氣體來源’用於提供製程氣體或其他氣體至内部容積 212〇中。氛圍控制系統2164亦適用以輸送用於熱沉積 氣私、熱蝕刻製程以及原位清潔腔室組成件的氣體。氛 圍控制系統與喷淋頭氣體輸送系統一起運作。 腔至2100亦包含控制器2124,該控制器2124通常包 含中央處理單元(CPU)2130、支持電路2128以及記憶體 2126 » CPU 2130可為任何形式的電腦處理器的其中一 種,該電腦處理器可使用在商業設定中用於控制各種指 令與副處理器。記憶體2126、或電腦可讀取媒體,可為 —或多個的可讀取媒體,例如隨機存取記憶體(RAM)、 唯凟把憶體(ROM)、軟碟、硬碟、或任何其他形式的數 位儲存、區域或遠端,且該記憶體2126通常耦接至cpu 2 13〇 ’用於以傳統方式來支撐該控制器2124。這些電路 包含快取記憶體、電源供應器、時脈電路、輸入/輸出電 路、子系統等等。 在一實施例中,每一個致動組件2122通常包含精密導 程螺桿2132,該精密導程螺桿2132耦接至兩個凸緣 93 201142936 2134,該兩個凸緣2134由腔室主體21〇2的壁面ι〇8延 伸出來。導程螺桿2132具有螺帽2158,當螺桿轉動時, 該螺帽2158沿著導程螺桿2132軸向移動。將聯結件 (C〇UPling)2l36耦接在定子2118與螺帽2158之間,使得 當旋轉導程螺桿2132時,聯結件2136可沿著導程螺桿 2132移動,以控制定子2118的高度在與聯結件2136 = 界面處。因此,當旋轉其中一個致動器2122的導程螺桿 2132用以在其他致動器2122的螺帽2158之間產生相對 位移時,定子2118的水平平面會相對於腔室主體21〇2 的中央軸而改變。 在一實施例中,將馬達2138(例如,步進馬達或伺服馬 達)耦接至導程螺桿2132,以提供回應控制器2丨24訊號 的可控制轉動。或者,可使用其他種類的致動器2122, 以控制定子2118的線性位置,例如氣動缸、油壓缸、滾 珠螺桿、電磁圈、線形致動器以及凸輪從動件等等。 腔室2100亦包含一或多個感測器2116,該一或多個 感測器2 116通常適用於偵測在腔室主體2丨〇2的内部容 積2120中的基板支撐件21〇4(或基板214〇)高度。將感 測器2116耦接至腔室主體21〇2及/或製程腔室21〇()的 其他部分,且該感測器2116適用以提供在基板支撐件 2104與腔室主體21〇2的頂部2112及/或底部211〇之間 的距離的輸出指示,且該感測器2116亦可偵測基板支撐 件2104及/或基板2140的對準偏移。 將一或多個感測器2 11 6耦接至控制器2 1 24,該控制 94 201142936 器2 12 4接收來自感測器2 116的輸出測度並且提供—個 訊號或多個訊號至一或多個致動組件2122,以升高或降 低至少一部分的基板支撐件2 1 〇4。控制器2 124可使用 位置測度,該位置測度是由感測器2 i丨6所獲得,以調整 在每一個致動組件2122上的定子2118高度,因此可相 對於RTP腔室21〇〇及/或輻射熱源21〇6的中心軸同時調 整基板支撐件2104與基板2140(位於基板支撐件21〇4 上)的向度與平坦度。舉例來說,控制器2124可提供訊 唬,藉由一個致動器2122的動作來升高基板支撐件,用 以校正基板支撐件2104的軸向對準偏移、或是控制器可 提供訊號給所有的致動器2122,以幫助基板支撐件21〇4 的同步垂直移動。 該一或多個感測器2 11 6可為超音波、雷射、電感性、 電容性或其他種類的感測器,該感測器能夠偵測在腔室 主體210 2内部的基板支標件21 〇 4的近似性。將感測器 2116搞接至接近腔室2102的頂部2112、或轉接至壁面 2108’然而在腔室主體2102中或是圍繞腔室主體21〇2 的其他位置也是適合的’例如,耦接至腔室2 1 〇〇外側的 定子211 8。在一實施例中,將一或多個感測器2 116耦接 至定子2118’且該一或多個感測器2116適用以透過壁面 2108來感測基板支撐件2104(或基板2140)的高度及/或 位置。在此實施例中,壁面21 08包含較薄的橫截面,以 幫助透過壁面2 1 08來感測位置。 腔室2100亦包含一.或多個溫度感測器2117,該一或 95 201142936 程之前、製程期間、製 第21圖所描述的實施 多個溫度感測器211 7適用以在製 程之後感測基板2 1 40的溫度。在 例中,將溫度感測||2117設置穿過項部2112,然而可設 置在腔室主體2102中或是圍繞腔室主體21〇2的其他位 置。溫度感測器2U7為光度高溫計,例如,具有光纖探 針的高溫計。以能夠偵測基板的整個直徑、或基板的其 他位置的配置將感測器 2117耦接至頂部2112。感測器 2U7包含-圖案,該圖案界定實質上與基板直徑相等的 感測區、或界定實質上與基板半經相等的感測區。舉例 來說,將複數個感測器2117以徑向配置或線型配置耦接 至頂部2112,而在橫跨基板的半徑或直徑上產生偵測 區。在一實施例中(未圖示),將複數個感測器2117設置 在一線中,該線由頂部2112中央周圍徑向延伸至頂部 2112的周圍部份。在此方式中,可藉由感測器Η”來監 控基板的半徑,該偵測器2117能夠在轉動期間感測基板 的直徑。 如在此所述,腔室2100適用以接收在「面朝上」位向 中的基板,其中將基板的沉積接收側或面朝向平板 2200,且基板的「背側」面向輻射熱源21〇6。有時,當 基板背側比基板面更不具反射性時,「面朝上」的位向可 允許來自輻射熱源2106的能量更迅速地被基板214〇吸 收。 雖然將平板2200與輻射熱源21〇6描述為分別被放置 在内部容積2120的上部部分與下部部分中,但是冷卻區 96 201142936 塊2 1 80與輻射熱源2 1 06的位置是可互換的。舉例來說, 可設計冷卻區塊2180的尺寸並將冷卻區塊218〇設置在 基板支撐件2104的内直徑中,以及將輻射熱源21〇6耦 接至頂部2112。在此配置中,將石英視窗2114設置在輻 射熱源2106與基板支撐件2104之間,例如在腔室21〇〇 的上部部分中與輻射熱源1 〇6相鄰處。雖然當基板背側 面向輻射熱源2106時,基板2140可輕易地吸收熱,但 在任何一種配置中,可將基板2140定位在面朝上的位向 或面朝下的位向。應理解到,由於含氟氣體將會被流入 腔室21〇〇中,所以腔室組成件中的材料必須對於含氟氣 體的侵蝕具抵抗性。例如,可藉由諸如藍寶石或鋁的材 料來塗佈曝露至含氟氣體的腔室組成件以抵抗侵蝕。也 可使用其他抗氟材料。 腔室2100更包含遠端電漿來源2192,用於輸送電漿 至腔室中,電漿可藉由分配喷管2194輸送進入腔室。喷 官2194通常為具有一或多個出口的細長管道,用於平均 分配電漿產物進入腔室2100。可使用多個喷管2194,用 以在腔室2100中的多個徑向位置上進行注入。在一或多 個實施例中,該(等)喷管2194是可移動的’使得該(等) 喷官2194在基板2140與平板22〇〇之間的空間中或空間 外可選擇性地移動。經修飾的腔室更進一步包含氧化氣 體供應器以提供氧化氣體,例如氧氣、一氧化二氮、一 氧化氮以及上述的組合,該氧化氣體供應器與進入腔室 18〇〇中的輔助氣體入口 1892流體連通,如第18圖所示。 97 201142936 氧化氣體供應器2196與進入腔室中的輔助氣體入口漭 體連通。蝕刻氣體供應器2198可藉由還原氣體入口施加 姓刻氣體至腔室2100中’該蝕刻氣體例如,四氣化碳 (CF4)、三氟甲烷(CHF3)、六氟化硫(Sf6)、氨氣、 三氟化氮(NF3)、氦氣(He)、氬氣(Ar)等等。其他氣體供 應器包含惰性氣體供應器以及入口(未圖示),用以輸送 惰性氣體(例如,氦氣、氬氣)、還原氣體(例如,氫氣與 其他氣體)。可藉由質量與體積流動控制器來調節每一個 氣體的流動,該質量與體積流動控制器與系統控制器 4連接^氣體供應器2196與2198顯示為流體連通 且穿過腔室21〇〇側邊時,則預期氣體供應器2196與2198 可將氣體引入導管,該導管與喷淋頭、噴管或其他元件 流體連通,用於平均分配氣體至腔室21〇〇中。以下將描 述氣體導入系統2202的實例。氣體供應器2196、2198 與其他氣體供應器可與氣體導入系統22〇2流體連通。 一第22圖顯示更詳細的反射板2200。參照第22圖,顯 不反射板2200,該反射板22〇〇與氣體分配出口結合, 用以在基板上方平均分配氣體,而允許快速且經控制的 土板加熱與冷卻。板22〇〇 &含具有氣體導入系統 的頂部部分2201 ’該氣體導入系統22〇2包含第一氣體 導入埠204與可選擇的第二氣體導入埠22〇6,該第一氣 體導入埠2204與可選擇的第二氣體導入蟑與用於 思合兩種乳體的氣體混合腔室2,流體連通。假如僅提 供單一個氣體導入埠,則可將混合腔室2208由設計中刪 98 201142936 除。應理解到,也可提供額外的氣體導入埠。當然,可 將氣體導入埠2202、2204連接至適合的氣體來源,例 如,氣體槽或氣體供應系統(未圖示)。混合腔室22〇8與 ‘ 氣流通道2212連接,該氣流通道2212與氣體溝槽“Μ -以及形成在阻礙板2213中的氣體導入開口 2U6連接。 阻礙板2213可為固定至頂部部分22〇1的分離組成件, 或阻礙板2213與頂部部分為一體成形。當然,可能採用 其他設計,包含對於兩種或多種氣體提供兩組或多組個 別的開口 2216,使得在離開喷淋頭之後進行氣體混合。 該板包含一面2203,開口 2216形成穿過該面22〇3。 在操作中,可在腔室2100中執行循環氧化及/或氮化 與蝕刻。一實例性的製程包含:施加蝕刻電漿至腔室 2100,該蝕刻電漿形成在遠端電漿來源2192中。可透過 所顯示的噴管2194施加蝕刻電黎產物,或經由導入埠 2202施加電襞產物。如上所述,在至少—部分的姓刻製 程期間,期望將基板與材料表面維持在相對低溫。例如, 可在低溫下執行部份的蝕刻製程。蝕刻期間,期望將基 板與材料表面維持在相對低溫,例如,在約20°C至約60 的範圍巾少於約5 〇。、具體為少於約4 5。。、少於約 4〇C、少於約35°C。在一特定實施例巾,於腔室18〇〇 巾進仃蝕刻期間’將溫度維持在約3〇+/_約5。。,以幫助 嘁結蝕刻劑以及幫助控制蝕刻反應的選擇性。藉由透過 :板2200流動適當的冷卻氣體(例如,氦氣)將基板與材 ;斗表面的酿度維持在低溫。藉由敍刻移除膜層或氧化物 99 201142936 層可進一步包含:使用磁性耦接至基板支撐件2104的升 降銷2144及/或定子組件2218的其中一個或兩者,來移 動將被處理的基板’使基板更接近該板2200。 為了昇華在蝕刻期間所生成的薄膜或層,藉由升降銷 或定子組件2118將基板移動遠離該板22〇〇,啟動輻射 熱源2 1 06將進行蝕刻之基板與材料表面加熱至高於約 1 〇〇 c。在特定實施例中’將基板2〖4〇加熱至至少約14〇 C、至少約15 0 C、至少約16 0 °C、至少約17 0。〇、至少 約180°C、或至少約} 4(TC,以確保材料表面達到足以昇 華一氧化石夕(Si〇2)的溫度。因此,在腔室2 1 〇〇中一非限 制性、實例性的姓刻製程包含··施加氨氣(Nh3)或三氟化 氮(NFS)、或無水氟化氫(HF)氣體混合物至遠端電漿來源 2192,s玄些氣體在低溫下(例如,約3〇〇c )會凝結在二氧 化矽上並且反應形成可在中等溫度下(例如,大於! 〇(rc ) 於腔室2 100中被實質昇華的化合物,用以蝕刻二氧化 矽。該昇華可完全蝕刻材料表面,且藉由氛圍控制系統 2164及/或流動淨化氣體來移除副產物。期望將腔室壁面 的溫度控制在基板支撐件與氣體分配板之間的溫度以避 免姓刻劑以及δ彳產物凝結在腔室2 1 〇〇的壁面上。 接著在基板的材料表面上形成氧化物層。藉由快速啟 動輻射熱源2106來使用尖端熱氧化製程,以形成氧化物 層。在腔室2100中形成氧化物層的實施例中,氧化氣體 供應器21 96將氧化氣體透過入口直接流入腔室中。適當 的氧化氣體包含一或多種的氧氣、臭氧、水、過氧化氫、 100 201142936 或氮氧化物物種’例如,一氧化二氮(N2〇)、一氧化l(N〇) 或二氧化氮(Ν〇2)。在適當的低壓下將氮氧化物物種導入 腔室中。接著’將腔室加熱至適當溫度,使得氧化物層 生長在材料表面上。在一或多個實施例中’將腔室溫度 加熱至約200°C至約800°C的範圍中。在特定實施例中, 將腔室溫度加熱至約3〇(rc至約4〇(TC的範圍中。如上述 與第 3A 至 3C、5A 至 5E、7A 至 7D、8A 至 8B、10A 至 10D、11A至UC圖有關之描述,是為了促進在材料上的 氧化反應,該材料將被處理以形成材料層。或者,藉由 遠端電漿來源2192(或分離的遠端電漿來源)來達成氧化 步驟,該遠端電漿來源2192(或分離的遠端電漿來源)可 供應氧化氣體用於形成氧電漿,接著該氧電漿被輸送至 上述之腔室+ °在另—變化例中,可使用紫外線燈源將 基板上的材料表面進行光化學氧化。適當的氧化氣體包 3或夕種的氧氣、臭氧、水、過氧化氫、或氮氧化物 物種’例如,—氧化二氮(n2〇)、一氧化氣(n〇)或二氧化 氣(NO^) 〇 在將材料層表面氧化形成氧化物層後,在次淨化腔室 2100以知除氧化氣體以及該(等)氧化反應的副產物。藉 由將Μ氣體流入腔室中及/或使用氛圍控制系統2164 來70成淨化步驟。可在腔室中循環重.複形成氧化物層、 d (藉由電漿與昇華)的步驟,直到氧化物層形成具有 料厚度。實例性的元件與製程程序與上述第3 A JL 3 C s S Δ 至 5Ε、7Α 至 7D、8Α 至 8Β、10Α 至 10D、11Α 101 201142936 至He圖之内容有關,且可在上述之單一腔室Η 〇〇中執 行任何製程。 因此,總結上述,在腔室21〇〇中可藉由下述方法在材 料表面上形成氧化物層:藉由導入一或多種的氧化氣體 至腔室中且加熱材料表面、或藉由將形成在遠端電漿來 源中的氧化電漿導入且將氧化電漿輸送至支撐件上的基 板。在腔室2100中示例性且適當的壓力為約imT〇rr至 約10 Tori•範圍之間。 系統控制器可控制製程,用以在腔室中執行完整的氧 化及/或氮化與蝕刻步驟的製程程序,且可在少於約3分 鐘内完成。在特定實施例中,可在少於約2分鐘内於腔 室中完成氧化及/或氮化與蝕刻步驟的完整製程程序,以 及在更特定實施例中,可在少於約i分鐘内,例如45秒 或30秒,於腔室中完成氧化及/或氮化與钮刻步驟的完 整製程程序。 用於形成氧化物層與蝕刻(藉由電漿與昇華則代性 設備包含-爐’該爐包含遠端或區域電衆來源用於產生 “電漿與㈣„,而該氧化物層與㈣的形成可循 %重複直到氧化物層形成具有期望的材料厚度。因此, 關於第21圖所述的腔室2100能被適當地配置的爐所置 換,該爐循環地加熱及冷卻基板材料表面直到氧化物層 形成具有期望的材料厚度。實例性的元 上述第…、…、7AJL7D、8A至二 至’ 圖之内容有關,且可在上述之單一 201142936 腔室1 8 0 0中執行任何製程。 因此,本發明的第一態樣適用於處理基板的設備。本 發明此態樣的第一實施例提供用於處理基板的設備,該 設備包含:製程腔室’該製程腔室具有基板支撐件設置 在其中用以支撐基板;溫度控制系統,用以將設置在基 板支撐件上的基板溫度控制在低於約10 〇 °c的第一溫 度;氣體來源,該氣體來源與腔室流體連通,以至少輸 送含氧氣體、惰性氣體以及蝕刻氣體至製程腔室中;電 t來源’該電漿來源與製程腔室流體連通,用以激發至 少一個的含氧氣體與蝕刻氣體,而形成至少—個的氧化 電漿或蝕刻電漿;以及熱源,用以將基板加熱至大於第 一溫度的第二溫度。 在第一實施例的一變化例中,當基板溫度在第一溫度 且輸送其中一個氧化氣體時,將腔室配置以輸送蝕刻氣 體與蝕刻電漿的其中一個至製程腔室中。在另一變化例 中,第二溫度在約200。(:至l〇〇〇°c的範圍中。在又另一 變化例中,將腔室配置以在基板的材料層上執行蝕刻製 程,且在第一溫度下執行至少一部分的蝕刻製程。 在第一實施例的另一變化例中,該蝕刻製程包含乾式 蝕刻製程,且該蝕刻氣體包含含炎氣體。該第—實2 = 包含與電毁來源連接的氣體來源,該氣體來源更進—步 包含氮氣。在第一實施例的一變化例中,該餘刻氣體與 電將來源流體連通,以形成蝕刻電漿。 溫度控制系統包含冷 在第一實施例的另一變化例中 103 201142936 卻糸統,用以在低於約5(rc的溫度下執行至少一部分的 餘刻H私。更特定而言之,配置該冷卻系統,用以將基 板溫度降低至約抑至約饥的範圍中。在第—實施例 的-特定變化例中’將該設備配置以在第一溫度與第二 度中循環少於約3分鐘。 在第—實施例的另一特定變化例令,將該設備配置以 將基板上的材料層塑形,該材料層具有-期望形狀,該 期望形狀在接近該期望形狀的底面具有第一寬度,該第 寬度實質上等於第二寬度’該第二寬度接近該期望形 狀的頂,其中该期望形狀的第-與第二寬度係介於約 1至約30 nm。配置該設備以形纟包含#動間極的材料 層。配置該設備,用以在材料層上循環執行㈣製程與 氧化製程。 在第一實施例的-或多個變化例中,氧化製程包含快 速熱氧化、輻射氧化、電蒙氧化、化學氧化或光化學氧 化’且敍刻製程包含以下至少—者:濕式或乾式化學钮 刻、反應性離子蝕刻或電漿蝕刻。 、、本發明的第二態樣適用於塑形基板上之材料層的方 法’該方法包含:⑷在製程腔室中處理材料層表面以形 成含氧化物層或含氮化物層;(b)終止含氧化物層或含氮 化物層的形成’ (c)在與⑷相同的製程腔室中,藉由蝕刻 製程移除至少—些的含氧化物層或含氮化物層; 在相同製程腔室中重複⑷至⑷,直到材料層形成斯 形狀。在該方法的-變化例中,在-起始速率下執行⑷ 104 201142936 且(a)包含氧化费 .a 衣狂,S氧化速率低於起始速率的約90% 時,終止(b)。 在該方法的X 0 ,, • _ 另—k化例中,藉由濕式或乾式快速熱氧72 S 201142936 and the obstruction plate 1 862, the barrier plate 1862 separates the substrate in the process chamber from the plasma recess. A top panel 1853, a dispensing panel 1858, and a barrier panel 1862 are stacked and disposed at a lid edge 1864 that is coupled to the chamber body ΐ 8 , as shown in FIG. As is known in the art, a hinge assembly (not shown) can be used to couple the cover edge 1864 to the chamber body 18A. The lid edge 1864 contains a carbon tube or channel 1865' to accommodate the force σ heat transfer medium. The heat transfer medium can be used for heating, cooling, or both depending on the process requirements. The top plate 1 853 includes a plurality of gas passages or holes 1856 formed below the plasma recess 1849 to allow gas from the plasma recess 1849 to flow through the plurality of gas passages or holes 856. The top plate 1853 includes a recessed portion 1854 that is adapted to receive at least a portion of the first electrode 1841. In one or more embodiments, the aperture 1856 passes through a section of the top end plate 1853 located below the recessed portion 1854. The recessed portion 1 854 of the top end plate 1853 can be stepped (as shown in Fig. 19) to provide a preferred sealing joint in the recessed portion 1854. Also, the outer diameter of the tip plate 1853 can be designed to be mounted or supported on the outer diameter of the distribution plate 1 858 as shown in Fig. 19. A %-shaped % seal, for example, an elastic 〇-ring 855, is at least partially disposed in the recessed portion 1854 of the top plate 1 853 to ensure fluid-tight contact with the first electrode 184 1 . Likewise, a 〇-type annular seal 857' can be used to provide a fluid-tight contact between the outer edge of the tip plate 1853 and the dispensing plate 1858. The distribution plate 1858 is substantially disk-shaped and includes a plurality of holes 1861 73 201142936 or channels for distributing gas flow through the plurality of holes 1 86 1 or channels. The size of the hole 1861 can be designed and placed around the dispensing plate 1 858 to provide controlled and uniform flow distribution to the process zone 1810 in which the substrate being processed is disposed. And the hole 186 1 provides a uniform gas distribution over the entire substrate surface by slowing down and redirecting the velocity of the flowing gas to avoid direct gas impact on the substrate surface and evenly distributing the gas flow. The dispensing plate 1858 also includes an annular mounting flange 1859 formed on the outer periphery of the dispensing plate 1 858. The leaf mounting flange 1859 can be sized to support the upper surface of the cover edge 1864 with a Ο-type annular zipper, for example, an elastic ring, at least partially placed in the %-shaped mounting flange 1859 to ensure Cover edge ISM fluid seal contact. The knife-fitted plate 1858 includes one or more internal conduits or channels for receiving a heating or heating fluid flow to provide a lid assembly 1 84, and control to insert a resistive heating member into the channel 1 860 to add ' , ', knife with plate 1858 ° connect the thermocouple to the distribution plate 1 858, to = the temperature of the distribution plate (10). The ', , galvanic couple' can be used in a feedback loop to control the current applied to the heating member. Room 1 God heat transfer medium through channel 1860. Optionally, depending on the process requirements in the cavity = body 1801, the or more channels may include U media to better control the temperature of the distribution plate 1858. As above, any of the rails 11 - with ... can be used, for example, nitrogen, water, ethyl, or a mixture thereof. 74 201142936 Illustrated) The lid assembly 184 to heat the circumference 1858 of the upper surface of the lid assembly 1 858 can use one or more heating lamps (not (10). The heating lamps are placed in the distribution plate circumference to 'borrow &light# to heat The component comprising the dispensing plate is selected to use the obstructing plate 1862, and the obstructing plate end plate 1853 is disposed between the dispensing plate (10) and the blocking flat center 862 is movably mounted to the top plate 1 853. Lower surface: obstructing the plate 1862 can produce good thermal and electrical contact with the top plate. Use bolts or similar fasteners to block the flat &ample light to the top plate 1 853. The barrier plate 1862 can also be threaded or spiraled Fixed on the outer diameter of the top plate 1853. The obstructing plate 1862 includes a plurality of holes 1863 to provide a plurality of gas passages from the top plate 1853 to the distribution plate 1 858. The size of the hole/same 1863 is designed and the hole is The crucible 863 is disposed around the obstruction plate 1 862 to provide controlled and uniform flow distribution to the distribution plate 1858. Figure 20 shows a partial cut of the illustrative support assembly 1 82 The branch assembly 1820 is at least partially disposed in the chamber body 18〇 1. The support assembly 1820 includes a support 1822 that supports the substrate for processing in the chamber body 18〇1. The support 1822 Lightly coupled to the lifting mechanism 1831 through the shaft member 1826, the shaft member 1826 extends through a centrally disposed opening 1803 formed in the bottom surface of the chamber body 1801. The lifting mechanism 1831 is elastically sealed from the chamber body ls1 by the bellows 1832. The bellows 1832 can avoid vacuum leakage from the 75 201142936 around the shaft member 1826. The lift mechanism 1831 allows the support member 1822 to move vertically between the process position in the chamber body 801 and a lower transfer position. The transfer position is slightly lower than the opening of the slit valve 1811 formed in the side arm of the chamber body 。8. In one or more embodiments, the substrate is secured to the support assembly 1820 using a vacuum clamp. Top plate 1823 A plurality of through holes 1824 can be included, the plurality of through holes 1824 being in fluid communication with one or more grooves 1827 formed in the support member 1822. Transmission through the vacuum disposed in the shaft member 1826 and the support member 1822 Lane 1 825, the recess 1 827 is in fluid communication with a vacuum pump (not shown). Under certain conditions, when the substrate is not disposed on the support 1822, the vacuum conduit 1825 can be used to apply purge gas to the support. The surface of the 1822. The vacuum line 1 825 can also be purged during processing to prevent reactive gases or by-products from contacting the back side of the substrate. The support 1822 can include one or more through holes 1829 for receiving lift Pin 1830. Typically, each of the lift pins 183A is constructed of ceramic or ceramic-containing material, and each lift pin 丨83〇 is used for substrate processing and transportation. Each of the lift pins 183 is slidably mounted in the bore 1829. By engaging the annular lifting ring 1828 disposed in the chamber body 18〇1, the lifting pin 183 is movable in the individual bore 1829 of the lifting pin 183〇. The lift ring 1 828 is moveable such that when the lift ring 1828 is in the upper position, the upper surface of the lift pin 183〇 can be disposed above the substrate support surface of the support member 1822. Conversely, when the lift ring 1828 is in the lower position, the upper surface of the lift pin 183 is positioned below the substrate support surface of the support member 1822. Therefore, when the lift ring 76 201142936 1828 is moved from the lower position to the upper position, each of the four knives of the lift pin "π" passes through the individual drill holes 1 8 2 9 of each of the lift pins 1830 of the support member 1822. When actuated, the lift pins 1830 push against the lower surface of the substrate 2140 to lift the substrate away from the support 1822. Conversely, the lift pins 183 are actuated to lower the substrate, thereby supporting the substrate on the support 丨822 The support assembly 1820 can include an edge ring 1821 disposed about the support 1822. The edge ring 1821 is an annular member adapted to cover the outer periphery of the support 1 822 and protect the support 1822. The edge ring 1821 is set Adjacent to or adjacent to the support member 1822, an annular purge gas passage 1833 is formed between the outer diameter of the support member 1822 and the inner diameter of the edge ring 1821. The annular purge gas passage 1833 and the through-through support member 1822 are formed. The purge gas conduit 1 834 of the shaft member 1826 is in fluid communication. Preferably, the purge gas conduit 1834 is in fluid communication with a purge gas supply (not shown) to provide purge gas to the purge gas In operation 1, 1 833. In operation, purge gas flows through conduit 1834 into purge gas passage 1833 and around the edge of the substrate disposed on support 1822. Thus, purge gas cooperating with the edge ring can be avoided at the edge and/or Or deposition on the back side of the substrate. The temperature of the support assembly 182 is controlled by circulating a flow through the flow channel 1835 embedded in the body of the support 1822. The flow channel Μ" is in fluid communication with the heat transfer conduit 1836, which The heat transfer conduit Η% is disposed through the shaft member 1826 of the support assembly 1820. The flow channel By is disposed along the branch member 1822 to provide uniform heat transfer to the substrate receiving surface of the support 1822 77 201142936. The flow channel 1 83 5 and the heat transfer conduit 183 6 can flow a heat transfer stream ' to heat or cool the support 1 822. The support assembly 1820 further includes an intrusive thermocouple (not shown) for monitoring the temperature of the support surface of the support member 1822. In operation, the support 1822 is raised to near the lid assembly 1 840 to control the temperature of the substrate being processed. Therefore, the substrate is heated by the radiation radiated from the distribution plate 1858 controlled by the heating member 丨86〇. Or by using the lift pin ι 830 actuated by the lift ring 1828, the substrate is lifted two away from the support member 1 822 to the heated lid assembly 184. The modified chamber may further comprise an oxidizing gas supply, and the oxidizing gas supply is in fluid communication with the auxiliary gas inlet 1 892 for providing oxidizing gas into the chamber 1800 (as shown in Figure 18), for example, oxygen (〇2), nitrous oxide (仏〇), nitric oxide (7) combined with it. In an alternate embodiment shown in Fig. 19, the oxidizing gas supply 189 is in fluid communication with the auxiliary gas inlet 1 893 in the plasma volume or recess 1849. In another variation (not shown), the oxidizing gas is connected to a remote source of electrical destruction, which originates from an oxidative plasma remote from the chamber 18 and oxidizes the transport into the chamber. . It is difficult for the reducing gas supply to supply a reducing gas such as hydrogen to the chamber to be deleted by the reducing gas inlet. Other gas supplies include an inert gas supply 盥 inlet (not shown) to deliver 'halogenous gases such as helium, argon, and the like. The system also includes a source of nitrogen 'for performing a nitridation inverse on the material layer to control the flow of each of these gases. 78 201142936 In other variations of the chamber 1800, a type of lamp associated with the above-described figures 16 and 17 can be used to heat the heated portion to 70 pieces. I, the cooling system of the type related to the above-mentioned 13B can be used to rapidly cool the support to assist the temperature of the substrate, and the above-described cyclic deuteration and etching process can be performed on the material layer of the substrate. It is operatively coupled to the system controller with the cooling system and other components to control various system parameters. Desirably, the system controller can control the complete process sequence for the oxidation and/or nitridation and etching steps to be completed in less than about 3 minutes. In a particular embodiment, the complete process of oxidizing and/or nitriding and buttoning steps can be completed in the chamber within about 2 minutes, and in more specific embodiments, can be less than about i minutes. For example, 45 seconds, or 30 seconds, complete processing of the oxidation and/or nitridation and etching steps in the chamber. An exemplary dry etching process performed in the process chamber 18A will be described below. The dry etching process uses ammonia gas (3) and triple gasification gas (NF3) to remove the oxide layer. Referring to Figures 18 and 2, the dry etch process begins by placing the substrate in process area 181. The substrate is typically placed in the chamber body 18〇1 through the slit valve opening 1811 and the substrate is disposed on the upper surface of the support member 1822. The substrate is clamped on the upper surface ' of the support 1822 and the edge purge gas is passed through the passage 丨 833. The substrate is held on the upper surface of the branch member 1822 by the hole 1824 and the recess 1827 which are in fluid communication with the vacuum pump through the official road 1 825. If the support member 1822 is not in the process position, the support member 1822 is then raised to the process position in the chamber body 1801. The chamber body 18 〇 1 79 201142936 is maintained at a temperature between 50 ° C and 80 ° C, preferably 65 t. The temperature of the chamber body i 8 维持 is maintained by passing the heat transfer medium through the flow channel 1 800. The substrate (having one or more and the above 3A to 3C, 5A to 5E, 7A to 7D) by passing a heat transfer medium or a coolant through the liquid flow path 1 835 formed in the support member 丨82〇 , 8A to rib, i〇a to or 11A to 11C related types of material layer) Cold example: from price to scratch. Bu will implement the fund, and the base will be lower than the temperature. In other embodiments, the substrate is maintained between temperatures between 22 ° C and 4 ° t. Typically, the support 1822 is maintained below about Μc to achieve the desired substrate temperature as described above. To cool the support 1822, coolant is passed through the flow channel 1835. The continuous coolant flow provides better temperature control of the support member 1822. Alternatively, use a system of the type associated with Figure (4) to cool the substrate. The ammonia gas and nitrogen trifluoride gas are then introduced into the chamber 18 to form a cleaning gas mixture. The amount of gas in each of the introduction chambers is changeable and can be 'tuned' to adjust, for example, the thickness of the removed oxide layer into the cleaned substrate or other material surface geometry, the volume of the electropolymerized volume 1 The volumetric capacity of the chamber body 1801 and the capacity of the vacuum chamber coupled to the chamber. In one aspect, a gas is added to = gas mixture 'the gas mixture has ammonia gas: three gasification nitrogen to W mole ratio. In another aspect, the molar ratio of the gas mixture is at least about ^, 0. 1 (disordered milk. Nitrogen gas). In a particular embodiment, the gas is introduced into the chamber 100 at a molar ratio of 5: 彳 γ - (Noprene bismuth difluoride) to 30: 1 . The molar ratio of the material is from about 0:1 of the molar mixture of the gas mixture. More specifically in some embodiments, the gas is mixed 5 .  1 (ammonia: nitrogen trifluoride) to about 1 〇: 1. The ratio also falls to about 10:1 (ammonia: nitrogen trifluoride). A purge gas or carrier gas can also be added to the gas mixture. It can be: any suitable purification/carrier gas such as argon, helium, hydrogen, nitrogen or a substance. In some embodiments the 'entire gas mixture is from about 0. 05% to about & main about 20/. The ammonia gas is composed of nitrogen trifluoride, and the remaining part is a carrier carcass. + & ” In one embodiment, a purge or carrier gas is introduced into the chamber body 1801 before the reactive gas enters the chamber body 1801 to stabilize the pressure in the chamber body 1801. The chamber is in the body 1801. The operating pressure chamber can vary. In some embodiments, the pressure is maintained between about 5 Torr and about 30 Torr. In a particular embodiment, the pressure is maintained between about 1 T rr rr to about 10 Torr. In one or more embodiments, the operating pressure in chamber body 1 801 is maintained between about 3 Torr and about 6 Torr. In any of the two embodiments, about 5 to about 6 〇〇Wau& The rf power is applied to the first electrode 1841 to electrically ignite the gas mixture of the electric t recess 1849. In a particular example, the RF power is less than i(10) gamma. In a more specific embodiment, the frequency of 'applying power is relatively low. For example, less than 100 kHz. In a particular embodiment, the frequency is in the range of about 5 〇 to about 9 。. Because of the lower electrode 1853, obstructing the flat wrist and the distribution plate 1858, the electricity is made The plasma that is ignited in the swell (10) does not interact with the process area 181 The substrate in the crucible is in contact, but 81 201142936 is collected in the chamber recess 1 8 4 9 . Therefore, the electropolymer can be generated in the plasma recess ι 849 with respect to the process area 1810. That is, the process chamber 1 800 provides two separate regions: plasma recess 1 849 and process region 1810. These regions do not communicate with each other as for the plasma formed in the plasma recess 1849 'but for formation in the plasma recess i 849 For reactive species, these regions are connected to each other. Specifically, the reactive species generated by the plasma can exit the electroconvergence recess 1849 via the hole 1 8 5 6 , pass through the hole 1 863 that blocks the plate ι862, and via The hole 1 8 6 1 of the distribution plate 1 8 5 8 enters the process zone 1 8 1 。. The plasma energy dissociates the ammonia gas from the nitrogen trifluoride gas to a reactive species, which combines to form a highly reactive gas. Phase ammonium fluoride (NH4F) compound and / or ammonium hydrogen fluoride (NHj · HF). These molecules flow through the holes 1856, 1863 and 1861 to react with the oxide layer of the material layer on the substrate. In an embodiment, 'first Introducing the carrier gas into the chamber 18〇〇 A plasma of a carrier gas is generated in the plasma recess 1849, and then a reactive gas, ammonia gas and nitrogen trifluoride are added to the plasma. As described above, the plasma formed in the electropolymerization recess 1849 does not Reaching the substrate disposed in the process area or process area 1810. Without wishing to be bound by theory, it is believed that the etchant gas, NH4F and/or ΝΗβ HF can react with the ceria surface to form ammonium hexafluoroantimonate ((H4) 2SiF6) product of ammonia (NH3) and water. Ammonia and water are vapors under process conditions, and ammonia and water are removed from chamber 1800 by vacuum pump 18〇4. More specifically, the volatile gas stream 82 201142936 moves through the hole 1 809 formed in the liner 1 808 before the gas exits the chamber through the gong 1807 and enters the vacuum pump j 8〇4. Extract channel 18 06. On the back side of the surface of the treated material layer - (NH〇2SiF6 film. The reaction mechanism can be summarized as follows: NF3 + NH3 - NH4F + NH4F · HF + n2 6NH4F + Si02 - (NH4)2SiF6 + H20 (NH4) 2SiF6 + heat-NH3 + HF + SiF4 After forming a film on the surface of the substrate, the support 1 822 having the substrate supported thereon is lifted to an annealing position, which is close to the heated distribution plate 1858. By the distribution plate 1 858 The heat radiated should be sufficient to dissociate or sublimate (NH^SiF6 into volatile tetrabarium fluoride (the product of siF〇, ammonia and hydrogen fluoride (HF). Then, by the above vacuum pump 18〇4 The volatile product is removed from the chamber. In fact, the material on the substrate: vaporizes or vaporizes the tantalum film, leaving an exposed oxide surface. In an embodiment, a temperature of 75 t or higher is used to be efficient. The ground material is moved by the material surface and moved (4). In a specific implementation, (4) a temperature of 100t or higher, for example, between about (1) to about lan. Transfer or radiation by a knife with a plate 1858 (with Axian 6 film) Dissociation is (NH4)2SiF6 volatile warrior early on The thermal energy of the composition. As described above, the twisting member 1860 can be directly coupled to the split plate 1858 and actuate the heating member 1860 for the component 1 858 and the components that are in thermal contact with the heating member 1 860 Add to eight production / m ... to 7 丨 to about 25 (TC temperature). In one aspect, the s knife with the thousand plate 1858 is heated to between about 1 〇〇〇 c 83 201142936 to about The temperature between 20 〇c, for example, about 12 〇 ° C. The lifting mechanism 183 升高 can raise the support member 1822 toward the lower surface of the distribution plate i858. During this lifting step, the substrate is fixed to the support member 1822, for example Or by a vacuum clamp or an electrostatic clamp. Alternatively, the substrate is lifted away from the support member 1822, and the lift pins 183 are lifted through the lift ring 1828, and the substrate is placed adjacent to the heated distribution plate 185. The distance between the upper surface of the substrate and the distribution plate 1 858 can be determined experimentally. The need to be able to efficiently evaporate the film without damaging the underlying substrate is determined by several factors, including But not limited to, Thickness of the layer. In one or more embodiments, between about square. The spacing between 254 _(1〇 mUs) to 乂〇8 _ (200 mils) is valid. In addition, the choice of gas also affects the spacing. During etching, it is desirable to maintain the susceptor at a relatively low temperature, for example, from about 20 eC to about 60. (in the range of: less than about 50. 匚, specifically, less than about 45t, less than about 4〇t:, less than about 35° C. In a particular embodiment, etching is performed in chamber 1 800 During this period, the temperature is maintained at about 30 ° C +/- about 5 ° C ' to help coagulate the etchant and selectively control the etching reaction. Removing the film or oxide layer may further comprise: using the lifting mechanism 1831 to support the support The 1822 is raised toward the lower surface of the dispensing plate 1858. Or 'the substrate is raised away from the support 1 822 and the lift pins 1830 are raised through the lift ring 1828, and the substrate is placed adjacent to the heated distribution plate 1 858. The dispensing plate is heated to a temperature of more than about 1 〇〇〇c so that the surface of the silver-engraved material can be heated above about i 〇 (rc. 84 201142936 in a particular embodiment, 八八. Knife with plate 1 858 heated to At least about 140 C, at least about 150, at least about 16 〇, at least about 17 〇, at least about 18 ° C, at least about 4 η.  C ' to ensure that the surface of the material reaches a temperature sufficient to sublimate cerium oxide. Because of, - coffee ~ this in the cavity to 1 800 'a non-limiting example of the 6-type etching process contains ammonia gas (10). Or a gas of nitrogen trifluoride or anhydrous hydrogen fluoride (10) is applied to the electropolymerization volume 1849 at a low temperature (for example, about scratch), ammonia or nitrogen trifluoride emulsion, or anhydrous The hydrogen gas and the far-end electro-excitation are condensed on the ceria (, 〇 2), and the reaction forms a compound which can then be sublimed in the cavity at a moderate temperature (for example, greater than (10) t), for sculpting the dioxide. . This sublimation completes the etching of the surface of the material and the byproducts can be removed by vacuum pump 1840. It is desirable to maintain the temperature of the chamber wall between the temperature of the substrate support and the temperature of the gas distribution plate to prevent etchants and by-products from condensing on the walls of the chamber 1800. Once the film or oxide is removed from the material table (4), the surface of the material is ready for subsequent oxidation processes to form an oxide layer. The dry button processor 1832 is cleaned and evacuated. Purification of the inert gas such as nitrogen, hydrogen or argon is accomplished by flowing an inert gas directly through the gas inlet or distribution plate (10) into the process chamber. Next, the material layer is further processed by an oxidation process to form an oxide layer. It should be understood that the step of removing the film or oxide layer from the surface of the material need not be performed first. From the process descriptions associated with the 3A to %, from the smear, the 7 8 to 忉, the 8 8 to the smear, the 〇A to the brain, or the 11A to the llc diagram, it can be understood that in some embodiments Removing a portion of the oxide layer or 85 201142936 film layer may perform the step of oxidizing the surface of the material layer to form an oxide layer. In an embodiment, an oxide layer is formed in chamber j 800. In other embodiments, an oxide layer is formed in a load lock region (not shown) outside the slit valve opening 1811. In an embodiment where the oxide layer is formed in the cavity to 1 800, the oxidizing gas supply 1890 flows the oxidizing gas directly through the inlet 1892 into the chamber. Suitable oxidizing gases comprise one or more of oxygen, ozone, water, argon peroxide (H2〇2), or nitrogen oxide species, for example, nitrous oxide (nitrous oxide), nitrogen monoxide (NO) or dioxide. Nitrogen (N〇2). The oxidizing gas is introduced into the chamber at a suitable low pressure. Next, the chamber is heated to a suitable temperature so that the oxide layer can grow on the surface of the material. In one or more embodiments, the chamber temperature is heated to a range of from about 20 Torr to about 800 Torr. In a particular embodiment, the chamber is heated to a range of from about 3 °C to about 4001. The above is to promote the oxidation reaction on the material which will be processed to form a material layer, for example, as described above with respect to Figures 3A to 3C, 5A to 5E, 7A to 7D, 8A to 8B, 10A to 10D or 11A to 11C. Related. In an alternate embodiment, the cooled support member 1 822 introduces one of an oxidizing gas, such as oxygen or other oxidizing gas, which passes through a gas passage in the support to reduce the oxidizing gas prior to contacting the surface of the material. Premature decomposition of the oxidizing gas is produced, and an oxide layer will be formed on the surface of the material. In other alternative embodiments, the oxidizing gas supply 1 890 is in fluid communication with the plasma volume 1849 via a gas inlet (not shown), and the introduction of the oxidized electricity 86 86429429 can form an oxide layer on the surface of the material of the substrate. . In other alternative embodiments, the formation of oxidized plasma in the remote plasma oxidation source in fluid communication with chamber 1800 is similar to the configuration shown in Figure 13. The distal nitride plasma can also be formed by applying nitrogen gas to the remote plasma source. In another embodiment, the substrate support 1 822 can be biased using a radio frequency (RF) power source (similar to the configuration shown in Figure 5). Therefore, 'summary of the above' can form an oxide layer on the surface of the material in the chamber i 8 藉 by one or more of the following methods: introducing an oxidizing gas into the chamber and heating the surface of the material, and introducing the source of the plasma at the distal end The remote plasma source is separated from the plasma volume 1 849, the oxidizing gas is introduced into the plasma volume 1849 and the oxidized plasma is delivered to the substrate on the support 1822, or RF driven The substrate support 1822 forms a galvanic and introduces oxidizing gas into the chamber. An exemplary and suitable pressure in the chamber is about; between [mTorr to about 10 Torr. In still other alternative embodiments, the surface of the material can be precisely heated to form an oxide layer by using a luminaire or a laser heating component (of the type associated with Figures 16 and 17 above). This luminaire or laser heating element can be used to rapidly heat the processed components to temperatures ranging from 〇 °C to 1000 °C. In a particular embodiment, ozone can be used as the oxidizing gas, and the ozone can be introduced through the gas inlet or substrate support 1822 and ultraviolet light can be used to initiate the photochemical oxidation reaction. This reaction is expected to be performed in the load lock region outside the slit valve 18 11 . After the oxide layer is formed on the surface of the oxidized material layer, the chamber 1800 is again purged to remove by-products of the oxidizing gas from the (iso) oxidation reaction. Borrowing 87 201142936 Purification is achieved by flowing an inert gas into the chamber and/or using a vacuum pump 18〇4. The step of forming an oxide layer and etching (by plasma and sublimation) may be repeated in the chamber 1 800 until a telluride layer having a desired material thickness is formed. The description of the exemplary components and process procedures is related to Figures 3A through 3C, 5A through 5E, 7A through 7D, 8A through 8B, 10A to !〇!> or 11A through 11C, and may be in the single chamber described above. Perform any process in 18〇〇. The single chamber rapid thermal process (RTP) apparatus can also be used to perform the steps of repeatedly forming an oxide layer and etching (by plasma and sublimation) in a cavity to medium cycle until an oxide layer having a desired material thickness is formed. The description of the exemplary 7L piece and the process program is related to the above 3A to 3C, 5A to 5E, 7A to 7D, 8A to 8B, l〇A to l〇D or 11A to 11C diagrams and can be in Fig. 21 Perform any process in a single chamber as described. Figure 21 shows an exemplary embodiment of a rapid thermal processing chamber 21 (10). The process chamber 2100 includes a substrate support 2104, a chamber body 2102, the chamber body 2102 includes a wall surface 21〇8, a bottom portion 211〇, and a top portion 2112, the bottom portion 2110 and the top portion 2m defining an interior volume 2u. The wall surface 2108 generally includes at least— Substrate access ports 2148 are provided to facilitate the entry and exit of the substrate 214G (the portion of the base 2140 is shown in Fig. 21). The port is lightly coupled to a transfer chamber (not shown) or a load lock chamber (not shown) that selectively seals a valve, such as a slit door (not shown). In the embodiment, the substrate support 2104 is annular and the chamber 2100 contains a radiant heat source 2106 in the inner diameter of the substrate support member 2104. The radiant heat source 2106 is provided with a radiant heat source 2106, typically comprising a plurality of luminaires. An example of a modified RTP chamber and the use of a substrate support is described in U.S. Patent No. 6,800,833 and U.S. Patent Application Publication No. 2005/0191044. In an embodiment of the invention, the chamber 2100 includes a reflective plate 2200 that is combined with a gas distribution outlet (described more clearly below) for evenly distributing gas over the substrate while allowing for rapid and Controlled substrate heating and cooling. The plate 2200 is heated and/or cooled' to aid in the oxidation and/or etching described above. The panel may be absorbent, reflective or have a combination of absorbing and reflecting regions. In a detailed embodiment, the panel can have multiple zones, some of which are within the field of view of the pyrometer and some of which are outside the field of view of the pyrometer. The regions within the pyrometer field of view, if circular, have a diameter of about 1 inch, or have other shapes and sizes as desired. These regions within the field of view of the probe can have very high reflectivity over the range of wavelengths observed by the pyrometer. In the pyrometer wavelength range and the outer side of the field of view, the plate can range from reflective with minimal loss of radiant heat to absorbable heat loss maximizing for shorter thermal exposure. The RTP chamber shown in Fig. 21 also includes a cooling block 2180 that is adjacent to the top 2112, to the top 2112, or to the top 2112. Typically, the cooling block 218 is placed away from the radiant heat source 2 1 06 and opposite the radiant heat source 2 1 06. Cooling block 2108 includes one or more coolant passages 2 1 84 that exit with inlet 2181A. Port 2181B is coupled. The cooling block 2108 can be made of a process compatible material such as stainless steel, slag, polymer, or ceramic material. The coolant passage 2 1 84 comprises a spiral pattern, a rectangular pattern 89 201142936, a circular pattern or a combination of the above, and is manufactured, for example, by a prayer cooling block 2 1 80 and/or from two or more components. The block 2180 is cooled and the components are joined, and the channel 2184 is formed in the cooling block 21 80. Additionally or alternatively, the coolant passages 2丨84 are drilled into the cooling block 2 1 800. The inlet 2 181A and outlet 21 81B steel are connected to the coolant source 21 8 2 by a valve and a suitable plumbing, and the coolant source 21 8 2 is in communication with the system controller 21 2 ' to help control the setting The pressure and/or flow in the coolant source 21 8 2 flows. The stream can be water, ethylene glycol 'nitrogen (N2), helium (He), or other liquid stream as a heat exchange medium. In the illustrated embodiment, the substrate support 2 10 04 is selectively adapted for magnetic suspension and rotated within the interior volume 2120. When the substrate is vertically raised and lowered during the process, the substrate support 2104 is shown to be rotatable' and the substrate can be raised or lowered without rotating the substrate before, during, and after the process. This magnetic suspension and/or magnetic rotation can avoid particle generation or minimize particle generation because of the lack or reduction of moving parts that are typically used to raise/lower and/or rotate the substrate support. The chamber 2100 also includes a window 2114 that is made of a material that can penetrate heat and light of various wavelengths, the light contained in the infrared spectrum, through which photons from the radiant heat source 2 106 are passed. The substrate 2140 can be heated. In one embodiment, the window 2114 is made of a quartz material, but other light transmissive materials may be used, such as 'sapphire. The window 2 11 4 also includes a plurality of lift pins 2 1 44 coupled to the upper surface of the window 2114, the lift pins 2144 being adapted to selectively contact and support the substrate 214〇 to assist in transporting the substrate into and out of the cavity to 2100. Each of the plurality of lift locks 2144 is configured to minimize absorption of energy from the radiant heat source 2106, and each of the plurality of lift pins 2144 is made of the same material as the window 2114 'eg quartz material . The plurality of lift pins 2144 are disposed and radially spaced apart to assist in the passage of the end effector that is transferred to a transfer robot (not shown). Alternatively, the end effector and/or the robotic arm can be moved horizontally and vertically to aid in transporting the substrate 2140. In one embodiment, the radiant heat source 210 6 includes a luminaire assembly formed from a housing that includes a honeycomb tube 2160 in a coolant assembly (not shown) coupled to the second Coolant source 2183. The second coolant source 2183 can be one or a combination of the following: water, ethylene glycol, nitrogen, and helium. The outer casing walls 21〇8 and 21(7) may be made of a copper material or other suitable material having suitable cooling passages formed therein for flowing coolant from the second coolant source 2183. The coolant cools the outer casing of the chamber 21〇〇 such that the outer casing is cooler than the substrate 2140. Each tube 216〇 contains a reflector and a high-intensity luminaire assembly or an infrared (10) hantom source that forms a honeycomb-like configuration. The tightly packed hexagonal configuration of the tubing provides an energy source with high power density and good spatial resolution. In the embodiment, the radiant heat source 21〇6 provides sufficient (four) energy to treat the substrate with heat 91 201142936, for example, to anneal the layer of germanium deposited on the substrate 2140. The radiant heat source 2106 further includes an annular region in which the voltage applied to the plurality of tubes 216A by the controller 2124 can be varied to increase the energy radiation distribution from the tube 216A. Dynamic control of the heated substrate 2140 can be effected by one or more temperature detectors 2117 that are adapted to detect the temperature of the entire substrate 2140. In the illustrated embodiment, the optional stator blank 2118 circumscribes the wall surface 2108 of the chamber body 2102, and the stator assembly 2U8 is coupled to one or more actuation assemblies 2122, the one or more actuation assemblies 2122 The control stator assembly 2118 rises along the exterior of the chamber body 21〇2. In an embodiment (not shown), the chamber 21〇0 includes three actuation assemblies 2122 that are radially disposed along the chamber body, for example, along the chamber body 21〇 2 about 12 degrees of angle. The stator assembly is magnetically coupled to the substrate support 2 disposed in the interior volume 2120 of the chamber body 2102. The substrate support 2104 has or contains a magnetic component that functions as a rotor, thereby producing a magnetic bearing assembly to High and/or rotating the substrate support member. In one embodiment, the alpha-groove (not shown) partially surrounds at least a portion of the substrate support 21〇4, the recess being coupled to a flow source 2186, the flow source 2186 comprising water, ethylene glycol, Nitrogen, gas, or a combination of the above, acts as a heat exchange medium for the substrate support. The stator assembly 2118 also includes a housing 219 以 to accommodate various portions and components of the stator assembly 2 ΐ 8 . In an embodiment, the stator assembly HU includes a drive coil assembly 2168 that is stacked on the suspension coil assembly 217G_L. When the suspension coil assembly 2m is adapted to passively position the base 92 201142936 plate support member 21G4 in the center of the process, the drive (four) (four) member 2168 is adapted to (4) rotate and/or raise/lower the substrate support member or, by having The stator of a single coil stage performs the rotation and central positioning functions. The atmosphere control system 2164 is also consuming into the internal pool 2120 of the chamber body 2i. The ambience control system 2164 typically includes throttling and true = pumps for controlling chamber pressure. The ambience control system can be additionally packaged with a gas source to provide process gas or other gas to the internal volume 212〇. The atmosphere control system 2164 is also adapted to deliver gases for the thermal deposition process, the thermal etch process, and the in situ cleaning of the chamber components. The ambient control system works in conjunction with the sprinkler gas delivery system. The cavity to 2100 also includes a controller 2124, which typically includes a central processing unit (CPU) 2130, a support circuit 2128, and a memory 2126. The CPU 2130 can be any one of any form of computer processor that can Used in commercial settings to control various commands and sub-processors. The memory 2126, or computer readable medium, can be - or a plurality of readable media, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any Other forms of digital storage, area or remote end, and the memory 2126 is typically coupled to the CPU 2 13' for supporting the controller 2124 in a conventional manner. These circuits include cache memory, power supplies, clock circuits, input/output circuits, subsystems, and more. In one embodiment, each of the actuation assemblies 2122 generally includes a precision lead screw 2132 that is coupled to two flanges 93 201142936 2134 that are from the chamber body 21〇2 The wall ι〇8 extends out. The lead screw 2132 has a nut 2158 that moves axially along the lead screw 2132 as the screw rotates. A coupling member (21A) is coupled between the stator 2118 and the nut 2158 such that when the lead screw 2132 is rotated, the coupling member 2136 can be moved along the lead screw 2132 to control the height of the stator 2118. Union 2136 = at the interface. Thus, when the lead screw 2132 of one of the actuators 2122 is rotated to create a relative displacement between the nuts 2158 of the other actuators 2122, the horizontal plane of the stator 2118 will be relative to the center of the chamber body 21〇2 The axis changes. In one embodiment, a motor 2138 (e.g., a stepper motor or servo motor) is coupled to the lead screw 2132 to provide controllable rotation in response to the controller 2丨24 signal. Alternatively, other types of actuators 2122 can be used to control the linear position of the stator 2118, such as a pneumatic cylinder, a hydraulic cylinder, a ball screw, an electromagnetic coil, a linear actuator, a cam follower, and the like. The chamber 2100 also includes one or more sensors 2116 that are generally adapted to detect the substrate support 21〇4 in the interior volume 2120 of the chamber body 2丨〇2 ( Or the height of the substrate 214 〇). The sensor 2116 is coupled to the chamber body 21〇2 and/or other portions of the process chamber 21〇(), and the sensor 2116 is adapted to be provided on the substrate support 2104 and the chamber body 21〇2 The output of the distance between the top 2112 and/or the bottom 211 is indicated, and the sensor 2116 can also detect the alignment offset of the substrate support 2104 and/or the substrate 2140. One or more sensors 2 11 6 are coupled to the controller 2 1 24, the control 94 201142936 2 12 4 receives the output measure from the sensor 2 116 and provides a signal or signals to one or A plurality of actuation assemblies 2122 are provided to raise or lower at least a portion of the substrate support 2 1 〇4. The controller 2 124 can use a position measure that is obtained by the sensor 2i6 to adjust the height of the stator 2118 on each of the actuation assemblies 2122, and thus can be relative to the RTP chamber 21 / or the central axis of the radiant heat source 21 〇 6 simultaneously adjusts the orientation and flatness of the substrate support 2104 and the substrate 2140 (on the substrate support 21 〇 4). For example, the controller 2124 can provide a signal to raise the substrate support by the action of an actuator 2122 for correcting the axial alignment offset of the substrate support 2104, or the controller can provide a signal All of the actuators 2122 are provided to assist in the synchronous vertical movement of the substrate support 21〇4. The one or more sensors 2 1 16 may be ultrasonic, laser, inductive, capacitive or other types of sensors capable of detecting substrate supports inside the chamber body 210 2 The approximation of piece 21 〇4. The sensor 2116 is snapped to the top 2112 of the chamber 2102 or to the wall 2108'. However, other locations in the chamber body 2102 or around the chamber body 21〇2 are also suitable 'eg, coupled The stator 211 8 to the outside of the chamber 2 1 . In one embodiment, one or more sensors 2 116 are coupled to the stator 2118' and the one or more sensors 2116 are adapted to sense the substrate support 2104 (or substrate 2140) through the wall 2108. Height and / or location. In this embodiment, wall 21 08 includes a relatively thin cross section to aid in sensing the location through wall 2 108. The chamber 2100 also contains one. The plurality of temperature sensors 2117, the plurality of temperature sensors 211 7 implemented before, during, and after the process of the invention are adapted to sense the temperature of the substrate 2 1 40 after the process. In the example, temperature sensing || 2117 is placed through the term 2112, however it may be disposed in the chamber body 2102 or at other locations around the chamber body 21〇2. The temperature sensor 2U7 is a photometric pyrometer, for example, a pyrometer having a fiber probe. The sensor 2117 is coupled to the top 2112 in a configuration that is capable of detecting the entire diameter of the substrate, or other locations of the substrate. The sensor 2U7 includes a pattern that defines a sensing region that is substantially equal to the diameter of the substrate, or a sensing region that is substantially equal to the substrate half. For example, a plurality of sensors 2117 are coupled to the top 2112 in a radial or linear configuration to create a detection zone across the radius or diameter of the substrate. In one embodiment (not shown), a plurality of sensors 2117 are disposed in a line that extends radially from the center of the top portion 2112 to a peripheral portion of the top portion 2112. In this manner, the radius of the substrate can be monitored by a sensor, which can sense the diameter of the substrate during rotation. As described herein, the chamber 2100 is adapted to receive The upper substrate is oriented, wherein the deposition receiving side or face of the substrate faces the flat plate 2200, and the "back side" of the substrate faces the radiant heat source 21〇6. Sometimes, the "face up" orientation allows the energy from the radiant heat source 2106 to be more quickly absorbed by the substrate 214 when the back side of the substrate is less reflective than the substrate side. Although the plate 2200 and the radiant heat source 21A6 are described as being placed in the upper and lower portions of the inner volume 2120, respectively, the positions of the cooling zone 96 201142936 block 2 1 80 and the radiant heat source 2 1 06 are interchangeable. For example, the size of the cooling block 2180 can be designed and the cooling block 218 can be placed in the inner diameter of the substrate support 2104 and the radiant heat source 21 〇 6 can be coupled to the top 2112. In this configuration, the quartz window 2114 is disposed between the radiant heat source 2106 and the substrate support 2104, for example, adjacent the radiant heat source 1 〇 6 in the upper portion of the chamber 21 。. While the substrate 2140 can readily absorb heat when the back side of the substrate faces the radiant heat source 2106, in either configuration, the substrate 2140 can be positioned in a face-up or face-down orientation. It should be understood that since the fluorine-containing gas will be introduced into the chamber 21, the material in the chamber component must be resistant to the attack of the fluorine-containing gas. For example, a chamber component exposed to a fluorine-containing gas may be coated by a material such as sapphire or aluminum to resist erosion. Other fluorine resistant materials can also be used. The chamber 2100 further includes a distal plasma source 2192 for delivering plasma into the chamber, and the plasma can be delivered to the chamber by dispensing nozzles 2194. The lance 2194 is typically an elongated conduit having one or more outlets for averaging the distribution of slurry product into the chamber 2100. A plurality of nozzles 2194 can be used for injecting at a plurality of radial locations in the chamber 2100. In one or more embodiments, the (equal) nozzle 2194 is movable 'such that the nozzle 2194 is selectively movable in or out of space between the substrate 2140 and the plate 22A. . The modified chamber further includes an oxidizing gas supply to provide an oxidizing gas, such as oxygen, nitrous oxide, nitric oxide, and combinations thereof, the oxidizing gas supply and an auxiliary gas inlet into the chamber 18〇〇 1892 is in fluid communication as shown in Figure 18. 97 201142936 The oxidizing gas supply 2196 is in communication with the auxiliary gas inlet in the inlet chamber. The etching gas supply 2198 can apply a gas of a surcharge to the chamber 2100 by a reducing gas inlet. The etching gas, for example, four gasified carbon (CF4), trifluoromethane (CHF3), sulfur hexafluoride (Sf6), ammonia Gas, nitrogen trifluoride (NF3), helium (He), argon (Ar), and the like. Other gas supplies include an inert gas supply and an inlet (not shown) for delivering inert gases (e.g., helium, argon), reducing gases (e.g., hydrogen and other gases). The flow of each gas can be adjusted by a mass and volume flow controller that is coupled to the system controller 4. The gas supplies 2196 and 2198 are shown in fluid communication and pass through the chamber 21 side. In the meantime, it is contemplated that the gas supplies 2196 and 2198 can direct gas into the conduit that is in fluid communication with the showerhead, nozzle or other component for evenly distributing the gas into the chamber 21A. An example of the gas introduction system 2202 will be described below. Gas supplies 2196, 2198 and other gas supplies may be in fluid communication with gas introduction system 22A2. A Figure 22 shows a more detailed reflector 2200. Referring to Fig. 22, a reflective plate 2200 is shown which is combined with a gas distribution outlet for distributing gas evenly over the substrate while allowing rapid and controlled soil heating and cooling. The plate 22A & includes a top portion 2201 having a gas introduction system. The gas introduction system 22A includes a first gas introduction port 204 and an optional second gas introduction port 22〇6, the first gas introduction port 2204 In fluid communication with the optional second gas introduction weir and the gas mixing chamber 2 for thinking about the two emulsions. If only a single gas introduction port is provided, the mixing chamber 2208 can be removed from the design by deleting 98 201142936. It should be understood that additional gas introduction enthalpy may also be provided. Of course, the gas introduction ports 2202, 2204 can be connected to a suitable gas source, such as a gas tank or gas supply system (not shown). The mixing chamber 22A8 is connected to the 'air flow passage 2212, which is connected to the gas groove "Μ" and the gas introduction opening 2U6 formed in the barrier plate 2213. The barrier plate 2213 can be fixed to the top portion 22〇1 The separating component, or the obstruction plate 2213, is integrally formed with the top portion. Of course, other designs may be employed, including providing two or more sets of individual openings 2216 for two or more gases such that the gas is passed after exiting the showerhead. The plate includes a face 2203 through which the opening 2216 is formed. In operation, cyclic oxidation and/or nitridation and etching can be performed in the chamber 2100. An exemplary process includes: applying an etched electrical Slurry to chamber 2100, the etch plasma is formed in remote plasma source 2192. The etched electrical product can be applied through the illustrated nozzle 2194, or the electrical enthalpy product can be applied via introduction 埠2202. As described above, at least During the partial engraving process, it is desirable to maintain the substrate and the material surface at a relatively low temperature. For example, a partial etching process can be performed at a low temperature. The surface of the material is maintained at a relatively low temperature, for example, in the range of from about 20 ° C to about 60, less than about 5 Å, specifically less than about 45 Å, less than about 4 〇 C, less than about 35 ° C. In a particular embodiment, the temperature is maintained at about 3 Torr + / about 5 during the etch of the chamber 18 to help smear the etchant and help control the selectivity of the etch reaction. The substrate and the material are maintained at a low temperature by flowing a suitable cooling gas (for example, helium gas) through the plate 2200. The film layer or oxide is removed by etching the layer 99 201142936 layer further includes: Magnetically coupled to one or both of the lift pins 2144 and/or the stator assembly 2218 of the substrate support 2104 to move the substrate to be processed 'to bring the substrate closer to the plate 2200. For sublimation of the film formed during etching Or layer, by moving the substrate away from the plate 22 by the lift pin or stator assembly 2118, the radiant heat source 2 106 is activated to heat the substrate and material surface being etched to above about 1 〇〇c. In a particular embodiment Heating the substrate 2 to 4 〇 to at least 14 〇 C, at least about 150 C, at least about 160 ° C, at least about 170. 〇, at least about 180 ° C, or at least about 4 (TC, to ensure that the surface of the material is sufficient to sublimate the oxidized stone eve (Si 〇 2) The temperature. Therefore, a non-limiting, exemplary process in the chamber 2 1 包含 includes the application of ammonia (Nh3) or nitrogen trifluoride (NFS), or anhydrous hydrogen fluoride (HF). The gas mixture is supplied to the remote plasma source 2192, and the sulphur gas will condense on the cerium oxide at a low temperature (for example, about 3 〇〇c) and the reaction can form at moderate temperatures (for example, greater than! 〇(rc ) is a compound that is substantially sublimed in chamber 2 100 to etch ruthenium dioxide. The sublimation completely etches the surface of the material and removes by-products by atmosphere control system 2164 and/or flowing purge gas. It is desirable to control the temperature of the wall of the chamber between the substrate support and the gas distribution plate to prevent the surname and δ 彳 product from condensing on the wall of the chamber 2 1 。. An oxide layer is then formed on the surface of the material of the substrate. The tip thermal oxidation process is used to quickly form the oxide layer by rapidly activating the radiant heat source 2106. In an embodiment in which an oxide layer is formed in chamber 2100, oxidizing gas supply 21 96 directs oxidizing gas through the inlet into the chamber. Suitable oxidizing gases comprise one or more of oxygen, ozone, water, hydrogen peroxide, 100 201142936 or nitrogen oxide species 'eg, nitrous oxide (N 2 〇), oxidized 1 (N 〇) or nitrogen dioxide ( Ν〇 2). Nitrogen oxide species are introduced into the chamber at a suitable low pressure. The chamber is then heated to a suitable temperature such that the oxide layer grows on the surface of the material. In one or more embodiments, the chamber temperature is heated to a range of from about 200 °C to about 800 °C. In a particular embodiment, the chamber temperature is heated to about 3 Torr (rc to about 4 Torr (in the range of TC. As described above with 3A through 3C, 5A through 5E, 7A through 7D, 8A through 8B, 10A through 10D) The description of the 11A to UC diagram is intended to promote an oxidation reaction on the material that will be processed to form a layer of material. Alternatively, by a remote plasma source 2192 (or a separate remote plasma source) An oxidation step is achieved, the remote plasma source 2192 (or a separate remote plasma source) can supply an oxidizing gas for forming an oxygen plasma, and then the oxygen plasma is delivered to the chamber + ° in another In an example, the surface of the material on the substrate can be photochemically oxidized using an ultraviolet light source. Suitable oxidizing gas is included in the oxygen, ozone, water, hydrogen peroxide, or oxynitride species 'for example, oxidized two Nitrogen (n2〇), oxidizing gas (n〇) or oxidizing gas (NO^) 〇 after oxidizing the surface of the material layer to form an oxide layer, in the secondary purification chamber 2100 to remove the oxidizing gas and the (etc.) a by-product of the oxidation reaction. By flowing helium gas into the chamber and / Use atmosphere into the control system 70 to the purification step 2164 may be re-circulating in the chamber. The steps of complex oxide layer, d (by plasma and sublimation) are formed until the oxide layer is formed to have a material thickness. Exemplary components and process procedures are related to the contents of the above 3A JL 3 C s S Δ to 5Ε, 7Α to 7D, 8Α to 8Β, 10Α to 10D, 11Α 101 201142936 to He, and may be in the single cavity described above. Perform any process in the room. Therefore, summarizing the above, an oxide layer can be formed on the surface of the material in the chamber 21 by introducing one or more oxidizing gases into the chamber and heating the surface of the material, or by forming The oxidizing plasma in the remote plasma source is introduced and the oxidized plasma is delivered to the substrate on the support. An exemplary and suitable pressure in chamber 2100 is between about imT rrrr to about 10 Tori• range. The system controller can control the process to perform a complete oxidation and/or nitridation and etching process in the chamber and can be completed in less than about 3 minutes. In a particular embodiment, the complete process of oxidation and/or nitridation and etching steps can be completed in the chamber in less than about 2 minutes, and in more particular embodiments, can be less than about i minutes, For example, 45 seconds or 30 seconds, the complete process of oxidation and/or nitridation and buttoning steps is completed in the chamber. Used to form an oxide layer and etch (by plasma and sublimation, the device contains a furnace - the furnace contains a remote or regional source of electricity for generating "plasma with (4)", and the oxide layer and (4) The formation can be repeated by % until the oxide layer is formed to have a desired material thickness. Therefore, the chamber 2100 described with respect to Fig. 21 can be replaced by a suitably configured furnace that cyclically heats and cools the surface of the substrate material until The oxide layer is formed to have a desired material thickness. Exemplary elements are described above in terms of ..., ..., 7AJL7D, 8A to 2 to ', and any process can be performed in the single 201142936 chamber 1800 described above. Accordingly, a first aspect of the present invention is applicable to an apparatus for processing a substrate. A first embodiment of this aspect of the present invention provides an apparatus for processing a substrate, the apparatus comprising: a process chamber having a substrate support Provided therein for supporting the substrate; a temperature control system for controlling the temperature of the substrate disposed on the substrate support to a first temperature lower than about 10 〇 ° C; a gas source, the gas source and The chamber is in fluid communication to deliver at least an oxygen-containing gas, an inert gas, and an etching gas into the process chamber; the source of the plasma is in fluid communication with the process chamber for exciting at least one of the oxygen-containing gas and the etching gas, And forming at least one oxidizing plasma or etching plasma; and a heat source for heating the substrate to a second temperature greater than the first temperature. In a variation of the first embodiment, when the substrate temperature is at the first temperature And when one of the oxidizing gases is delivered, the chamber is configured to deliver one of the etching gas and the etching plasma into the process chamber. In another variation, the second temperature is about 200. (: to l〇〇〇 In yet another variation, the chamber is configured to perform an etching process on a material layer of the substrate, and at least a portion of the etching process is performed at the first temperature. Another in the first embodiment In a variant, the etching process comprises a dry etching process, and the etching gas comprises an inflammatory gas. The first real 2 = contains a source of gas connected to the source of the electrical destruction, the gas source is further advanced Containing nitrogen. In a variation of the first embodiment, the residual gas is in fluid communication with the source to form an etch plasma. The temperature control system comprises cold in another variation of the first embodiment 103 201142936糸, used to perform at least a portion of the residual H private at temperatures below about 5 rc. More specifically, the cooling system is configured to reduce the substrate temperature to a range of approximately hunger In a particular variation of the first embodiment, the device is configured to cycle for less than about 3 minutes at the first temperature and the second degree. In another particular variation of the first embodiment, the device is Arranged to shape a layer of material on the substrate, the layer of material having a desired shape having a first width near a bottom surface of the desired shape, the first width being substantially equal to a second width 'the second width being close to the The top of the shape is desired, wherein the first and second widths of the desired shape are between about 1 and about 30 nm. Configure the device to form a layer of material that contains #movement poles. The device is configured to cycle (4) the process and the oxidation process on the material layer. In the - or more variations of the first embodiment, the oxidation process comprises rapid thermal oxidation, radiation oxidation, electrical oxidation, chemical oxidation or photochemical oxidation and the engraving process comprises at least the following: wet or dry chemistry Button engraving, reactive ion etching or plasma etching. The second aspect of the present invention is applicable to a method of shaping a material layer on a substrate. The method comprises: (4) processing a surface of the material layer in the processing chamber to form an oxide-containing layer or a nitride-containing layer; (b) Terminating the formation of the oxide-containing layer or the nitride-containing layer' (c) removing at least some of the oxide-containing layer or the nitride-containing layer by the etching process in the same process chamber as (4); Repeat (4) to (4) in the chamber until the material layer forms a shape. In a variant of the method, (4) 104 201142936 is performed at the -start rate and (a) contains the oxidation charge. a mad, when the S oxidation rate is lower than about 90% of the initial rate, termination (b). In the X 0 , , • _ another-k example of the method, by wet or dry rapid thermal oxygen

化、幸®射氧化、電难g A «來氧化、濕式或乾式化學氧化或光化 • 學氧化中的至少一去办批 考來執竹材料層的氧化,以形成氡化 物層。 在该方法的另一變化例中,钮刻製程包含濕式或乾式 干d反應性離子餘刻或電漿蝕刻中的至少一者。 在該方法的另一變化例中,將該材料層形成為期望形 狀’ 5亥期望形狀在接近該期望形狀底面具有第-寬度, 該第一寬度實皙上:^ 夏負上4於第二寬度,該第二寬度接近該期 望形狀的頂部。在兮古、上 Μ方法的另一變化例中,該期望形狀 具有介於約^ .5主約20 nm的深寬比。更特定而言之, 該期望形狀的第—與第二寬度係介於約1至約30 nm。 更特定而言之,該期望形狀的高度係介於約】至約3〇奈 米。s亥材料層包含浮動閘極。 用於在材料層上執行循環氧化與钱刻製程的設備的第 二實施例中’該設備包含:製程腔室,該製程腔室具有 複數個壁面’該壁面界定製程腔室中的製程區域,該製 . 程腔室包含基板支撐件’用以將具有材料層的基板固定 '在製程區域中;含氧氣體供應器、惰性氣體供應器以及 蝕刻氣體供應器,與製程腔室流體連通,用以將含氧氣 體、惰性氣體與蝕刻氣體輸送至製程腔室中;電漿來源', 用以在腔室内側的電漿產生區中形成電漿,且激發該含 105 201142936 氧氣議刻氣體中的至少一者,用以形成氧電聚與姓 刻電漿中的至少—者’而接觸該材料層;加熱系統,用 以將腔室中的基板加熱至大於約崎的第—溫度;a 卻系統,用以將腔室中的基板冷卻至低於第-溫度的; 二溫度;以及控制系統’用以將腔室中的基板於第一溫 度與第二溫度之間循環。在第二實施例的一變化例 配置該控制系統、加熱系統與冷卻系統於第一溫度與第 二溫度之間循環少於約3分鐘的週期時間。 在第二實施例的另一變化例中,冷卻系統包含基板支 撐件,該基板支撐件包含通道,用於允許冷卻媒介流動 穿過該通道。在第二實施例的另一變化例中,冷卻系統 包含喷淋頭,該喷淋頭設置在腔室中與基板支撐件相 鄰’該喷淋頭與冷卻液流連通。 在第二實施例的另一變化例中,加熱系統包含光源與 電阻加熱器中的至少一者。在一變化例中,將電阻加熱 器設置在基板支撐件中。或者,將電阻加熱器設置在喷 淋頭中。在第二實施例的另一變化例中,加熱系統包含 設置該光源,使得由光源所放射的能量以一入射角接觸 該材料表面,且藉由將被處理的材料最佳化該入射角的 吸收。在一特定配置中,對於將被處理的材料而言該入 射角為布魯斯特角度(Brewster angle)。 在第二實施例的一特定配置中,製程腔室具有頂棚電 漿來源,該頂棚電漿來源包含電源施加器,該電源施加 器包含設置在頂棚上方的線圈,該線圈透過阻抗匹配網 106 201142936 路耦接至電源,用以在電漿產生區中產生電漿。在另一 變化例中,㈣氣體包含含氟氣體,线腔室更進—步 包含與電漿來源連接之氮氣來源。 乂 用於在材料層上執行循環氧化與触刻製程的設備的電 =實施例中,該設備包含:製程腔室,腔室主體,該腔 至主體包含複數個壁面’該壁面界定製程腔室中的製程 區域’該製程腔室包含基板支稽件,用以將具有材料層 :基板固定在製程區域中;蓋組件,該蓋組件設置在腔 室主體的上表面,蓋組件包含第一電極與第二電極,在 边第電極與電二電極間界定電漿凹部,i中將第二電 極加熱並且配置第二電極加熱該基板;含、氧氣體:應 益、惰性氣體供應器以及钱刻氣體供應器,肖製程腔室 .與蓋細件的至少一者流體連通,用以將含氧氣體、:性 氣體與蝕刻氣體輸送至製程腔室與蓋組件的其中一者 中;加熱系統’用以將腔室中的基板加熱至大^約ι〇〇 c的第一溫度;冷卻系统,用 元用以將腔室中的基板冷卻至 低於第-溫度的第二溫度;以及控制系統,用以將腔室 中的基板於第一溫度與第二溫度之間循環。 在第三實施例的一變化例中,該氧化氣體與蓋組件流 體連通,以形成氧化電製來處理材料層。在第三實施例 的另-變化例中’該蝕刻氣體與蓋組件流體連通,以形 成敍刻電毁來處理材料層。在特定變化例中,㈣氣體 包含含麵。在一特定實施例中,餘刻氣體包含氨氣 以及-或多種的三氟化氮⑽3)氣體以及無水氣化氫 107 201142936 (HF)。 ,該基板支撐件適用於在腔Chemistry, Xing, Oxidation, Electric Difficulty g A «To oxidize, wet or dry chemical oxidation or actinic acid · At least one of the oxidations to control the oxidation of the bamboo material layer to form a bismuth layer. In another variation of the method, the button engraving process comprises at least one of wet or dry dry d reactive ion or plasma etching. In another variation of the method, the layer of material is formed into a desired shape of a desired shape having a first width adjacent to the bottom surface of the desired shape, the first width being substantially: Width, the second width being near the top of the desired shape. In another variation of the 兮, 上 method, the desired shape has an aspect ratio of about 20 nm to about 20 nm. More specifically, the first and second widths of the desired shape are between about 1 and about 30 nm. More specifically, the height of the desired shape is between about 至 and about 3 〇. The shai material layer contains floating gates. In a second embodiment of an apparatus for performing a cyclic oxidation and engraving process on a layer of material, the apparatus includes: a process chamber having a plurality of walls, the process area in the wall-bound custom process chamber, The process chamber includes a substrate support 'for fixing a substrate having a material layer' in the process area; an oxygen-containing gas supply, an inert gas supply, and an etching gas supply in fluid communication with the process chamber The oxygen-containing gas, the inert gas and the etching gas are sent to the processing chamber; the plasma source' is used to form a plasma in the plasma generating region on the inner side of the chamber, and the gas is excited in the gas. At least one of which is used to form at least one of oxygen electropolymerization and surnamed plasma to contact the material layer; a heating system for heating the substrate in the chamber to a temperature greater than about the temperature; a system for cooling the substrate in the chamber to below the first temperature; two temperatures; and a control system for circulating the substrate in the chamber between the first temperature and the second temperature. In a variation of the second embodiment, the control system, the heating system and the cooling system are cycled between a first temperature and a second temperature for a cycle time of less than about 3 minutes. In another variation of the second embodiment, the cooling system includes a substrate support that includes a passageway for allowing a cooling medium to flow through the passage. In another variation of the second embodiment, the cooling system includes a showerhead disposed in the chamber adjacent the substrate support. The showerhead is in flow communication with the coolant. In another variation of the second embodiment, the heating system includes at least one of a light source and a resistive heater. In a variant, a resistive heater is placed in the substrate support. Alternatively, place the resistance heater in the sprinkler. In another variation of the second embodiment, the heating system includes disposing the light source such that energy emitted by the light source contacts the surface of the material at an angle of incidence, and by optimizing the incident angle of the material being processed absorb. In a particular configuration, the incident angle is a Brewster angle for the material to be processed. In a particular configuration of the second embodiment, the process chamber has a source of ceiling plasma, the source of the ceiling plasma comprising a power applicator comprising a coil disposed above the ceiling, the coil being transmitted through the impedance matching mesh 106 201142936 The circuit is coupled to a power source for generating plasma in the plasma generating region. In another variation, the (iv) gas contains a fluorine-containing gas and the wire chamber further includes a source of nitrogen coupled to the source of the plasma. The apparatus for performing a cyclic oxidation and etch process on a material layer. In an embodiment, the apparatus comprises: a process chamber, a chamber body, the cavity to the body comprising a plurality of walls. The wall boundary custom process chamber The process area of the process chamber includes a substrate support member for fixing the material layer: the substrate in the process area; a cover assembly disposed on the upper surface of the chamber body, the cover assembly including the first electrode And a second electrode, defining a plasma recess between the side electrode and the electric electrode, i heating the second electrode and disposing the second electrode to heat the substrate; containing oxygen gas: benefit, inert gas supply, and money engraving a gas supply, the process chamber, is in fluid communication with at least one of the cover members for delivering an oxygen-containing gas, a gas, and an etching gas to one of the process chamber and the lid assembly; the heating system a first temperature for heating the substrate in the chamber to a maximum temperature; a cooling system for cooling the substrate in the chamber to a second temperature lower than the first temperature; and a control system , In the chamber between the first circulating substrate temperature and the second temperature. In a variation of the third embodiment, the oxidizing gas is in fluid communication with the lid assembly to form an oxidative electrical system to treat the layer of material. In a further variation of the third embodiment, the etching gas is in fluid communication with the lid assembly to form a layer of electrically destroyed material. In a particular variation, (iv) the gas contains a surface. In a particular embodiment, the residual gas comprises ammonia gas and/or a plurality of nitrogen trifluoride (10) 3) gases and anhydrous hydrogenated water 107 201142936 (HF). The substrate support is adapted to be in the cavity

在第三實施例的一配置中, 室主體中垂直移動,用以在孽 接近第二電極的加熱位置中, 足位在退離第一電極的絲功丨说 軸件上方,該軸件耦接至升降機構。在—實例中,該升 降機構適用於在腔室主體中垂直移動該接收表面,用以 在氧化製程期間將基板定位在接近第二電極的加熱位置 中,而在蝕刻製程期間將基板定位在遠離第二電極的蝕 刻位置中。 在第二貫施例的另一變化例中,基板支樓組件包含一 或多個的氣體通道’該氣體通道與接收表面在該氣體通 道的一末端流體連通,並且在該氣體通道的第二末端與 淨化氣體來源或真空來源流體連通。在另一變化例中, 接收表面包含一或多個凹陷通道,該凹陷通道形成在該 接收表面的上表面。 在第三實施例的另一變化例中,軸件包含一或多個崁 入式氣體導管’該氣體導管適用於輸送一或多種液流至 該氣體通道。在一實例中,該一或多個崁入式導管適用 於輸送加熱媒介至該一或多個液流通道。該一或多個崁 入式導管適用於輸送冷卻劑至該一或多個液流通道。 在第三實施例的特定變化例中,將控制系統、加熱系 108 201142936 統以及冷卻系統配置以在第一溫度與第二溫度之間循環 少於3分鐘的時間週期。 在第三實施例的另—變化例中,冷卻系統包含喷淋 頭,將該喷淋頭設置在腔室中接近基板支撐件處’該噴 淋頭與冷卻液流連通。在第三實施例的又一變化例中, 加熱系統包含光源與電阻加熱器至少其中一個。 在包含電阻加熱H的實施例中,可將電阻加熱器設置 在基板支撐件中及/或喷淋頭中。第三實施例的加熱系統 可包含光源,設置該光源使得由光源所發射的光能可以 -入射角與基板表面接觸,該人射角可由被處理之材料 來最佳化吸收值。對於被處理之材料來說,在—特定變 化例中的入射角為布魯斯特角度。 用於在材料層上執行循環氧化與蝕刻製程的設備的另 實施例包s —製程腔室,具有複數個壁面,該壁面 於該製程腔室中界定製程區域,該製程腔室包含基板支 樓件,用以將基板Μ在製程區域中,該基板具有材料 層,3氧乱體供應器、一惰性氣體供應器以及一蝕刻 氣體供應器,與製程牌它、ώ ± 展核心至流體連通用以輸送含氧氣體、 情性氣體與钱刻氣體至盤』 — ± 腔至中,一迫端電漿來源, 與該製程腔室以及蝕刻翕驷,ώ诚老2 J孔體流體連通’用以在遠離腔室 處形成餘刻電衆,且敦+ 且轉由導管將蝕刻電漿輸送至腔室 中;一加熱系統,用以將 腔至中的基板加熱至高於約100 °c的第一溫度;—冷卻系 15糸統,用以將腔室中的基板冷卻 至低於第一溫度的第二 恤度,以及一控制系統,用以將 109 201142936 腔室中的基板在第一溫度與第二溫度之間循環 ,將該設備配置為實質上In a configuration of the third embodiment, the chamber body is vertically moved for use in a heated position in which the crucible is adjacent to the second electrode, the foot position being above the wire function of the first electrode, the shaft member being coupled Connected to the lifting mechanism. In an example, the lifting mechanism is adapted to vertically move the receiving surface in the chamber body for positioning the substrate in a heating position proximate to the second electrode during the oxidation process, and positioning the substrate away during the etching process The etching position of the second electrode. In another variation of the second embodiment, the substrate subassembly includes one or more gas passages 'the gas passage is in fluid communication with the receiving surface at one end of the gas passage, and second in the gas passage The end is in fluid communication with a source of purge gas or a source of vacuum. In another variation, the receiving surface includes one or more recessed channels formed in an upper surface of the receiving surface. In another variation of the third embodiment, the shaft member includes one or more intrusive gas conduits. The gas conduit is adapted to deliver one or more streams to the gas passage. In one example, the one or more intrusion conduits are adapted to deliver a heating medium to the one or more flow channels. The one or more intrusion conduits are adapted to deliver coolant to the one or more flow channels. In a particular variation of the third embodiment, the control system, the heating system 108, and the cooling system are configured to cycle between the first temperature and the second temperature for a period of less than 3 minutes. In a further variation of the third embodiment, the cooling system includes a showerhead disposed in the chamber adjacent the substrate support. The showerhead is in flow communication with the coolant. In still another variation of the third embodiment, the heating system includes at least one of a light source and a resistance heater. In embodiments including resistive heating H, the resistive heater can be disposed in the substrate support and/or in the showerhead. The heating system of the third embodiment can include a light source that is disposed such that light energy emitted by the light source can be brought into contact with the surface of the substrate at an angle of incidence that can be optimized by the material being processed. For the material being processed, the angle of incidence in the particular variation is the Brewster angle. Another embodiment of an apparatus for performing a cyclic oxidation and etching process on a layer of material includes a plurality of walls having a plurality of walls that define a process region in the process chamber, the process chamber including a substrate branch And the substrate is disposed in the process area, the substrate has a material layer, a 3 oxygen disorder supply, an inert gas supply, and an etching gas supply, and is connected to the process card and the core to the fluid communication To transport oxygen-containing gas, inert gas and money engraved gas to the disk - ± cavity to medium, a forced-end plasma source, and the process chamber and etching 翕驷, the ώ 老 old 2 J hole body is used to Forming a residual electric charge away from the chamber, and transferring the etching plasma into the chamber by the conduit; a heating system for heating the cavity-to-medium substrate to a first level higher than about 100 °c Temperature; a cooling system 15 for cooling the substrate in the chamber to a second degree below the first temperature, and a control system for placing the substrate in the 109 201142936 chamber at the first temperature Circulating between the second temperatures, will The device is configured to be essentially

在第四實施例的一變化例中, 僅藉由熱 化例中, 化。在第 快速熱處 反射板, 之間。 在第四實施例的一變化例中,遠端電漿來源與包含含 氟氣體的钮刻氣體流體連通。在第四實施例的另一變化 例中’該腔室包含延長喷管’用以輸送蝕刻電漿產物至 腔室中。該腔室包含複數個延長喷管,該複數個延長喷 管於腔室周圍放射狀設置,用以輸送蝕刻電漿產物至腔 室中。 在第四實施例的另一變化例中,冷卻系統包含反射 板’該反射板與氣體分配出口結合用以在基板上均勻分 配氣體,而允許快速且經控制的基板加熱與冷卻。在第 四實施例的又一變化例中,該設備包含升降銷,用以選 擇性地接觸並支撐該基板,而將該基板移動朝向反射板 以及移動遠離反射板。在第四實施例的另一變化例中, 該設備包含定子組件,該定子組件耦接至基板支撐件, 用以將被處理的基板移動朝向該板以及移動遠離該板。 該定子組件可磁性耦接至基板支撐件。 在第四實施例的特定配置中,該定子組件與該升降銷 110 201142936 的至少一者與冷卻系統互相配合,用以將基板支撐件移 動接近該反射板,而冷卻該基板。 在第四實施例的另一特定配置中,配置該控制系統、 該加熱系統與該冷卻系統在第一溫度與第二溫度之間循 環少於約3分鐘的時間週期。在又一變化例中,將該設 備配置為藉由光化學氧化來進行氧化製程。 因此’在此描述適用於窄間距應用的半導體元件以及 製造該半導體元件的方法。在此所描述的設備可用於製 造具有浮動閘極配置的半導體元件,該浮動閘極配置適 用於窄間距應用’例如在32 nm或更小的元件節點。實 例的元件節點為小於或等於約3〇 nm、小於或等於約25 nm、小於或等於約20 nm、小於或等於約1 5 nm、小於 或等於約13 nm。此半導體元件包含,例如,NAnd與 NOR快閃記憶體元件。在此所提供的浮動閘極配置有益 地提供數種半導體元件,該半導體元件具有在浮動閘極 與控制閘極之間經維持或經改善的側壁電容,以及在此 元件中的相鄰浮動閘極之間經減少的干擾或雜訊。 此外’用於執行在此所揭露的方法的設備可有益地形 成半導體元件同時限制非期望的製程’例如,氧氣擴散, 舉例來說’氡氣擴散會將本發明元件的穿隧氧化物層增 厚°該方法可有益地應用在其他元件或結構的製造上, 例如FmFET元件或硬遮罩結構,以克服傳統微影圖案化 所造成的臨界尺寸限制。 雖然上述内容是有關於本發明之實施例’但在不偏離 111 201142936 本發明的基本範疇下,可產生其他以及更進一步的實施 例。 【圖式簡單說明】 本發明之更特定描述、以上之簡單概述,可藉由參考 附圖中所敘述的實施例來瞭解,因此可更詳細瞭解本發 明的上述特徵。然而,其須注意附圖所說明的僅為本發 明之典型貫施例,故不因此被視為本發明範疇之限制, 對於本發明而言,可容許其他相同效果的實施例。 第1圖說明—半導體結構,該半導體結構具有利用本 發明的一些實施例的方法與設備所製造的浮動閘極。 第2圖是根據本發明的一些實施例來說明形成一浮動 閘極的方法流程圖。 第3 A至3C圖是根據第2圖的方法的一些實施例來說 明孚動閘極的製造階段。 第4圖是根據本發明的一些實施例來說明形成一浮動 閑極的方法流程圖。 第 5 A 5 至5E圖是根據第4圖的方法的一些實施例來說 明浮動閑極的製造階段。 第 6 願 圖是根據本發明的一此實施例來說明形成一浮動 閘極的方沐二 乃去流程圖。 第 7A $ 7T^ ι 7D圖是根據第6圖的方法的一些實施例來說 112 201142936 明浮動閘極的製造階段。 第8A至8B圖是根據第6圖的方法的一些實施例來說 明浮動閘極的製造階段。 第9圖是根據本發明的一些實施例來說明氧化物厚度 與時間的示意圖。 第10A至10D圖是根據本發明的一些實施例來說明浮 動閘極的製造階段。 第11A至11C圖是根據本發明的一些實施例來說明結 構的製造階段》 第1 2圖是根據本發明的一些實施例來說明一示例性 製程腔室。 第13 A圖是根據本發明的一些實施例來說明第一示例 性經改良的電漿製程腔室。 第13B圖是根據數個實施例來說明可使用在腔室中的 基板支撐件冷卻系統的—示例性實施例。 第14圖是根據本發明的—些實施例來說明第二示例 性經改良的電漿製程腔室。 第1 5圖是根據本發明的—些實施例來說明第三示例 性經改良的電漿製程腔室。 第16圖是根據—或多個實施例的腔室來說明用於加 熱材料表面的光源系統。 第1 7圖疋根據—或多個實施例來更詳細說明第丨6圖 的光源系統,該央调灸I Jr -T- 尤原系統可用於加熱材料表面。 第1 8圖疋根據本發明的一實施例來說明一經改良的 113 201142936 腔室’該腔室用於執行据产= 订備裱氧化與蝕刻。 第19圖說明第18圖的脱〜 興的腔室頂部。 第20圖說明第18圖的胁〜+ _的腔室底部。 第21圖是根據一或客 夕個實施例來說明經改良的快速 熱製程腔室。 第22圖說明使用在第〇1153_ 丄 系21圖腔室中的氣體分配板。 這些圖式已經簡化以 例來繪示該些圖式。為 元件符號來描述圖式中 達清楚表達之目的,並且未按比 了幫助理解,盡可能使用相同的 所共有的相同元件。應理解到, 一個實施例中的相同 【主要元件符號說明】 1〇〇 記憶體元件 103 單元 105 單元 107 單元 1〇9 第一寬度 m 第二寬度 113 主幹 115 底面 202 步驟 元件可有利地併入其他實施例中。 102 基板 104 氧化物層 106 浮動閘極 108 淺溝槽絕緣區域(STI區域) 110 内複晶矽介電層 112 控制閘極層 114 壁 200 方法 204 步驟 114 201142936 206 步驟 300 記憶體元件 302 淺溝槽絕緣區域(STI區域)304 材料層 306 氧化物層 400 方法 402 步驟 404 步驟 406 步驟 408 步驟 502 氮化物層 504 氮氧化物層 506 氧化物層 600 方法 602 步驟 604 步驟 606 步驟 608 步驟 610 步驟 612 步驟 700 記憶體元件 702 材料層 704 第一氧化物層 706 第二氧化物層 1000 等温線 1002 第一週期 1004 第一氧化物層厚度 1006 第二週期 1008 第二氧化物層厚度 1010 等溫線 1100 記憶體元件 1102 材料層 1103 頂部表面 1104 淺溝槽絕緣區域(STI區域) 1105 頂部表面 1106 氧化物層 1108 IPD層 1110 導電層 1200 圖案化結構 1202 材料層 1203 上表面 1204 基板 115 201142936 1206 遮罩層 1208 層 1210 非矽層 1212 側壁 1214 氧化物層 1216 凸起部分 1300 製程腔室 1302 基板支撐件 1303 基板 1304 氣體源 1306 電漿源 1308 加熱源 1310 系統控制器 1400 電漿反應器 1410 製程腔室 1412 圓柱形側壁 1414 頂棚 1416 線圈天線 1418 阻抗匹配網路 1420 RF功率產生器 1422 閘極 1424 基板支撐基座 1426 基板 1428 氣體注入糸統 1430 真空幫浦 1432 氧化氣體儲槽 1434 加熱器 1434A内部加熱構件 1434B 外部加熱構件 1436 脈衝產生器 1438 節流閥 1440 離子產生區域 1442 還原氣體儲槽 1444 流動控制閥門 1446 流動控制閥門 1448 蝕刻氣體儲槽 1449 流動控制閥門 1450 喷淋頭 1451 開口 1452 冷卻劑供應 1454 反餽控制系統 1455 反餽控制迴路處理器 116 201142936 1456 閥門 1457 溫度感測器 1458 溫度探測器 1459 溫度探測器 1460 溫度探測器 1461 記憶體 1462 熱交換器 1463 蒸發器入口 1464 蒸發器出口 1465 儲存器 1466 壓縮器 1467 冷凝器 1468 膨脹閥門 1469 旁通閥門 1470 旁通閥門 1472 反傀控制迴路處理器 1474 使用者介面 1476 主要處理器 1478 RF偏壓產生器 1480 RF偏壓阻抗匹配元件 1482 柵極 1486 氣體通道 1488 加壓氦氣供應器 1490 夾持器電壓來源 1500 快速熱處理設備 1502 電漿施加器 1503 主體 1504 能量來源 1505 管件 1506 製程腔室 1508 側壁 1510 底部壁面 1512 視窗組件 1514 光導管組件 1516 鎢絲素燈 1518 光導管 1520 基板 1522 支撐環 1524 石英圓柱 1526 反射器 1528 光纖探針 1530 氣體入口 117 201142936 1532 光導管 1540 管件 1542 入口件 1544 氣體入口 1546 氣體來源 1548a波導管 1548b 波導管 1550 三向閥 1551 控制閥 1552 氣體來源 1554 流動控制器 1555 控制訊號產生邏輯 1556 系統控制器 1557 記憶體 1559 處理器 1562 自由基出口 1564 電漿自由基 1566 能量來源入口 1568 磁控管 1570 虛擬負載 1572 自動調諧器 1600 反應器 1602 圓柱型真空腔室 1604 圓柱型側壁 1608 支撐基座 1610 半導體晶圓 1612 氣體分配板/喷淋頭 1614 氣體歧管 1616 氣體分配面板 1618 個別氣體供應器 1620 真空幫浦 1622 抽取環狀空間 1624 製程區域 1626 凹角導管 1628 凹角導管 1630 末端 1632 D. C.絕緣環 1634 環形磁圈 1636 激磁線圈 1638 RF來源功率產生器 1640 阻抗匹配元件 1642 RF偏壓產生器 118 201142936 1644 阻抗 匹 配 電路 1646 崁 入 電 極 1648 絕緣 板 1720 基板 1722 載台 1724 系 統控 制 器 1726 短波 長 雷 射 1728 光 束 1730 第一 光 學 器件 1732 反 射 器 1734 激發 光 束 1740 長 波 長 雷 射 1742 光束 1744 第 二 光 學 器件 1746 第二 反 射 器 1748 加 熱 光 束 1750 光源 1752 致 動 器 1800 製程 腔 室 1801 腔 室 主 體 1802 通道 1803 開 σ 1804 真空 幫 浦 1805 即 流 閥 1806 抽取通道 1807 真 空 埠 1808 襯墊 1809 孔 洞 1810 製程 1811 狹 縫 閥 門 開口 1820 支撐 組 件 1821 邊 緣 環 1822 支撐 件 1823 頂 端 平 板 1824 通孔 1825 真 空 管 道 1826 軸件 1827 凹 槽 1828 環形 升 降 環 1829 鑽 孔 1830 升降 銷 1831 升 降 機 構 119 201142936 1832 乾式蝕刻處理器 1833 淨化氣體通道 1834 淨化氣體管道 1835 液流通道 1836 熱傳輸管道 1840 蓋組件 1841 第一電極 1842 氣體入口 1843 上部部分 1844 功率來源 1846 擴充部分 1847 上部部分 1848 下部部分 1849 電漿凹部 1850 内直徑 1851 絕緣體環 1852 第二電極 1853 頂端平板 1854 凹陷部分 1855 0-型環 1856 孔洞 1857 0-型環狀密封件 1858 分配平板 1859 環形安裝凸緣 1860 加熱構件 1861 孔洞 1862 阻礙平板 1863 孔洞 1864 蓋邊緣 1865 通道 1890 .氧化氣體供應器 1892 氣體入口 1894 還原氣體供應器 1896 還原氣體入口 2100 製程腔室 2102 腔室主體 2104 基板支撐件 2106 輻射熱源 2108 壁面 2110 底部 2112 頂部 2114 石英視窗 120 201142936 2116 感測器 2117 溫度偵測器 2118 定子組件 2120 内部容積 2122 致動組件 2124 系統控制器 2126 記憶體 2128 支持電路 2130 中央處理單元 2132 導程螺桿 2134 凸緣 2136 聯結件 2138 馬達 2140 基板 2144 升降銷 2148 基板出入口 2158 螺帽 2160 蜂巢狀管 2164 氛圍控制系統 2168 驅動線圈組件 2170 懸吊線圈組件 2180 冷卻區塊 2181A 入口 2181B 出口 2182 冷卻劑'來源 2183 第二冷卻劑來源 2184 冷卻劑通道 2186 液流來源 2190 外殼 2192 遠端電漿來源 2194 分配喷管 2196 氧化氣體供應器 2198 蝕刻氣體供應器 2200 反射板 2201 頂部部分 2202氣體導入系統 2203 面 2204第一氣體導入埠 2206 第二氣體導入埠 2208氣體混合腔室 2212 氣流通道 2213阻礙板 121 201142936 2214 氣體溝槽 2216 開口 122In a variation of the fourth embodiment, it is only by the heating example. In the first hot spot between the reflectors, between. In a variation of the fourth embodiment, the source of the distal plasma is in fluid communication with the button gas containing the fluorine-containing gas. In another variation of the fourth embodiment, the chamber includes an elongated nozzle for transporting the etched plasma product into the chamber. The chamber includes a plurality of elongated nozzles radially disposed about the chamber for transporting the etched plasma product into the chamber. In another variation of the fourth embodiment, the cooling system includes a reflective plate that is combined with a gas distribution outlet for evenly distributing gas over the substrate while allowing rapid and controlled substrate heating and cooling. In still another variation of the fourth embodiment, the apparatus includes a lift pin for selectively contacting and supporting the substrate while moving the substrate toward the reflector and moving away from the reflector. In another variation of the fourth embodiment, the apparatus includes a stator assembly coupled to the substrate support for moving the substrate being processed toward the plate and moving away from the plate. The stator assembly can be magnetically coupled to the substrate support. In a particular configuration of the fourth embodiment, at least one of the stator assembly and the lift pin 110 201142936 cooperates with a cooling system for moving the substrate support adjacent the reflector to cool the substrate. In another particular configuration of the fourth embodiment, the control system is configured to circulate the cooling system for a period of time between the first temperature and the second temperature for less than about 3 minutes. In yet another variation, the apparatus is configured to perform an oxidation process by photochemical oxidation. Thus, a semiconductor element suitable for narrow pitch applications and a method of manufacturing the same are described herein. The apparatus described herein can be used to fabricate semiconductor components having a floating gate configuration suitable for narrow pitch applications, such as at component nodes of 32 nm or less. The component nodes of the examples are less than or equal to about 3 〇 nm, less than or equal to about 25 nm, less than or equal to about 20 nm, less than or equal to about 15 nm, less than or equal to about 13 nm. The semiconductor component includes, for example, NAnd and NOR flash memory components. The floating gate arrangement provided herein advantageously provides several semiconductor components having maintained or improved sidewall capacitance between the floating gate and the control gate, and adjacent floating gates in the component Reduced interference or noise between the poles. Furthermore, the apparatus for performing the methods disclosed herein can advantageously form semiconductor components while limiting undesired processes 'eg, oxygen diffusion, for example, 'helium gas diffusion will increase the tunneling oxide layer of the inventive elements. Thickness This method can be advantageously applied to the fabrication of other components or structures, such as FmFET components or hard mask structures, to overcome the critical size limitations imposed by conventional lithographic patterning. While the foregoing is a description of the embodiments of the present invention, other and further embodiments may be made without departing from the basic scope of the invention. BRIEF DESCRIPTION OF THE DRAWINGS A more particular description of the invention, and a further summary of the invention may be understood by reference to the embodiments illustrated in the accompanying drawings. However, it is to be understood that the drawings illustrate only typical embodiments of the invention and are not to be construed as limiting the scope of the invention. Figure 1 illustrates a semiconductor structure having floating gates fabricated using methods and apparatus of some embodiments of the present invention. Figure 2 is a flow chart illustrating a method of forming a floating gate in accordance with some embodiments of the present invention. Figures 3A through 3C are diagrams showing the manufacturing phase of the slamming gate according to some embodiments of the method of Figure 2. Figure 4 is a flow chart illustrating a method of forming a floating idler in accordance with some embodiments of the present invention. Figures 5A5 through 5E are diagrams showing the manufacturing stages of a floating idler in accordance with some embodiments of the method of Figure 4. Fig. 6 is a flow chart showing the formation of a floating gate in accordance with an embodiment of the present invention. The 7A$7T^ι 7D diagram is a stage of manufacture of the floating gate according to some embodiments of the method of FIG. Figures 8A through 8B are diagrams showing the fabrication phase of a floating gate in accordance with some embodiments of the method of Figure 6. Figure 9 is a schematic illustration of oxide thickness versus time in accordance with some embodiments of the present invention. Figures 10A through 10D are diagrams illustrating the fabrication stages of a floating gate in accordance with some embodiments of the present invention. 11A through 11C are diagrams illustrating the fabrication stages of a structure in accordance with some embodiments of the present invention. Figure 12 is an illustration of an exemplary process chamber in accordance with some embodiments of the present invention. Figure 13A is a diagram illustrating a first exemplary improved plasma processing chamber in accordance with some embodiments of the present invention. Figure 13B is an illustration of an exemplary embodiment of a substrate support cooling system that can be used in a chamber in accordance with several embodiments. Figure 14 is a diagram illustrating a second exemplary improved plasma processing chamber in accordance with some embodiments of the present invention. Figure 15 is a diagram illustrating a third exemplary improved plasma processing chamber in accordance with some embodiments of the present invention. Figure 16 is a diagram illustrating a light source system for heating a surface of a material in accordance with a chamber of one or more embodiments. The light source system of Fig. 6 is illustrated in more detail in accordance with - or a plurality of embodiments, which can be used to heat the surface of a material. Figure 18 illustrates an improved 113 201142936 chamber 'for use in performing the production of 裱 裱 oxidation and etching, in accordance with an embodiment of the present invention. Figure 19 illustrates the top of the chamber of Figure 18. Figure 20 illustrates the bottom of the chamber of the flank ~ + _ of Figure 18. Figure 21 is a diagram illustrating an improved rapid thermal processing chamber in accordance with one or more embodiments. Figure 22 illustrates the use of a gas distribution plate in the chamber of the first step 1153. These drawings have been simplified by way of example to illustrate the figures. The purpose of the figure is clearly expressed for the purpose of the symbol, and the same common elements are used as much as possible. It should be understood that the same [main element symbol description] in one embodiment 1 memory element 103 unit 105 unit 107 unit 1 〇 9 first width m second width 113 trunk 115 bottom surface 202 step elements can be advantageously incorporated In other embodiments. 102 substrate 104 oxide layer 106 floating gate 108 shallow trench isolation region (STI region) 110 inner polysilicon dielectric layer 112 control gate layer 114 wall 200 method 204 step 114 201142936 206 step 300 memory component 302 shallow trench Slot Insulation Area (STI Area) 304 Material Layer 306 Oxide Layer 400 Method 402 Step 404 Step 406 Step 408 Step 502 Nitride Layer 504 Nitride Layer 506 Oxide Layer 600 Method 602 Step 604 Step 606 Step 608 Step 610 Step 612 Step 700 Memory element 702 Material layer 704 First oxide layer 706 Second oxide layer 1000 Isotherm 1002 First period 1004 First oxide layer thickness 1006 Second period 1008 Second oxide layer thickness 1010 Isotherm 1100 Memory element 1102 material layer 1103 top surface 1104 shallow trench isolation region (STI region) 1105 top surface 1106 oxide layer 1108 IPD layer 1110 conductive layer 1200 patterned structure 1202 material layer 1203 upper surface 1204 substrate 115 201142936 1206 mask layer 1208 layer 1210 non-layer 1212 sidewall 1214 oxide layer 1216 raised portion 1300 Process Chamber 1302 Substrate Support 1303 Substrate 1304 Gas Source 1306 Plasma Source 1308 Heat Source 1310 System Controller 1400 Plasma Reactor 1410 Process Chamber 1412 Cylindrical Side Wall 1414 Ceiling 1416 Coil Antenna 1418 Impedance Matching Network 1420 RF Power Generator 1422 Gate 1424 Substrate Support Base 1426 Substrate 1428 Gas Injection System 1430 Vacuum Pump 1432 Oxidation Gas Reservoir 1434 Heater 1434A Internal Heating Member 1434B External Heating Member 1436 Pulse Generator 1438 Throttle 1440 Ion Generation Region 1442 Reducing gas reservoir 1444 Flow control valve 1446 Flow control valve 1448 Etching gas reservoir 1449 Flow control valve 1450 Sprinkler 1451 Opening 1452 Coolant supply 1454 Feedback control system 1455 Feedback control loop processor 116 201142936 1456 Valve 1457 Temperature sensor 1458 temperature detector 1459 temperature detector 1460 temperature detector 1461 memory 1462 heat exchanger 1463 evaporator inlet 1464 evaporator outlet 1465 reservoir 1466 compressor 1467 condenser 1468 expansion valve 1469 Through Valve 1470 Bypass Valve 1472 Reverse Loop Control Loop Processor 1474 User Interface 1476 Main Processor 1478 RF Bias Generator 1480 RF Bias Impedance Matching Element 1482 Gate 1486 Gas Channel 1488 Pressurized Helium Supply 1490 Clamp Voltage source 1500 Rapid heat treatment equipment 1502 Plasma applicator 1503 Body 1504 Energy source 1505 Pipe fitting 1506 Process chamber 1508 Side wall 1510 Bottom wall 1512 Window assembly 1514 Light pipe assembly 1516 Tungsten filament lamp 1518 Light pipe 1520 Substrate 1522 Support ring 1524 Quartz Cylinder 1526 reflector 1528 fiber probe 1530 gas inlet 117 201142936 1532 light pipe 1540 pipe fitting 1542 inlet piece 1544 gas inlet 1546 gas source 1548a waveguide 1548b waveguide 1550 three-way valve 1551 control valve 1552 gas source 1554 flow controller 1555 control signal Generate Logic 1556 System Controller 1557 Memory 1559 Processor 1562 Free Radical Outlet 1564 Plasma Free Radical 1566 Energy Source Inlet 1568 Magnetron 1570 Virtual Load 1572 Auto Tuner 1600 Reactor 1602 Cylindrical True Chamber 1604 cylindrical sidewall 1608 support pedestal 1610 semiconductor wafer 1612 gas distribution plate / shower head 1614 gas manifold 1616 gas distribution panel 1618 individual gas supply 1620 vacuum pump 1622 extraction annular space 1624 process area 1626 concave angle conduit 1628 Concave angle conduit 1630 End 1632 DC insulation ring 1634 Ring magnetic ring 1636 Excitation coil 1638 RF source power generator 1640 Impedance matching element 1642 RF bias generator 118 201142936 1644 Impedance matching circuit 1646 Intrusion electrode 1648 Insulation board 1720 Substrate 1722 Stage 1724 System Controller 1726 Short-wavelength laser 1728 Beam 1730 First optics 1732 Reflector 1734 Excitation beam 1740 Long-wavelength laser 1742 Beam 1744 Second optics 1746 Second reflector 1748 Heating beam 1750 Light source 1752 Actuator 1800 Process Chamber 1801 Chamber Body 1802 Channel 1803 Open σ 1804 Vacuum Pump 1805 Flow Valve 1806 Extraction Channel 1807 Vacuum 埠 1808 Pad 1809 Hole 1810 Process 1811 Slit Valve Opening 1820 Support Assembly 1821 Edge Ring 1822 Support 1823 Top Plate 1824 Through Hole 1825 Vacuum Pipe 1826 Shaft 1827 Groove 1828 Ring Lift Ring 1829 Drill 1830 Lift Pin 1831 Lifting Mechanism 119 201142936 1832 Dry Etching 1833 Purified gas channel 1834 Purified gas line 1835 Flow channel 1836 Heat transfer line 1840 Cap assembly 1841 First electrode 1842 Gas inlet 1843 Upper part 1844 Power source 1846 Expansion section 1847 Upper part 1848 Lower part 1849 Plasma recess 1850 Internal diameter 1851 Insulator ring 1852 Second electrode 1853 Top plate 1854 Recessed part 1855 0-ring 1856 Hole 1857 0-ring seal 1858 Distribution plate 1859 Ring mounting flange 1860 Heating member 1861 Hole 1862 Obstruction plate 1863 Hole 1864 Cover edge 1865 Channel 1890. Oxidizing gas supply 1892 Gas inlet 1894 Reducing gas supply 1896 Reducing gas inlet 2100 Process chamber 2102 Chamber body 2104 Substrate branch 2106 Radiant heat source 2108 Wall 2110 Bottom 2112 Top 2114 Quartz window 120 201142936 2116 Sensor 2117 Temperature detector 2118 Stator assembly 2120 Internal volume 2122 Actuating assembly 2124 System controller 2126 Memory 2128 Support circuit 2130 Central processing unit 2132 Guide Screw 2134 Flange 2136 Coupling 2138 Motor 2140 Substrate 2144 Lifting pin 2148 Substrate access 2158 Nut 2160 Honeycomb tube 2164 Atmosphere control system 2168 Drive coil assembly 2170 Suspension coil assembly 2180 Cooling block 2181A Inlet 2181B Outlet 2182 Coolant ' Source 2183 Second Coolant Source 2184 Coolant Channel 2186 Flow Source 2190 Housing 2192 Remote Plasma Source 2194 Distribution Nozzle 2196 Oxidation Gas Supply 2198 Etching Gas Supply 2200 Reflector 2201 Top Section 2202 Gas Introduction System 2203 Face 2204 First gas introduction port 2206 second gas introduction port 2208 gas mixing chamber 2212 air flow channel 2213 obstruction plate 121 201142936 2214 gas groove 2216 opening 122

Claims (1)

201142936 七、申請專利範圍: 1. 一種用於在一材料層上執行一循環氧化與蝕刻製程 的設備,該設備包含: 一製程腔室,一腔室主體,該腔室主體具有複數個壁 . 面’該複數個壁面於該製程腔室中界定—製程區域,該 製程腔室包含一基板支撐件用以將具有—材料層的一基 板固定在該製程區域中; 一蓋組件’該蓋組件設置在該腔室主體的一上表面, 該蓋組件包含一第一電極與一第二電極於該第一電極 與該第二電極之間界定一電漿凹部,其中加熱該第二電 極且該第二電極適用於加熱該基板; 一含氧氣體供應器、一惰性氣體供應器以及一蝕刻氣 體供應$,與該製程腔室以及該蓋組件的至少一者流體 連通,以輸㈣含氧氣體、該惰性氣體與該㈣氣體進 入該製程腔室與該蓋組件的其中一者; :加熱系統’用以加熱該腔室中的該基板至高於約 100 °c的一第一溫度; 一冷卻系統’心冷卻該腔室巾的職板至低於該第 一溫度的一第二溫度;以及 控制系統’用以將該腔室中的該基板於該第一溫度 • 與該第二溫度之間循環。 2. 如申請專利範圍第 1項所述的設備,其中該氧化氣體 123 201142936 與該蓋組件流體連通’用以形成—氡化電“處理該材 料層。 X 3.如申請專利範圍第1項所述的設備,其中該蝕刻氣體 與該蓋組件流體連通,用以形成^刻電漿而處理該材 料層。 4. 如申請專利範圍第3項所述的設備,其中該姓刻氣體 包含一含氟氣體。 5. 如申請專利範圍第4項所述的設備,其中該蝕刻氣體 包含乱氣以及一或多種的氨三氟化氮氣體與無 水的氟化氫(HF)。 6. 如申請專利範圍第5項所述的設備,其中該基板支撐 件適用於在該腔室主體中垂直移動,用以在一氧化製程 期間將該基板定位在接近該第二電極的一加熱位置中, 以及在一蝕刻製程期間將該基板定位在遠離該第二電極 的一触刻位置中β 7·如申請專利範圍第1項所述的設備,其中該基板支撐 件包含一接收表面,該基板支撐件適用於支撐該基板於 該接收表面上,其中將該接收表面設置在一軸件上,該 軸件耦接至一升降機構。 124 201142936 8. 如申請專利範園第7項所述的設備’其中該升降機構 適用於在該腔室主體中垂直移動該接收表面,用以在一 氧化製程期間將該基板定位在接近該第二電極的一加熱 位置中’以及在一蝕刻製程期間將該基板定位在遠離該 第二電極的一蝕刻位置中。 9. 如申請專利範圍第8項所述的設備,其中該基板支撐 件包3或夕個氣體通道,在該一或多個氣體通道的一 末端與該接收表面流體連通,且在該一或多個氣體通道 的一第二末端與一淨化氣體來源或真空來源流體連通。 10. 如申請專利範圍第9項所述的設備,其中該接收表 面包3 -或多個凹陷通道,該凹陷通道形成在該接收表 面的一上表面。 η·如申請專利範圍第8項所述的設備,其中該軸件包 含-或多個炭入式氣體導管,該提入式氣體導管適用於 輸送一或多種液流至該氣體通道。 12·如申請專利範圍第 個崁入式氣體導管適用 液流通道。 11項所述的設備,其中該一或多 於輪送一加熱媒介至該一或多個 125 201142936 1 3 .如申請專利範圍第 個崁入式導管適用於輸 道0 11項所述的設備,其中該一或多 送~~冷卻劑至該或多個液流通 14.如申請專利範圍第 阳乐1項所述的設備,其中配置該加 熱系統與該冷卻系統在少於从 ^ /於約3分鐘的〆時間週期内在 該第-溫度與該第二溫度之間循環。 如申叫專利範圍第丄項所述的設備,其中該冷卻系 3噴淋碩’該噴淋頭設置在該腔室中且與該基板 支樓件相鄰’該噴淋頭與-冷卻液流連通。 16·如申請專利範圍第15項戶斤述的設備,其中該加熱系 統包含-光源與—電阻加熱器的至少一普。 17.如申请專利範圍第15項所述的設備,其中該電阻加 熱器設置在該基板支撐件中。 18·如申請專利範圍第。項 其中該電阻加 熱器設置在該嘴淋頭中。这的又備 19.如申凊專利範圍第1項所述的設備,其中該加熱系 ^ 3光源,設置該光源使得由該光源所放射的光能 以入射角與該材料表面接觸,該入射角最佳化該被處 126 201142936 理材料的吸收。 20.如申請專利範圍第19項所述的設備,其中該入射角 為該被處理材料層的一布魯斯特角度。 127201142936 VII. Patent application scope: 1. A device for performing a cyclic oxidation and etching process on a material layer, the device comprising: a process chamber, a chamber body, the chamber body having a plurality of walls. Forming a plurality of walls defining a process area in the process chamber, the process chamber including a substrate support for securing a substrate having a material layer in the process area; a cover assembly 'the cover assembly An upper surface of the chamber body, the cover assembly includes a first electrode and a second electrode defining a plasma recess between the first electrode and the second electrode, wherein the second electrode is heated and a second electrode adapted to heat the substrate; an oxygen-containing gas supply, an inert gas supply, and an etch gas supply $ in fluid communication with at least one of the process chamber and the lid assembly to deliver (IV) an oxygen-containing gas And the inert gas and the (four) gas enter one of the process chamber and the cover assembly; the heating system 'heats the substrate in the chamber to a temperature higher than about 100 ° C a first temperature; a cooling system 'heart cooling the chamber of the chamber towel to a second temperature below the first temperature; and a control system' for using the substrate in the chamber at the first temperature Circulates with the second temperature. 2. The apparatus of claim 1, wherein the oxidizing gas 123 201142936 is in fluid communication with the lid assembly to "form" the material layer. X 3. As claimed in claim 1 The apparatus, wherein the etching gas is in fluid communication with the lid assembly for forming a plasma to treat the material layer. 4. The apparatus of claim 3, wherein the gas of the surname contains a gas 5. The apparatus of claim 4, wherein the etching gas comprises a gas and one or more ammonia trifluoride gas and anhydrous hydrogen fluoride (HF). The device of item 5, wherein the substrate support is adapted to move vertically in the chamber body for positioning the substrate in a heated position proximate the second electrode during an oxidation process, and Positioning the substrate in a squeezing position away from the second electrode during the etching process, wherein the substrate support comprises a receiving surface, wherein the substrate support comprises a receiving surface, The plate support is adapted to support the substrate on the receiving surface, wherein the receiving surface is disposed on a shaft member coupled to a lifting mechanism. 124 201142936 8. As described in claim 7 Apparatus [wherein the lifting mechanism is adapted to vertically move the receiving surface in the chamber body for positioning the substrate in a heated position proximate the second electrode during an oxidation process" and during an etching process The substrate is positioned in an etched position away from the second electrode. 9. The device of claim 8 wherein the substrate support package 3 or the evening gas channel is in the one or more gas channels One end of the apparatus is in fluid communication with the receiving surface and is in fluid communication with a source of a purge gas or a vacuum source at a second end of the one or more gas passages. The receiving surface includes three or more recessed channels formed on an upper surface of the receiving surface. η. The device of claim 8, wherein The shaft member comprises - or a plurality of char-in gas conduits adapted to deliver one or more streams to the gas passage. 12. The first intrusive gas conduit is adapted to the flow passage as claimed in the patent application. The apparatus of item 11, wherein the one or more of a heating medium is transferred to the one or more 125 201142936 1 3 . The first intrusive conduit is applicable to the apparatus described in the lane 0 11 as claimed in the patent application. Wherein the one or more coolants are delivered to the one or more liquid streams. 14. The apparatus of claim 1, wherein the heating system is configured with the cooling system at less than The cycle between the first temperature and the second temperature is cycled for a period of about 3 minutes. The apparatus of claim 2, wherein the cooling system 3 is sprayed in the chamber and is adjacent to the substrate support member. The shower head and the coolant Flow communication. 16. The apparatus of claim 15, wherein the heating system comprises at least one of a light source and a resistance heater. 17. The apparatus of claim 15 wherein the resistance heater is disposed in the substrate support. 18·If you apply for a patent scope. Wherein the resistance heater is disposed in the nozzle. The apparatus of claim 1, wherein the heating system is configured to cause the light source emitted by the light source to contact the surface of the material at an incident angle, the incident being The angle is optimized for the absorption of the material 126 201142936. 20. The apparatus of claim 19, wherein the angle of incidence is a Brewster angle of the layer of material being processed. 127
TW100106947A 2010-03-10 2011-03-02 Apparatus and methods for cyclical oxidation and etching TWI566292B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/720,957 US20110061810A1 (en) 2009-09-11 2010-03-10 Apparatus and Methods for Cyclical Oxidation and Etching

Publications (2)

Publication Number Publication Date
TW201142936A true TW201142936A (en) 2011-12-01
TWI566292B TWI566292B (en) 2017-01-11

Family

ID=43729313

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100106947A TWI566292B (en) 2010-03-10 2011-03-02 Apparatus and methods for cyclical oxidation and etching

Country Status (6)

Country Link
US (1) US20110061810A1 (en)
JP (1) JP5922041B2 (en)
KR (1) KR101773373B1 (en)
CN (2) CN102792426B (en)
TW (1) TWI566292B (en)
WO (1) WO2011112812A2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI473157B (en) * 2012-08-14 2015-02-11 Univ Nat Central Method of manufacturing silicon substrate with well-ordered structure
TWI497590B (en) * 2012-10-17 2015-08-21 Psk Inc Method for treating substrate
TWI512822B (en) * 2012-10-17 2015-12-11 Psk Inc Substrate handling method
TWI564957B (en) * 2013-07-11 2017-01-01 Glass substrate etching method
TWI704636B (en) * 2019-03-08 2020-09-11 大陸商瀋陽拓荊科技有限公司 Wafer processing device
TWI798282B (en) * 2017-11-13 2023-04-11 美商應用材料股份有限公司 Clog detection in a multi-port fluid delivery system
TWI826845B (en) * 2020-10-19 2023-12-21 美商應用材料股份有限公司 Multi-pressure bipolar electrostatic chucking

Families Citing this family (564)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7279721B2 (en) 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US8021521B2 (en) * 2005-10-20 2011-09-20 Applied Materials, Inc. Method for agile workpiece temperature control in a plasma reactor using a thermal model
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
CN102017101B (en) * 2008-05-02 2014-06-04 应用材料公司 System for non radial temperature control for rotating substrates
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20130089968A1 (en) * 2010-06-30 2013-04-11 Alex Usenko Method for finishing silicon on insulator substrates
GB2485985B (en) * 2010-11-30 2015-07-22 Powerphotonic Ltd Laser pulse generation method and apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120309115A1 (en) * 2011-06-02 2012-12-06 Applied Materials, Inc. Apparatus and methods for supporting and controlling a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103123906A (en) * 2011-11-18 2013-05-29 中芯国际集成电路制造(北京)有限公司 Reaction device for processing wafer, electrostatic chuck and wafer temperature control method
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
CN103137517B (en) * 2011-11-25 2016-08-03 中芯国际集成电路制造(北京)有限公司 For processing the reaction unit of wafer, electrostatic chuck and wafer temperature control method
JP5973731B2 (en) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 Plasma processing apparatus and heater temperature control method
US8939760B2 (en) * 2012-02-09 2015-01-27 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
WO2013122874A1 (en) * 2012-02-13 2013-08-22 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US9530620B2 (en) * 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (en) 2012-05-02 2018-05-01 Asm智慧財產控股公司 Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
KR101707295B1 (en) 2012-05-23 2017-02-15 도쿄엘렉트론가부시키가이샤 Oxide etching method
US8673738B2 (en) 2012-06-25 2014-03-18 International Business Machines Corporation Shallow trench isolation structures
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
EP2948967B1 (en) * 2013-01-23 2018-10-31 United Technologies Corporation Capacitive probe fabricating from spray deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI683382B (en) * 2013-03-15 2020-01-21 應用材料股份有限公司 Carousel gas distribution assembly with optical measurements
KR102109644B1 (en) * 2013-03-26 2020-05-12 주성엔지니어링(주) Method and apparatus for processing substrate
EP3003548A4 (en) * 2013-05-28 2017-03-01 Applied Light Technologies Inc. Apparatus for thermal treatment of an inner surface of a tubular or other enclosed structure
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9748118B2 (en) * 2013-07-31 2017-08-29 Semes Co., Ltd. Substrate treating apparatus
US10037869B2 (en) * 2013-08-13 2018-07-31 Lam Research Corporation Plasma processing devices having multi-port valve assemblies
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US20150079799A1 (en) * 2013-09-17 2015-03-19 Applied Materials, Inc. Method for stabilizing an interface post etch to minimize queue time issues before next processing step
KR101862632B1 (en) 2013-09-25 2018-05-31 캐논 아네르바 가부시키가이샤 Production method and production system for magnetoresistance element
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9070538B2 (en) * 2013-10-25 2015-06-30 Varian Semiconductor Equipment Associates, Inc. Pinched plasma bridge flood gun for substrate charge neutralization
JP6043968B2 (en) 2013-10-30 2016-12-14 パナソニックIpマネジメント株式会社 Plasma processing method and electronic device manufacturing method
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9299577B2 (en) * 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US10006717B2 (en) 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9472415B2 (en) 2014-04-30 2016-10-18 International Business Machines Corporation Directional chemical oxide etch technique
CN103972161B (en) * 2014-05-08 2017-05-24 上海华力微电子有限公司 SiCoNi etching method for through-silicon-via morphology correction
US20150332942A1 (en) * 2014-05-16 2015-11-19 Eng Sheng Peh Pedestal fluid-based thermal control
CN110724938B (en) * 2014-05-16 2022-02-22 应用材料公司 Spray head design
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9972477B2 (en) * 2014-06-28 2018-05-15 Applied Materials, Inc. Multiple point gas delivery apparatus for etching materials
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9753463B2 (en) * 2014-09-12 2017-09-05 Applied Materials, Inc. Increasing the gas efficiency for an electrostatic chuck
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR101598465B1 (en) 2014-09-30 2016-03-02 세메스 주식회사 Apparatus and method for treating a subtrate
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10204764B2 (en) * 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN104465325A (en) * 2014-11-28 2015-03-25 上海华力微电子有限公司 Method for improving evenness of critical size of active area
CN104465323A (en) * 2014-11-28 2015-03-25 上海华力微电子有限公司 Method for reducing key dimension of active region
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
TWI632607B (en) * 2015-01-26 2018-08-11 東京威力科創股份有限公司 Method and system for high precision etching of substrates
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104992928B (en) * 2015-05-15 2018-02-27 上海华力微电子有限公司 A kind of method of active area critical size difference between improvement different chips
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
KR101730147B1 (en) * 2015-07-23 2017-05-12 피에스케이 주식회사 Apparatus and method for treating a substrate
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
CN106548936B (en) * 2015-09-23 2022-04-22 北京北方华创微电子装备有限公司 Method for etching metal layer
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106571293A (en) * 2015-10-09 2017-04-19 北京北方微电子基地设备工艺研究中心有限责任公司 Silicon chip etching method
TWI708131B (en) * 2015-10-09 2020-10-21 美商蘭姆研究公司 Plasma processing devices having multi-port valve assemblies
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6552380B2 (en) * 2015-10-28 2019-07-31 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102323389B1 (en) * 2016-03-02 2021-11-05 도쿄엘렉트론가부시키가이샤 Isotropic silicon and silicon-germanium etching with tunable selectivity
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11837479B2 (en) 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6817752B2 (en) 2016-09-09 2021-01-20 株式会社日立ハイテク Etching method and etching equipment
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
KR101870655B1 (en) * 2016-09-19 2018-08-03 세메스 주식회사 Apparatus for treatinf substrate
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
FR3057390B1 (en) * 2016-10-11 2018-12-07 Soitec VERTICAL OVEN WITH CONTAMINANT TRAPPING DEVICE
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10777442B2 (en) * 2016-11-18 2020-09-15 Applied Materials, Inc. Hybrid substrate carrier
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102587615B1 (en) * 2016-12-21 2023-10-11 삼성전자주식회사 Temperature controller of a plasma-processing apparatus and plasma-processing apparatus including the same
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
JP7109165B2 (en) * 2017-05-30 2022-07-29 東京エレクトロン株式会社 Etching method
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR102493945B1 (en) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Deposition radial and edge profile tenability through independent control of teos flow
US10242882B2 (en) 2017-06-12 2019-03-26 International Business Machines Corporation Cyclic etch process to remove dummy gate oxide layer for fin field effect transistor fabrication
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10211302B2 (en) 2017-06-28 2019-02-19 International Business Machines Corporation Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts
US10243079B2 (en) 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
CN107507830B (en) * 2017-08-23 2020-07-31 上海华力微电子有限公司 Method for improving stability of floating gate parallel capacitance
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10607851B2 (en) 2017-08-25 2020-03-31 Micron Technology, Inc. Vapor-etch cyclic process
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TWI658489B (en) * 2017-09-14 2019-05-01 南韓商吉佳藍科技股份有限公司 Plasma substrate processing device including a rotatable electrostatic chuck and substrate processing method using the same
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (en) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Devices with clean compact zones
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
CN110323150B (en) * 2018-03-30 2021-12-31 上海微电子装备(集团)股份有限公司 Temperature control device
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN110391120B (en) * 2018-04-17 2022-02-22 北京北方华创微电子装备有限公司 Shower nozzle and plasma processing cavity
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
CN110660841B (en) * 2018-06-29 2023-03-21 台湾积体电路制造股份有限公司 Method for manufacturing semiconductor element
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN112823406B (en) * 2018-09-26 2024-03-12 应用材料公司 Thermally conductive spacers for plasma processing chambers
JP7008602B2 (en) * 2018-09-27 2022-01-25 東京エレクトロン株式会社 Film forming equipment and temperature control method
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (en) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
CN111593319B (en) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling recesses formed in a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
JP7223144B2 (en) * 2019-07-26 2023-02-15 富士フイルム株式会社 Spray device and spray application method
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US10950475B1 (en) 2019-08-20 2021-03-16 Applied Materials, Inc. Method and apparatus for processing a substrate using non-contact temperature measurement
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110581095B (en) * 2019-09-27 2021-12-24 中国科学院微电子研究所 Etching device and etching method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11424123B2 (en) * 2020-02-25 2022-08-23 Tokyo Electron Limited Forming a semiconductor feature using atomic layer etch
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
CN111341719B (en) * 2020-03-18 2023-04-14 北京北方华创微电子装备有限公司 Bearing device, semiconductor equipment and residual charge detection method
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TWI738401B (en) * 2020-07-03 2021-09-01 力鼎精密股份有限公司 Method for processing carrier board into ultra-high vacuum heating chamber and ultra-low temperature magnetron ion reactive etching cavity
TW202202649A (en) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR102607844B1 (en) * 2020-07-10 2023-11-30 세메스 주식회사 Apparatus for treating substrate and unit for supporting substrate
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
CN112458441B (en) * 2020-10-22 2022-09-16 北京北方华创微电子装备有限公司 Reaction chamber of semiconductor processing equipment and semiconductor processing equipment
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
CN114497089A (en) * 2020-11-11 2022-05-13 上海华力微电子有限公司 Method for improving HDP filling defect through STI etching process
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
WO2022212708A1 (en) * 2021-04-01 2022-10-06 The Trustees Of Princeton University Distributed non-equilibrium chemical and material synthesis using combined plasma activation and programed heating and quenching
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
JP7317083B2 (en) 2021-09-01 2023-07-28 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, program, and substrate processing method
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115346894B (en) * 2022-08-01 2023-10-24 北京屹唐半导体科技股份有限公司 Wafer heat treatment device

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4535228A (en) * 1982-12-28 1985-08-13 Ushio Denki Kabushiki Kaisha Heater assembly and a heat-treatment method of semiconductor wafer using the same
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
EP0628644B1 (en) * 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
DE4447145B4 (en) * 1994-12-29 2005-06-02 Hilti Ag Method and device for temperature monitoring in universal motors
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP4644943B2 (en) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 Processing equipment
KR101004173B1 (en) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 Film forming device
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
KR100431657B1 (en) * 2001-09-25 2004-05-17 삼성전자주식회사 Method and apparatus for processing a wafer, method and apparatus for etching a wafer
JP3586678B2 (en) * 2002-04-12 2004-11-10 エルピーダメモリ株式会社 Etching method
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
JP4513329B2 (en) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 Processing equipment
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4701691B2 (en) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 Etching method
KR100628888B1 (en) * 2004-12-27 2006-09-26 삼성전자주식회사 Apparatus for controlling temperature of a showerhead and apparatus for forming a layer having the same
US7279721B2 (en) * 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US20070224838A1 (en) * 2006-03-27 2007-09-27 Honeywell International Inc. Method of straining a silicon island for mobility improvement
JP5045000B2 (en) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 Film forming apparatus, gas supply apparatus, film forming method, and storage medium
JP5239155B2 (en) * 2006-06-20 2013-07-17 信越半導体株式会社 Method for manufacturing silicon wafer
US7732340B2 (en) * 2006-08-08 2010-06-08 Tokyo Electron Limited Method for adjusting a critical dimension in a high aspect ratio feature
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US7572734B2 (en) * 2006-10-27 2009-08-11 Applied Materials, Inc. Etch depth control for dual damascene fabrication process
US7595005B2 (en) * 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
JP5229711B2 (en) * 2006-12-25 2013-07-03 国立大学法人名古屋大学 Pattern forming method and semiconductor device manufacturing method
KR100951559B1 (en) * 2007-01-03 2010-04-09 주식회사 하이닉스반도체 Method for forming gate electrode of semiconductor device
JP2008244224A (en) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd Plasma treatment apparatus
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
KR100905278B1 (en) * 2007-07-19 2009-06-29 주식회사 아이피에스 Apparatus, method for depositing thin film on wafer and method for gap-filling trench using the same
JP2009088332A (en) * 2007-10-01 2009-04-23 Toshiba Corp Apparatus for manufacturing semiconductor, and method of manufacturing semiconductor device
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2009085672A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US8871645B2 (en) * 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI473157B (en) * 2012-08-14 2015-02-11 Univ Nat Central Method of manufacturing silicon substrate with well-ordered structure
TWI497590B (en) * 2012-10-17 2015-08-21 Psk Inc Method for treating substrate
TWI512822B (en) * 2012-10-17 2015-12-11 Psk Inc Substrate handling method
TWI564957B (en) * 2013-07-11 2017-01-01 Glass substrate etching method
TWI798282B (en) * 2017-11-13 2023-04-11 美商應用材料股份有限公司 Clog detection in a multi-port fluid delivery system
TWI704636B (en) * 2019-03-08 2020-09-11 大陸商瀋陽拓荊科技有限公司 Wafer processing device
TWI826845B (en) * 2020-10-19 2023-12-21 美商應用材料股份有限公司 Multi-pressure bipolar electrostatic chucking

Also Published As

Publication number Publication date
CN102792426B (en) 2016-08-17
KR20130016269A (en) 2013-02-14
US20110061810A1 (en) 2011-03-17
WO2011112812A3 (en) 2012-01-19
WO2011112812A2 (en) 2011-09-15
JP2013522883A (en) 2013-06-13
CN106057649B (en) 2019-10-01
CN106057649A (en) 2016-10-26
TWI566292B (en) 2017-01-11
CN102792426A (en) 2012-11-21
KR101773373B1 (en) 2017-08-31
JP5922041B2 (en) 2016-05-24

Similar Documents

Publication Publication Date Title
TW201142936A (en) Apparatus and methods for cyclical oxidation and etching
TWI525683B (en) Apparatus and methods for cyclical oxidation and etching
TWI517240B (en) Apparatus and methods for cyclical oxidation and etching
TWI557799B (en) Methods for oxidation of a semiconductor device
TWI605503B (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
TWI640040B (en) Methods for stabilizing an interface post etch to minimize queue time issues before next processing step
TW200402846A (en) Method for fabricating a notch gate structure of a field effect transistor
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material