TW200921787A - Dual gate oxide device integration - Google Patents

Dual gate oxide device integration Download PDF

Info

Publication number
TW200921787A
TW200921787A TW097129047A TW97129047A TW200921787A TW 200921787 A TW200921787 A TW 200921787A TW 097129047 A TW097129047 A TW 097129047A TW 97129047 A TW97129047 A TW 97129047A TW 200921787 A TW200921787 A TW 200921787A
Authority
TW
Taiwan
Prior art keywords
region
dielectric
forming
conductivity
gate dielectric
Prior art date
Application number
TW097129047A
Other languages
English (en)
Other versions
TWI453823B (zh
Inventor
Gauri V Karve
Srikanth B Samavedam
William J Taylor Jr
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Publication of TW200921787A publication Critical patent/TW200921787A/zh
Application granted granted Critical
Publication of TWI453823B publication Critical patent/TWI453823B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

200921787 九、發明說明: 【發明所屬之技術領域】 本發明大致上係關於半導體裝置,及特定言之,係關於 雙閘極氧化物裝置整合。 此申請案已於2007年9月7曰在美國以專利申請案第 11/851,719號提出申請。 【先前技術】 在許多實例中,雙閘極氧化物(DG〇)裝置必須被整合於 7同之基板上。如此處所使用,術語雙閘極氧化物裝置係 指形成於相同基板上 '但具有不同閘極氧化物厚度之裝 置。舉例而言,較厚之閘極氧化物裝置可形成於基板之周 邊上,因此其等可處理與輸入’輸出操作關聯之較高電 壓。較薄之閘極氧化物裝置可形成於基板中不存在較高電 壓的區域内。具有高介電常數(高k)之金屬閘極裝置越來越 需要可能不與用於形成雙間極氧化物裝置之現有方法相容 的材料。舉例而言’此種金屬閑極心介電裝置可能需要 矽化錯通道以降低與PM〇s裝置關聯之臨界電麼㈤。然 用以形成厚閘極氧化物裝置的習知之製程,諸如熱氧 t與石夕化鍺通道係不相容的。這是因為,若厚間極氧化 -長於⑪化錯之上,熱氧化步驟會導致 或閘極氧化物之不庫人古紅v抑 爾成主巷板 ^ s有何鍺的區域中。總而言之,用 作習知之雙閉極氧化物整合製程之部分的熱氧化步驟可使 矽化鍺通道之輪廓降級。 因此,需要有經改良之雙閘極氧化物裝置整合。 133I6I.doc 200921787 【發明内容】 雙間極氧化物裝置整合係針對包括-錢料道之電晶 體而描述。舉例而言,矽化鍺通道之使用有助於調整 PM〇S裝置的臨界電屋°碳化碎通道亦可用於NMOS裝 置。 樣中,知供了一種用於形成裝置之方法。該方法 。括在_導體基板中形成一第一區域及—第二區域。該
方法此外包括在该第一區域上形成一半導電材料,其中該 半導電材料具有—與該第-半導縣板不同之電性質。該 方去此外包括在該第一區域上形成一第一介電材料。該方 法此外包括在該第一介電材料上及在該第二區域上沉積一 第一 J電材料,其中該第二介電材料不同於該第一介電材 料該方法此外包括在該第二介電材料上沉積一問電極材 料。 在另一態樣中,提供了一種用於形成裝置之方法。該方 法包括在一半導體基板之一第一區域中形成_厚閘極介電 裝置,其中該厚閘極介電裝置具有一第一通道區域且該半 導體基板包含一第一材料。該方法此外包括在一半導體裝 置之一第二區域中形成一薄閘極介電裝置,其中該薄閘極 "電裝置具有一比該厚閘極電介質更薄之閘極電介質,該 薄閘極介電裝置具有一第二通道區域。形成該厚閘極介電 裝置及該薄閘極介電裝置此外包含:(丨)在該第一區域上磊 晶生長該第一通道區域,其中該第一通道區域包含一第二 材料,其中該第二材料不同於該第一材料;(2)在該第—通 133161.doc 200921787 道區域上形成—第—閘極電介質;(3)在該第-閘極電介質 ^第區域上开/成一第二閘極電介質;及(4)在該第二閘 極電介質上沉積一閘電極材料。 在又一態樣中,提供一種方法,其包括在一半導體基板 中形成-隔離區域,以在一半導體基板中形成一第一半導 體區域及—第二半導電區域。該方法此外包括在該第-半 導電區域上蟲晶生長—半導電材料,纟中該半導電材料包 含自鍺及碳組成之群中選出的—元素。該方法此外包括在 該第-半導電區域上沉積一第一介電材料。該方法此外包 括在該第一介電材料及該第二半導電區域上沉積一第二介 電材料。該方法此外包括在該第二介電材料上沉積一閘電 極材料。 【實施方式】 圖1係一半導體裝置1〇在一處理步驟期間之一視圖。半 導體裝置10可包括一半導體基板12。此處所述之半導體基 板可係任何半導體材料或材料之組合,諸如砷化鎵、矽化 鍺、絕緣物上矽(SOI)、矽、單晶矽、類似物、及上述之 組合。在基板12内,可形成渠溝隔離區域14、16及18以隔 離待形成之不同裝置。半導體裝置1〇可包括一 NMOS雙閘 極氧化物(N-DG0)區域20、一 NMOS核心(N-核心)區域 22、一 PM0S雙閘極氧化物(p_dg〇)區域24及一 PM0S核心 (P-核心)區域26。N-DG0區域20及N-核心區域22可植入硼 以形成P型井區域(未顯示)。p_DG〇區域24及PM0S-核心區 域26可植入砷或磷以形成n型井區域(未顯示)。NMOS裝置 133161.doc -9- 200921787 可形成於Ν-DGO區域20及N-核心區域22中。PM〇s裝置可 形成於P-DGO區域24及P-核心區域26中。形成於雙閘極氧 化物區域(20及24)中之裝置將形成有較厚之閘極氧化物, 且形成於核心區域(22及26)中之裝置將形成有車交薄之閑極 氧化物。其後,如圖1中所示,一硬遮罩28可形成於n_ DGO區域20及N-核心區域22上。 圖2係一半導體裝置10在一處理步驟期間之一視圖。作 為此處理步驟之部分,矽化鍺區域3〇及32可分別形成於 DGO區域24及P-核心區域26中。在一實施例中,矽化鍺區 域30及32可被磊晶生長。舉例而言,矽化鍺區域”及”可 具有一範圍在30埃至150埃之厚度。在其他實施例中,矽 化鍺區域30及32可具有一範圍在50埃至1〇〇埃之厚度。另 外,矽化鍺區域30及32可具有1〇%至50%的鍺。在其他實 施例中,矽化鍺區域30及32可具有2〇%至35%的鍺。雖然 此步驟係相對於形成矽化鍺區域而描述,但具有與半導體 基板12不同之電性質的任何其他半導體材料可用作此步驟 之部分。舉例而言,在一實施例中,可使用碳化矽。在_ 實施例中,可使用改變一裝置之一通道區域之一能帶隙的 任何半導體材料,該裝置形成於具有該半導體材料的區域 中。在另一實施例中,可使用改變一厚閘極裝置之一通道 區域相對於一薄閘極裝置之一通道區域的一能帶隙的任何 半導體材料。 圖3係一半導體裝置1〇在一處理步驟期間之一視圖。作 為此處理步驟之部分,可形成一高溫氧化物(HTO)層34。 13316I.doc -10· 200921787 在一實施例中,HTO層34係可藉由化學氣相沉積在約攝氏 800度之溫度下形成。溫度範圍可自攝氏750度變化至攝氏 900度。舉例而言’ ΗΤΟ層34可具有一範圍在1〇埃至5〇埃 之厚度。在其他實施例中’ ΗΤΟ層34可具有一範圍在2〇埃 至30埃之厚度。ΗΤ0層34亦可使用其他沉積製程而形成, 諸如原子層沉積、電漿增強化學氣相沉積,及物理氣相沉 積。 圖4係一半導體裝置1〇在一處理步驟期間之一視圖。作 為此處理步驟之部分’圖案化抗敍區域3 6可上覆於N-DGO 區域20及Ρ-DGO區域24而形成。 其後,如圖5中所示,使用圖案化抗蝕區域36,ΗΤ0層 34可自核心區域被移除,包括Ν-核心區域22及Ρ-核心區域 26,使ΗΤΟ層34之部分僅留在DGO區域中。因此,舉例而 言,下部閘極氧化物區域38及下部閘極氧化物區域4〇可保 留在N-DGO區域20及P-DGO區域24中。在一實施例中, ΗΤΟ層34可使用一氫氟酸(HF)清洗而自Ν_核心區域22&ρ_ 核心區域26移除《在一實施例中,可使用一稀釋HF清洗製 程。HTO層已自N-核心區域22及P-核心區域26移除後,圖 案化抗蝕區域36可例如使用過氧硫酸清洗(piranha dean) 或溶劑清洗而移除。 其後,如圖6中所示,可形成一高k閘極氧化物層42。在 一實施例中,高k閘極氧化物層42可上覆於核心區域及 DGO區域二者。因此,舉例而言,高k閘極氧化物層“可 直接上覆於N-DGO區域20中之下部閘極氧化物區域%、N_ 133161.doc 200921787 核〜區域22中之基板12之—部分、p_DGC)區域24中之下部 閘極氧化物區域40 ’及卩_核心區域“中之矽化鍺區域η 上在實鈿例中,高k閘極氧化物層42可使用一原子層 L積製程而/儿積。在沉積高k閘極氧化物層之前,可預 冑洗相關區域之頂端表面。如此處所使用,術語W閉極 1化物包括具有-範圍在10-100之k的任何氧化物材料。 在一實施例中’ /亦可使用具有一範圍在15_25之_任何氧 Γ 化物材料。用於形成高k閘極氧化物層42之適合的材料包 括氧化給、石夕酸铪、銘g楚給、氧化錯、石夕酸錯及其他適合 之同-k材料。在一實施例中,高_k閉極氧化物層可具有 一範圍㈣埃至50埃之厚度。在另—實施例中,高_k問極 氧化物層42可具有一範圍在15埃至2〇埃之厚度。 其後,如圖7中所示,一金屬問電極層44可上覆於高_k 間極氧化物層42而形成。在一實施例中,金屬間電極層料 可含有一元素或合金。舉例而言,金屬閘電極層料可含有 i 组、1太、爛、麵’或其之任何組合。金屬閘電極層44此外 可含有碳及/或氮。金屬閘電極層44可使用諸如原子層沉 積(ALD)、力子束沉積(MBD)及化學氣相沉積(cvd)之製 程而形成。I例而t,金屬閘電極層44可具有一範圍在20 埃至150埃之厚度。在另一實施例中,金屬問電極層料可 具有一範圍在50埃至1〇〇埃之厚度。 仍參照圖7,在金屬閘電極層44形成之後,一多晶矽閘 電極層46可形成於金屬閘電極層料之上。多晶矽閉電極層 46可使用諸如原子層沉積(ALD)、分子束沉積(mbd)及化 I33161.doc 200921787 學氣相沉積(CVD)之製程而形成。舉例而言’多晶石夕閘電 極層46可具有一範圍在200埃至1〇〇〇埃之厚度。在另一實 施例中,多晶矽閘電極層46可具有5〇〇埃之厚度。 圖8係在PM0S及NMOS裝置形成之後一半導體裝置⑺的 一視圖。舉例而言,N-DGO裝置50可形成於N_DG〇區域2〇 中,N-核心裝置52可形成於斗核心區域“中,p_DG〇裝置 54可形成於P-DGO區域24中,及p_核心裝置%可形成= ρ· 核心區域26中。此等裝置係可藉由使用習知之半導體處理 步驟形成閘電極、間隔件及源極/汲極區域而形成。因 此,舉例而言,N-DGO裝置50可包括一閘極結構,該閘極 結構包括-下部閘極氧化物區域58、一上部閘極氧化物區 域60(由高k閘極氧化物材料形成)、一金屬閘電極區域以及 一多晶矽閘電極區域64。N-DGO裝置50此外可包括一相鄰 於該閘極結構形成的間隔件66。N_DG〇裝置5〇此外可包括 源極/汲極區域68及70。N_核心裝置52可包括一閘極結 構,該閘極結構包括一閘極氧化物區域72(由高让閘極氧化 物材料形成)、一金屬閘電極區域74及一多晶矽閘電極區 域76。N-核心裝置52此外可包括一相鄰於該閘極結構形成 的間隔件78。N-核心裝置52此外可包括源極/汲極區域8〇 及82。P-DGO裝置54可包括矽化鍺區域3〇。p_DG〇裝置54 此外可包括一閘極結構,該閘極結構包括一下部閘極氧化 物區域84、一上部閘極氧化物區域86(由高k閘極氧化物材 料形成)、一金屬閘電極區域88及一多晶矽閘電極區域 90。P-DGO裝置54此外可包括一相鄰於該閘極結構形成的 133161.doc 200921787 間隔件92 ^ Ρ-DGO裝置54此外可包括源極/汲極區域94及 96。P-核心裝置56可包括矽化鍺區域32。P-核心裝置56此 外可包括一閘極結構,該閘極結構包括一閘極氧化物區域 98(由高k閘極氧化物材料形成)、一金屬閘電極區域1〇〇及 一多晶矽閘電極區域102。p_核心裝置56此外可包括—相 鄰於該閘極結構形成的間隔件104。P-核心裝置56此外可 包括源極/汲極區域1 〇 6及1 〇 8。
雖然本發明已相對於特定導電性類型及電位之極性而描 述,熟練技工應瞭解導電性類型及電位之極性可被反轉。 因此,舉例而言,雖然在上文中該製程流程係相對於具有 石夕化錄區域之PMOS裝置而摇述,但—類似製程流程可用 於具有碳化矽之NMOS裝置。 此外在描述中及在請求項中,若有任何術語"前 ”後”頂端”、”底端”、”在......之上”、,,在·.·...之下"及 其類似物’其係用於描述之目的且不必用於描述永久之相 對位置。應暸解’如此使用之術語在適當情況下係可互換 的,舉例而言因此此處所述之本發明之實施例可以不同於 此處所繪示或所述之配向而操作。 、 雖然此處本發明係參考特定實施例而描述,但在不脫離 如下文請求項中所閣述之本發明的範嘴下,可做出多靜 飾和變化。因A,說明書及圖式應視為說明性而非具限制 。味且所有此種修飾均意欲被包括於本發明範嘴之内。 ^將此處關於特定實_所述之任何益處、優點 的解決方案視為任何或所有請求項之關鍵、必需或基本特 133161.doc -14· 200921787 徵或元件。 此外,如此處所使用,術語"一"或”一個,,係定義、 多個。5 上、 ’ 一或 吻求項中諸如"至少—個"及"一個或多 導性片語的使用,^c處、上、、 之% 不應被視為暗示藉由不定冠詞”、 ” 一4固”夕jp 丄、 或 一 一鮰求項元件的引導將含有此種已引導誇灰 凡件的任何特定請求項限制於僅含有此種元件之發J項 使當相同請求項包括引導性片語,,一個或多個"及,二即 :广不定冠詞諸如,,一,’或”一個"。對於定冠詞的使二 除非另外有說明’術語諸如I’第一 ”及第二"被用於在此 描述之元件之間做出任意辨別。因此,此等術語不 必思為指示此等元件的時序或其他優先性。 【圖式簡單說明】 本發明係經由實例而說明且X典 且不受附圖限制,附圖中相同 β考指示類似元件。圖中元 必按標度緣製。 牛係為簡早及清晰而繪示且不 置在一處理步 置在一處理步 置在一處理步 置在一處理步 置在一處理步 置在一處理步 置在一處理步 置在一處理步 及 圖1係一半導體裝 圖2係一半導體裝 圖3係一半導體裝 圖4係一半導體裝 圖5係一半導體裝 圖6係一半導體裝 圖7係一半導體裝 圖8係一半導體裝 驟期間之一視圖 驟期間之一視圖 驟期間之一視圖 驟期間之一視圖 驟期間之一視圖 驟期間之一視圖 驟期間之一視圖 驟期間之一視圖 133161.doc 200921787 【主要元件符號說明】
10 半導體裝置 12 半導體基板 14 渠溝隔離區域 16 渠溝隔離區域 18 渠溝隔離區域 20 NMOS雙閘極氧化物(N_DG〇)區域 22 NMOS核心(N-核心)區域 24 PMOS雙閘極氧化物(p_DGO)區域 26 PMOS核心(P-核心)區域 28 硬遮罩 30 矽化鍺區域 32 矽化鍺區域 34 高溫氧化物(HTO)層 36 圖案化抗蝕區域 38 下部閘極氧化物區域 40 下部閘極氧化物區域 42 高k閘極氧化物層 44 金屬閘電極層 46 夕日日砍閉電極層 50 N-DGO裝置 52 N-核心裝置52 54 P_DG〇裝置 56 P-核心裝置 133161.doc •16· 200921787 58 下部閘極氧化物區域 60 上部閘極氧化物區域 62 金屬閘電極區域 64 多晶妙閘電極區域 66 間隔件 68 源極/ >及極區域 70 源極/沒極區域 72 閘極氧化物區域 74 金屬閘電極區域 76 多晶秒閘電極區域 78 間隔件 80 源極/汲極區域 82 源極/汲極區域 84 下部閘極氧化物區域 86 上部閘極氧化物區域 88 金屬閘電極區域 90 多晶碎閘電極區域 92 間隔件 94 源極/汲極區域 96 源極/汲極區域 98 閘極氧化物區域 100 金屬閘電極區域 102 多晶石夕閘電極區域 104 間隔件 133161.doc 200921787 106 源極/汲極區域 108 源極/沒極區域 133161.doc -18-

Claims (1)

  1. 200921787 十、申請專利範圍: 1. 一種形成裝置之方法,其包含: 在—半導體基板中形成一第一區域及一第二區域; …第區域上形成一半導電材料,其中該半導電材 料具有—與該半導體基板不同之電性質; 在該第一區域上形成一第一介電材料; 在該第一介電材料上及在該第二區域上沉積一第二介 電材料,其令該第二介電材料不同於該第一介電材 料;及 在該第二介電材料上沉積一閘電極材料。 2 ·如凊求項1之方法,其進一步包含: 在該半導體基板中形成一第三區域及一第四區域;其 中: ' 在該第一區域上形成該半導電材料進一步包含在該第 二區域上形成該半導電材料; 在該第一區域上形成該第一介電材料進一步包含在該 第四區域上形成該第一介電材料;及 在該第一介電材料上及在該第二區域上沉積該第二介 電材料進一步包含在該第三區域上沉積該第二介電材 料。 3. 如請求項2之方法,其中在該第一區域上形成該半導電 材料進一步包含磊晶生長該半導電材料。 4. 士 °月求項1之方法,其中沉積該第一介電材料在約攝氏 750度及約攝氏9〇〇度之間之一溫度下發生。 133161.doc 200921787 5.如請求項1f 、$之方法’其進-步包含: 、第一摻雜物植入該第一區域,其中該第一區域具 有一第~~導電性;及 以一第二摻雜物植入該第二區域,其中該第二區域具 有一第二導電性’且其中該第一導電性及該第二導電性 係一相同之導電性。 士月长項1之方法,其進一步包含:
    以第一摻雜物植入該第一區域,其中該第一區域具 有一第一導電性;及 以一第二摻雜物植入該第二區域,其中該第二區域具 有一第二導電性,且該第一導電性及該第二導電性係不 同之導電性。 7·如請求項2之方法,其進一步包含: 以—第一摻雜物植入該第一區域及該第三區域,其中 s亥第一區域及該第三區域具有一第一導電性;及 以一第二摻雜物植入該第二區域及該第四區域,其中 該第二區域及該第四區域具有一第二導電性,且其中該 第一導電性不同於該第二導電性。 8.如請求項1之方法,其中形成該半導電材料進一步包含 形成含有自鍺及碳組成之群選出之一材料的該半導體材 料0 9.如請求項8之方法,其中形成該半導電材料包含形成含 有自矽化鍺及碳化矽組成之群選出之一材料的該半導電 材料。 133161.doc 200921787 1〇如吻求項1之方法,其中在該第一區域上形成該第一介 電材料進一步包含沉積該第一介電材料。 11.4明求項i之方法,其中該第二介電材料包含一高 常數材料。 12. —種形成裝置之方法,其包含: 在半導體基板之一第一區域中形成一厚閘極介電梦 置,其中該厚閘極介電裝置具有一第一通道區域且該: 導體基板包含一第一材料; 在—半導體基板之一第二區域中形成一薄開極介電震 置其中該薄閘極介電裝置具有一比該厚閘極介電裝置 之:極電介質更薄之閘極電介質,該薄閘極介電裝置具 有一第二通道區域,且形成該厚閘極介電裝置及該 極介電裝置進一步包含: 在該第一區域上磊晶生長該第一通道區域, 其中該第一通道區域包含一第二材料, i. 其中該第二材料不同於該第一材料; 在該第-通道區域上形成一第一問極電介質· 在該第-間極電介質及該第二區域上形成二第二間 極電介質;及 1在該第二閘極電介質上沉積一閘電極材料。 域相對::2之方法’其中該第二材料改變該第-通道區 5 士於該第二通道區域之能帶隙》 14.如請求項12之方法, ,, 甲哀弟一材枓包含自石夕化錯及碳 化石夕組成之群選出之一材料。 133161.doc 200921787 15. 如請求項12之方法,其中該第二閘極電介質包含—高介 電常數材料。 16. 如請求項12之方法,其中形成該第—閘極電介質包含沉 積該第一閘極電介質。 17. 如請求項16之方法,其中沉積該第—閘極電介質進一步 包含在約攝氏750度及約攝氏9〇〇度之間之—溫度下化學 氣相沉積該第一閘極電介質。 f , I8.如請求項12之方法,其中該半導體基板進一步包含一第 三區域及一第四區域,且該方法進一步包含: 在該第三區域中形成一第二厚閘極介電裝置,其中該 第二厚閘極介電裝置具有一第三通道區域, 在該第四區域中形成一第二薄閘極介電裝置,其中該 第二薄閘極介電裝置具有一第四通道區域,且該第二薄 閘極介電裝置具有一比該第二厚閑極介電裝置之問極電 "質更薄之閘極電介質;且其中: 磊晶生長該第一通道區域進_步包含磊晶生長該第 四通道區域; 、形成該第-閘極電介質進一步包含在該第三通道區 域上形成該第一閘極電介質;及 形成該第二閘極電介質進一步包含在該第四區域上 形成該第二閘極電介質。 19.如請求項18之方法,其進一步包含: U第一摻雜物植入該第一區域及該第二區域,其中 °亥第—區域及該第二區域具有-第-導電性;及 133161.doc 200921787 以一第二摻雜物植入該第三區域及該第四區域,其中 該第三區域及該第四區域具有一第二導電性,且其中該 第一導電性不同於該第二導電性。 20· —種方法,其包含: 在半導體基板中形成一隔離區域,以在一半導體其 板中形成一第一半導電區域及一第二半導電區域; 在該第一半導電區域上磊晶生長一半導電材料,其中 該半導電材料包含自鍺及碳組成之群中選出的一元素、·, 在該第—半導電區域上沉積一第一介電材料; 在該第一介電材料及該第二半導電區域上 介電材料;及 在該第二介電材料上沉積一閘電極材料。 133161.doc
TW097129047A 2007-09-07 2008-07-31 雙閘極氧化物裝置整合 TWI453823B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/851,719 US7709331B2 (en) 2007-09-07 2007-09-07 Dual gate oxide device integration

Publications (2)

Publication Number Publication Date
TW200921787A true TW200921787A (en) 2009-05-16
TWI453823B TWI453823B (zh) 2014-09-21

Family

ID=40429260

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097129047A TWI453823B (zh) 2007-09-07 2008-07-31 雙閘極氧化物裝置整合

Country Status (6)

Country Link
US (1) US7709331B2 (zh)
EP (1) EP2191504A4 (zh)
JP (1) JP2010538496A (zh)
CN (1) CN101796631B (zh)
TW (1) TWI453823B (zh)
WO (1) WO2009032411A1 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010147104A (ja) * 2008-12-16 2010-07-01 Toshiba Corp 半導体装置の製造方法
DE102008063402B4 (de) * 2008-12-31 2013-10-17 Advanced Micro Devices, Inc. Verringerung der Schwellwertspannungsfluktuation in Transistoren mit einer Kanalhalbleiterlegierung durch Verringern der Abscheideungleichmäßigkeiten
US8017469B2 (en) 2009-01-21 2011-09-13 Freescale Semiconductor, Inc. Dual high-k oxides with sige channel
US7943460B2 (en) * 2009-04-20 2011-05-17 International Business Machines Corporation High-K metal gate CMOS
US20100308418A1 (en) * 2009-06-09 2010-12-09 Knut Stahrenberg Semiconductor Devices and Methods of Manufacture Thereof
US8105892B2 (en) * 2009-08-18 2012-01-31 International Business Machines Corporation Thermal dual gate oxide device integration
US8298882B2 (en) * 2009-09-18 2012-10-30 International Business Machines Corporation Metal gate and high-K dielectric devices with PFET channel SiGe
US8114739B2 (en) 2009-09-28 2012-02-14 Freescale Semiconductor, Inc. Semiconductor device with oxygen-diffusion barrier layer and method for fabricating same
US20120328892A1 (en) 2010-03-09 2012-12-27 Valspar Sourcing, Inc. Non-blooming low formaldehyde coating composition
JP5521726B2 (ja) * 2010-04-16 2014-06-18 富士通セミコンダクター株式会社 半導体装置及びその製造方法
KR20110123544A (ko) 2010-05-07 2011-11-15 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9000525B2 (en) * 2010-05-19 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for alignment marks
JP5605134B2 (ja) * 2010-09-30 2014-10-15 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8304306B2 (en) * 2011-03-28 2012-11-06 International Business Machines Corporation Fabrication of devices having different interfacial oxide thickness via lateral oxidation
DE102011076185A1 (de) * 2011-05-20 2012-11-22 Globalfoundries Inc. Halbleiterbauelemente mit reduzierter STI-Topographie durch Anwenden elner chemischen Oxidabtragung
US8916440B2 (en) 2012-08-03 2014-12-23 International Business Machines Corporation Semiconductor structures and methods of manufacture
CN103258733A (zh) * 2013-03-15 2013-08-21 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
CN103258794A (zh) * 2013-03-15 2013-08-21 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
CN103258795A (zh) * 2013-03-15 2013-08-21 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
CN103199016A (zh) * 2013-03-15 2013-07-10 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
CN103165533A (zh) * 2013-03-15 2013-06-19 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
US9373501B2 (en) * 2013-04-16 2016-06-21 International Business Machines Corporation Hydroxyl group termination for nucleation of a dielectric metallic oxide
CN103280403B (zh) * 2013-05-14 2015-04-08 上海华力微电子有限公司 双栅氧器件的制造方法
CN103293848B (zh) * 2013-05-23 2015-12-23 上海华力微电子有限公司 光刻胶的处理方法以及半导体器件的制备方法
US10002939B1 (en) 2017-02-16 2018-06-19 International Business Machines Corporation Nanosheet transistors having thin and thick gate dielectric material

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590517A (ja) * 1991-09-30 1993-04-09 Toshiba Corp 半導体装置及びその製造方法
JPH10135450A (ja) * 1996-10-31 1998-05-22 Sumitomo Metal Ind Ltd 電界効果型トランジスタ及びその製造方法
US6518106B2 (en) * 2001-05-26 2003-02-11 Motorola, Inc. Semiconductor device and a method therefor
KR100683104B1 (ko) * 2001-11-30 2007-02-15 가부시끼가이샤 르네사스 테크놀로지 반도체 집적 회로 장치 및 그 제조 방법
JP2003174101A (ja) * 2001-12-04 2003-06-20 Toshiba Corp 半導体装置および半導体装置の製造方法
US6620656B2 (en) 2001-12-19 2003-09-16 Motorola, Inc. Method of forming body-tied silicon on insulator semiconductor device
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6787421B2 (en) * 2002-08-15 2004-09-07 Freescale Semiconductor, Inc. Method for forming a dual gate oxide device using a metal oxide and resulting device
US7030024B2 (en) * 2002-08-23 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-gate structure and method of fabricating integrated circuits having dual-gate structures
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US6730576B1 (en) 2002-12-31 2004-05-04 Advanced Micro Devices, Inc. Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer
US6830962B1 (en) * 2003-08-05 2004-12-14 International Business Machines Corporation Self-aligned SOI with different crystal orientation using wafer bonding and SIMOX processes
US7101746B2 (en) * 2003-11-05 2006-09-05 Chartered Semiconductor Manufacturing Ltd. Method to lower work function of gate electrode through Ge implantation
US7087470B2 (en) * 2004-06-21 2006-08-08 International Business Machines Corporation Dual gate dielectric thickness devices
US7229893B2 (en) * 2004-06-23 2007-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
KR100889362B1 (ko) * 2004-10-19 2009-03-18 삼성전자주식회사 다층 유전체막으로 이루어진 트랜지스터 및 그 제조 방법
US7364969B2 (en) * 2005-07-01 2008-04-29 Freescale Semiconductor, Inc. Semiconductor fabrication process for integrating formation of embedded nonvolatile storage device with formation of multiple transistor device types
US7538000B2 (en) * 2005-07-28 2009-05-26 Freescale Semiconductor, Inc. Method of forming double gate transistors having varying gate dielectric thicknesses
US7524707B2 (en) * 2005-08-23 2009-04-28 Freescale Semiconductor, Inc. Modified hybrid orientation technology
TWI267926B (en) * 2005-09-23 2006-12-01 Ind Tech Res Inst A new method for high mobility enhancement strained channel CMOS with single workfunction metal-gate

Also Published As

Publication number Publication date
US7709331B2 (en) 2010-05-04
EP2191504A4 (en) 2012-09-05
CN101796631B (zh) 2012-09-26
TWI453823B (zh) 2014-09-21
CN101796631A (zh) 2010-08-04
US20090068807A1 (en) 2009-03-12
WO2009032411A1 (en) 2009-03-12
EP2191504A1 (en) 2010-06-02
JP2010538496A (ja) 2010-12-09

Similar Documents

Publication Publication Date Title
TW200921787A (en) Dual gate oxide device integration
TWI331781B (en) Semiconductor fabrication method, method of forming a strained semiconductor structure
TWI278115B (en) Semiconductor device and production method thereof
TWI254355B (en) Strained transistor with hybrid-strain inducing layer
CN100533766C (zh) 用于增进信道载子移动性之具有高应力衬料之基于Si-Ge的半导体装置
TWI352433B (en) Stressed field effect transistors on hybrid orient
TWI271782B (en) A floating gate having enhanced charge retention
CN105428228B (zh) 具有由金属源极/漏极和共形再生长源极/漏极导致的单轴应变的量子阱mosfet沟道
TWI253716B (en) Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
CN102906880B (zh) 半导体结构及其制造方法
TWI374540B (en) Tic as a thermally stable p-metal carbide on high k sio2 gate stacks
JP5270086B2 (ja) pFET材料としての金属酸窒化物を用いた半導体構造およびその製造方法
US8035141B2 (en) Bi-layer nFET embedded stressor element and integration to enhance drive current
TW201034126A (en) Dual high-k oxides with SiGe channel
US8421191B2 (en) Monolayer dopant embedded stressor for advanced CMOS
CN101361173A (zh) 金属杂质的引入以改变导电电极的功函数
TW201125124A (en) Method and structure for forming high-performance FETs with embedded stressors
CN102203924A (zh) 具有设计的Ge分布和优化硅帽盖层的优化压缩SiGe沟道PMOS晶体管
TW200824007A (en) Stressed field effect transistor and methods for its fabrication
TW200414374A (en) Drain/source extension structure of a field effect transistor including doped high-k sidewall spacers
US8460996B2 (en) Semiconductor devices with different dielectric thicknesses
TW200950002A (en) CMOS integration scheme employing a silicide electrode and a silicide-germanide alloy electrode
TW200818508A (en) Semiconductor device and method of manufacturing the same
TW200903723A (en) Method for forming a dual metal gate structure
TW200917376A (en) Source/drain stressors formed using in-situ epitaxial growth

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees