TW200915478A - MOS transistors for thin SOI integration and methods for fabricating the same - Google Patents

MOS transistors for thin SOI integration and methods for fabricating the same Download PDF

Info

Publication number
TW200915478A
TW200915478A TW097130923A TW97130923A TW200915478A TW 200915478 A TW200915478 A TW 200915478A TW 097130923 A TW097130923 A TW 097130923A TW 97130923 A TW97130923 A TW 97130923A TW 200915478 A TW200915478 A TW 200915478A
Authority
TW
Taiwan
Prior art keywords
layer
trench
forming
germanium
depositing
Prior art date
Application number
TW097130923A
Other languages
English (en)
Inventor
John A Iacoponi
Kingsuk Maitra
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of TW200915478A publication Critical patent/TW200915478A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

200915478 - 九、發明說明: 【發明所屬之技術領域】 本發明大致係關於 MOS(Metal Oxide Semiconductor) ,電晶體以及MOS電晶體的製造方法,且尤係關於用於薄
SOI(silicon on insulator)整合的MOS電晶體及用於薄SOI 整合的MOS電晶體的製造方法。 【先前技術】 r 今日大多數的積體電路是利用複數個互連之場效電晶 體(field effect transistor,FET)來實現,又稱為金屬氧化物 半導體場效電晶體(MOSFET或MOS電晶體)。該等1C通 常同時利用P通道FET(P-channel FET)和N通道FET (N-channel FET)來構成,而該1C被稱為互補式MOS或是 CMOS電路。藉由將該等MOS電晶體形成在薄絕緣體上覆 石夕(silicon-on-insulator, SOI)層(也就是覆蓋在埋植的絕緣 體層(buried insulator layer)上之薄石夕層)内和(或)上,從而 i 在效能上具有一定程度的改進。此種SOI MOS電晶體具 有,例如,較低的接面電容(junction capacitance)而因此可 以操作在較快的速度。 隨著CMOS技術的進步,該SOI層的厚度正在減少以 進一步增進MOS裝置的效能。傳統在SOI層上製造MOS 電晶體的方法包含在該SOI層上形成閘極絕緣層,接著沉 積(deposition)閘極電極材料層。該閘極絕緣層和該閘極電 極材料層接著被蝕刻以形成包括閘極絕緣體的閘極堆疊和 覆蓋於該SOI層上的閘極電極。然而,利用侵犯性蝕刻步 94435 200915478 - 驟以形成該閘極堆疊會造成位於下方的SOI層的過度消 耗。如果該钱刻步驟太過具有侵犯性,該S 01層會被钱刻 穿透而到達位於下方的該埋植絕緣層而該裝置即毀壞。即 .使未餘刻穿透到該埋植絕緣層,該S 01層可能會被餘刻而 變得太薄,以致於無法進行進一步的裝置處理。 因此,需要提供用以製造M0S電晶體的方法,其中 該方法不會造成在下方的SOI層的過度蝕刻。另外,需要 提供由此種方法製造的M0S電晶體。此外,本發明中需 〆 要的其他特色與特性將經由接下來的詳細描述、附加的專 .利申請範圍配合隨附的圖式和本發明之背景而變得顯而易 見。 【發明内容】 根據本發明之範例實施例提供一種製造M0S電晶體 的方法。該方法包括以下步驟:提供矽層覆蓋在埋植的絕 緣層上以及磊晶生長含矽材料層覆蓋在該矽層上。在該含 I 矽材料層内蝕刻溝槽(trench)而使該矽層曝露。該溝槽内形 成Μ 0 S電晶體閘極堆豐。該Μ 0 S電晶體閘極堆疊包括閘 極絕緣層以及閘極電極。導電率決定類型的離子係利用該 閘極堆疊作為植入遮罩而被植入在該含石夕材料内。 根據本發明之另一範例實施例提供一種製造M0S電 晶體的方法。該方法包括以下步驟:以在SOI層上磊晶生 長應變含石夕材料層(strained silicon-comprising material layer)以及在該應變含矽材料層内蝕刻溝槽。高介電常數材 料係沉積於該溝槽内並且形成功函數材料層(layer of work 6 94435 200915478 ,function)覆蓋於該高介電常數材料上。該應變含石夕材料層 的表面係被曝露並且在該應變含矽材料層内形成雜質摻雜 區域。 .根據本發明之實施例提供一種MOS結構。該MOS電 晶體包括SOI層和設置於該SOI層上之蟲晶生長之含石夕材 料層。該磊晶生長之含矽材料層包括:第一雜質摻雜區域、 第二雜質摻雜區域以及設置於該第一雜質摻雜區域和該第 二雜質摻雜區域之間的溝槽。閘極絕緣體係設置於覆蓋於 f 該SOI層上之該溝槽内,以及閘極電極係設置於覆蓋於該 閘極絕緣體上之該溝槽内。 【實施方式】 下列的實施方式在本質上僅作為例示之用,而並不是 用以限制本發明或本發明之應用與用途。此外,本發明並 不受先前的技術領域、先前技術、發明内容或接下來的實 施方式中所提出的任何理論所限制。 ( 第1至第7圖以剖面的形式圖示出根據本發明之範例 實施例之MOS電晶體100以及M0S電晶體100之製造方 法。雖然術語“M0S電晶體”嚴格來說係歸類於具有金屬閘 極電極和氧化物閘極絕緣體之裝置,但該術語在全文中將 用於指包含導電閘極電極(無論是金屬或其他導電材料)之 任何半導體裝置’其中該導電閘極電極係置於閘極絕緣體 (無論是氧化物或其他絕緣體)之上,且依次地該閘極絕緣 體係置於半導體基板之上。該M0S電晶體可為N通道M0S 電晶體或P通道M0S電晶體。關於製造M0S電晶體的許 7 94435 200915478 多步驟為眾所周知,為求簡潔故許多傳統步驟在此將 要提及或將完全略過而不提供眾人熟知之製程細節。,、Fs 簽照第1圖,根據本發明之一個實施例之方法以⑽ m1層1 〇6為開始,該s 〇 1結構具有設置於矽基板 之絶緣層104。如此處所使用者,術語“ s〇I層”和‘‘ 基板,,將用於包含相當純或輕濃度雜質掺雜之單晶I夕材 料,該單晶石夕材料典型用於半導體工業以及用於推 「^碳之類的其他元素㈣,以形成基本之單_材料。 I咖層可具有用於特定裝置設計或應用所需之任何厚 S〇1層106可能具有大約5至大約6奈米之厚 以致於所形成之職電晶體將可用於高功率邏輯裝 於大=至=層1〇6根據震置設計需要可具有大於或: —、 不米之厚度。S01層1 〇6可摻雜以導電率決 Γη摻雜物。例如,如果電晶體_為N簡電晶體, 體=係換雜以…如果該電晶體為p则電晶 電曰麵10〇Γ係換雜以坤或鱗離子。或者,例如當娜 sr^T 高介電常數之閘極絕緣體(詳述於下〉時, θ 1G2較佳為保持未摻雜的狀態。該埋植絕緣 可為,例如,二氧化矽。 曰材料層1〇8係蟲晶生長於該S01層106上。該磊 石夕烧1〇8可藉由鹽酸(HC1)中之石夕烧(SiH4)或二氯 = 來生成。在本發明之 導電率決定類型料?108可在生長過程中以 0雜,也就是說,可被“原處(in-situ),, 94435 8 200915478 摻雜、。或者,如圖所示,該蠢晶含石夕材料層ι〇 之後被推雜。例如,如箭號110所示,層^08可葬^成 物離子以離子植入方式摻雜進入表面二接著雜 摻雜質驅使遍及層⑽。對N 4火將 J 包晶體而言,石 矽材料層Η)8係摻雜以任何. 口 °亥猫日日含 雜孚蜱她7 j !¥電率決定離子,諸如砷 2、㈣子和(或)銻離子。對PM〇s電晶體而言,該蟲 曰曰3石夕材料層⑽較佳摻雜㈣離子。在本發明之另一: =中’該“切材料層⑽也可被生長以包含岸變^ U雜物’諸如錯或礙’該等摻雜物之密度可被控制 内獲得所需之應變。該蟲晶切材料層⑽可 長成^於特定裝置設計或應用所需之任何厚度。在範例實 把例中^晶切材料層⑽被生長成厚 =至大約5。奈米。光阻126係敷設在該蟲晶含』 θ⑽的表φ 12G並且被圖案化以曝光該蟲 108之一部分。 叶層 多…、第2圖,該磊晶含矽材料層1 〇8之曝露部份被蝕 刻以形成溝槽1〗2,該溝槽n2延伸自表面]2Q並穿透層 ⑽以曝s S0I層⑽。該溝槽係形成有侧壁】24⑽㈣D 和底面122 ’該底面122也就是s〇I層1〇6的頂面。該磊 曰s夕材料層1〇8係被非等向性钱刻(anis〇tr〇pica】】y),例 如,利用HBr/〇2和α的化學作用實現反應性離子蝕刻 (reactive ion etching, RIE)。在一個範例實施例中,在形成 溝槽U2後,該蝕刻可繼續進一步薄化該s〇I ⑶係接著被移除。 °亥先阻 94435 9 200915478 根據如第3圖所示之範例實施例,該方法繼續沿著溝 槽112之側壁124和底面122形成介面層114。該介面層 114可為熱生長之二氧化矽層,或者是(如圖所示)沉積絕緣 體諸如氧化石夕(silicon oxide)、氮化石夕(silicon nitride)或 類似材料。沉積絕緣體可以如下方式進行沉積,如:化學 氣相沉積(CVD)、低壓化學氣相沉積(LPCVD)或電漿輔助 化學氣相沈積(PECVD)等。介面層114的厚度較佳為不超 過大約10奈米,但實際厚度係可基於所實作之電路中之電 曰曰體之應用而決定。在一個範例實施例中,該介面層114 具有大約0.5奈米的厚度。 麥恥第4圖,介電材料(dielectric material)所形成之掩 蓋層128(blanketlayer)係設置覆蓋於介面層114上且與介 面層114具有不同的蝕刻特性。例如,如果介面層I";為 氧化矽,層128可為氮化矽或氮氧化矽。利用介面層1 ^ 作為敍刻停止層’該介電材料層128接著被非等向性姓 刻,例如利用CHF3、„4和St的化學作用實現尺圧,以 形成如第5圖所示在㈣124附近之間隔物(Spacer)130。 如同該介面層114,該間隔物13G所形成的厚㈣基於麻 作之電路中的該電晶體]⑻的應用而決定。詳$之1 ㈣㈣係具有賴下來將形絲層⑽巾汲極= ::)=2將形成於構槽112内之閘極電極(也將詳 間之寸生電容最小化之厚度。在—個範例實 中,=隔物U0具有大約1〇至大約2〇奈米之厚度。 茶照第6圖,閑極絕緣體材料所形成之層⑴=共步 94435 10 200915478 ’ t(rfrally)沉積在溝槽112内並覆蓋於間隔物130與 二〃面斤層114上。該閘極絕緣體材料可為絕緣體,如: 二-化秒、氮切或類似材料。在本發明之較佳實施例中, ^ f閘極域體材料係為具有高介電常數之絕緣材料。如此 者,術語‘‘高κ值材料,,或“高介電常數 氧切之介電常數(大約3·9)之介電材料。該 门s #蚪 習知之方式沉積,如化學氣相沉積、低壓 (I氣相"匕積%漿輔助化學氣相沈積、次大氣壓化學氣 相>儿積或原子層沉積。可被用以形成M〇s電晶體_之 ,尺值材料之例子包括但不限定於··雙金屬氧化物,包含: 氧化铭(ai2〇3)、氧化鍅(Zr〇2)、氧化給(Hf〇2)、 如你、氧化紀(Y203)、氧化鈦(Ti02),以及與該等氧化 物相關之石夕酸鹽和紹酸鹽;金屬氮氧化物,包含:氮氧化 鋁⑷ON)、氮氧化錯(Zr〇N)、氮氧化給(Hf 氧 ㈣♦氮氧化纪(Y0N)以及與該等氮氧化物相關之乳: t鹽和㈣鹽;触礦型之氧化物,包含:鈦酸鹽系列材料, 如鈦酸鋇、鈦酸鳃、鈦酸锶鋇(BST)、鈦酸鉛、鈦酸鍅酸鉛、 鈦酸錯酸鑭化錯、鈦酸鑭化鋇和鈦酸錯酸鋇,飞或"列 材料,如錕鎭化錯、鈮化鐘、叙化鐘、鈮化卸、㈣化銷 和鈮鈕化鉀;鎢·銅系列材料,如鈮化鋰鋇、鈮鋇化鉛和鈮 鈦化鋇,以及雙層鈣鈦礦系列材料,如鈕鉍化鋰和鈦酸鉍,· 以及上述各者之結合。閘極絕緣體材料層】%之厚度係基 於所實作之電路中之電晶體的應用而決定。例如,如果 MOS電晶體將用於高效能之邏輯裝置,㈣極絕緣體材料 Π 94435 200915478 層132可能具有大約ι·5至大約2 〇奈米之厚度。 由閘極電極材料所形成之層134係共形地^冗積 在該閉極絕緣材料層132之上。在本發明之_個範二 例中,該閘極電極材料包括金屬(例如氮化鈦)或、也 金財化物)。在另—範例實施例中,該閘極電極 材科ι括多晶石夕。層134所選用的材料必需具有適舍 函數(醫k function)以提供該M〇s電晶體i 〇 : 該材料可由該材料自身或經由適當地摻雜:形: 該摻雜過程可以設定該電晶體之必要之臨限 極材料層的厚度係基於所實作之電路中的電曰^ 電 L _ 的電晶體的庫用品 =在一個範例實施例中’該間極電極材料層13:4 大約5至大約15奈米之厚度。 ,、有 根據本發明之範例實施例,覆蓋層136被沉 閘極電極材料層]3 4 μ . p 设孤於 电柯科滑134上。根據一個範例實施合 ==料層134係以金屬或金屬卿形成時,則;; 孤層136係由多晶矽所形成。 、/ ΐ 以LPCVD而、”并兮/ 夕炫中的氫還原反應 112 , ^ ,几 夕晶矽。該覆蓋層136較佳填滿溝样 112’但可依需求而被沉積成較薄 I紅 例中,該覆蓋们36具有Μ X纟—乾例實施 可以了解到的是,h w7G奈米的厚度。 所接4、, 不該問極電極材料層134係由多曰石々 所構成::構成覆蓋層136的該步驟可被省略。〜夕 芦]^之^目在,儿積完成閉極電極材料们34和覆罢 層W之後(如果有的話),任何矛设1 之表面P0上> 7^夕以 方、视日日3矽材料層1〇8 〜〇材料都將被移除,因而形成具有開極 94435 12 200915478 絕緣體138之閘極堆疊148以及設置於溝槽112内之覆葚 閘極電極140。該過多材料可藉由適合之蝕刻方式而被移 除,或較佳的方式為使用化學機械平坦化(CMp)。在層^⑽ 的表面120被曝露後,兩個高摻雜分隔(highly_d〇ped spaced-apart)之源極/汲極區116和118可形成於具有溝槽 112之層108之内,其中該溝槽112係設置於源極/汲極區 116和11 8兩者間。該等源極/汲極區Η 6和8可藉由以 習知之方式適當地摻雜磊晶含矽材料層1〇8而形成,例 如.以離子植入方式植入摻雜物離子(如圖所示之箭號Μ]) 接著進行熱退火。利用該閘極堆疊148、間隔物13〇、介面 層114以及覆蓋層136(如果有的話)作為植入遮罩,該源極 /沒極區116和! ! 8係與植入遮罩自我對準(self_aHgned)。 該熱退火之時間長度和溫度取決於源極/汲極區和I” 之所需深度。在本發明之較佳實施例中,該源極/没極區 116和延伸進入層1〇8到達雙箭號144所表示之深度, 該=度接近覆蓋層136之深度’也就是雙箭號146所表示 之冰度。在該源極/汲極區形成的過程中,多晶矽覆芸層 136也被施以雜質推雜。因為深且高濃度摻雜之源極:極 區116和118延伸進入磊晶含矽層材料1〇8之一部分,而 層108中其餘較輕濃度摻雜部分作為源極/汲極延伸區,通 <區1:>0係衣5玄摻雜層1〇8之間位於該閘極堆疊148下方 之SOI層106 +穿透形成。因此,當電位㈣如㈣被施加 於該間極電極140時(例如同透過覆蓋層136),該通道區 冒被反轉以進行M0S電晶體1〇〇之操作。 94435 13 200915478 口此,MOS電晶體1 〇〇之該閘極堆疊】48係構成並覆 蓋於溝槽U2内之S0I们〇6之上,並且介於蟲晶含石夕層 =料108之源極/汲極區116和118兩者之間。在這樣的考 1下,在形成MOS電晶體100的過程中用以曝露s〇I層 之該蝕刻化學反應不是用於形成閘極堆疊148之侵犯 ί·生蝕刻,而是用於形成磊晶含矽層材料丨中之溝槽Η 2 所使用之較不具侵犯性之㈣。此種較不具侵犯性之钱刻 可較容易地且較有效率地被控制以最小化观層1〇6在钮 刻製程中的消耗。 雖然本發明中至少一個範例實施例已在前詳述,但可 了解到本發明可存在有各種變化。也應了解到範例實施例 僅為範例’並非以任何方式來限制本發明之範圍、應用性 或,構。相反地,切的詳述將提供熟f該技術領域者用 於貝作本發明之範例實施例之方便的藍圖,應了解到可在 不背=所附之中請專利範圍及其法律等效者中提出之本發 月之範圍的情況下’對範例實施例巾所述之元件的功能及 配置作出各種改變。 【圖式簡單說明】 。以上係配合附加圖式描述本發明,其中相同的元件符 號表示相似的元件,且其中. 、 弟1至7圖根據本發明之範例實施例以剖面的形 不出用於4 soi整合之M〇s電晶體的製造方法。° 【主要元件符號說明】 100 M〇S電晶體、電晶體 14 94435 200915478 102 106 石夕基板 SOI層 104 絕緣層 108 含矽材料層、 蟲晶含秒材料層、層 110、 142 箭號 112 溝槽 114 介面層 116 ' 118源極/沒極區 120 表面 122 底面 124 側壁 126 光阻 128 掩蓋層 130 間隔物 132 134 136 閘極絕緣體材料層、閘極絕緣材料層、屌 閘極電極材料層、層 覆蓋層、多晶矽覆蓋層 138 閘極絕緣體 140 閘極電極 144、 150 146雙箭號 通道區 148 閘極i隹疊 94435 15

Claims (1)

  1. 200915478 十、申請專利範圍: 1. -種〒造MOS電晶體之方法’該方法包括以下步驟: 提供覆蓋於埋植絕緣層上之矽層; ( 磊晶生長覆蓋於該矽層上之含矽材料層; 於該含矽材料層内蝕刻溝槽並曝露該矽層; 於該溝槽内製造M0S電晶體閑極堆疊^ 则電晶體間極堆4包括閘極絕緣體和閘極電極,^ ? 利用该MOS電晶體閘極堆疊作為 合石々从把旺〜 户且植入遮罩’於該 3夕材科層⑽人導電率決定類型之離子。 2. :!:專利範圍第1項之方法,其中,提供…,牛 驟包括提供具有厚度不大於約6夺=之該步 3. 如申請專利範圍第】項之方法,其中,石曰驟。 該步驟包括:在應變引發摻雜= 忒含矽材料層之步驟。 仕μ日日生長 4. 如申請專利範圍第!項之方法,其令,石 材料層之該步驟包括:在導 ㈤曰曰生長該含石夕 磊晶生長該含石夕材料層。电…“疋矢1型之摻雜物存在 5. 如申請專利範圍第】項之方法, 體閑極堆疊之該步驟包括以下步^錢⑽電晶 於該溝槽内沉積覆蓋於該石夕層入 沉積覆蓋於該介電材 曰7丨电材料;以及 6. 如申請專#彳# ”、上之功函數材料。 專利乾圍弟5項之方法 ❹驟包括··沉積具有高 數:八::介電材料之 7. 如申請專利 :之,丨-电材料之步驟。 員之方法,復包括:在沉積功函數 ^4435 16 200915478 •材料之該步驟後’移除設I於 矽材料層上之任何過多之、9外以及覆蓋在該含 露該含矽材料層之步驟。1函數材料和介電材料以曝 δ·如申請專利範圍第1項之方 之 該步驟後,於該溝槽 i,復包括:在蝕刻溝槽 9.如申請專利範圍第8項之方:面層之步驟。 步驟包括:於該溝槽 :’其中’形成介面層之該 !〇.如申請專利範圍第化:層之步驟。 該步驟後,於該溝槽之側壁附J,··在餘刻溝槽之 1]·如申請專利範圍第9項之 ^ V成間隔物之步騾。 步驟包括:形成氮化矽物:中’形成間隔物之該 7 〇 7间丨同物之步驟。 ··在沉積功函數 沉積覆蓋層之 ]2.如申請專利範圍第1項之方、土十 41 、 法,後包括 ::之該步驟後,沉積覆蓋層之步驟。 如申請專利範圍第項之方法,复中. 该步驟包括:沉積多晶矽層之步驟。 -種製造薦電晶體之方法,該方法包 方S Ο T 尾卜石曰 nr * ^ * 、 層上猫日日生長應變含矽材料層; 於該應變切㈣層内❹j溝槽;曰 於该溝槽内沉積高介電常數材料; :成覆蓋方:該高介電常數材料上之功 曝露該應變切材料層之表面;以及 層’ 於該應變含石夕材料層内形成雜質摻雜區。 15.如申請專利範圍第14項之方法,復包括:在㈣ 之4步驟後,於該溝槽内形成介面層之步驟。 9 94435 17 200915478 16. 如申明專利範圍第15項之方法,復包括:在形成介面 層之該步驟後,具有以下步驟: 於該溝槽内沉積間隔物形成材料層;以及 非等向性蝕刻該間隔物形成材料層以於該溝槽内 形成間隔物。 17. 如申請專利範圍第16項之方法,其中,形成介面層之該 步驟包括形成氧化石夕層之步驟,以&沉積間隔物形成材 f 料層之該步驟包括沉積氮化矽層或氮氧化矽層之步驟。 18·如申請專利範圍第14項之方法,復包括:在形成功函 數材料層之該步驟後,製造覆蓋於該功函數層上之覆蓋 層之步驟。 # 19. 如申請專利範圍第18項之方法,其中,形成功函數材 料層之该步驟包括形成功函數金屬層之步驟,以及製迕 覆蓋層之該步驟包括製造多晶矽覆蓋層之步驟。 20. —種MOS電晶體,包括: L soi 層; 层晶生長之含矽材料層,設置於該SOI層上,其中 該磊晶生長之含矽材料層包括:第一雜質摻雜區、第 亦隹貝#雜區以及設置於該第一和第二雜質摻 _ 摊眭之間丨 間極絕緣體,設置於該溝槽内並覆蓋於該 上;以及 層 間極電極,設置於該溝槽内並覆蓋於該開極絕緣, 1S 94435
TW097130923A 2007-08-15 2008-08-14 MOS transistors for thin SOI integration and methods for fabricating the same TW200915478A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/838,982 US20090045458A1 (en) 2007-08-15 2007-08-15 Mos transistors for thin soi integration and methods for fabricating the same

Publications (1)

Publication Number Publication Date
TW200915478A true TW200915478A (en) 2009-04-01

Family

ID=39855097

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097130923A TW200915478A (en) 2007-08-15 2008-08-14 MOS transistors for thin SOI integration and methods for fabricating the same

Country Status (7)

Country Link
US (1) US20090045458A1 (zh)
EP (1) EP2186123A1 (zh)
JP (1) JP5444222B2 (zh)
KR (1) KR20100053559A (zh)
CN (1) CN101743630B (zh)
TW (1) TW200915478A (zh)
WO (1) WO2009023081A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011068028A1 (en) * 2009-12-04 2011-06-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element, semiconductor device, and method for manufacturing the same
JP2012212796A (ja) * 2011-03-31 2012-11-01 National Institute Of Advanced Industrial & Technology 微細構造物の製造方法、該微細構造物の製造方法により製造される微細構造物、及び該微細構造物を有する電界効果型半導体素子
US9306010B2 (en) 2012-03-14 2016-04-05 Infineon Technologies Ag Semiconductor arrangement
WO2014156923A1 (ja) * 2013-03-27 2014-10-02 ピーエスフォー ルクスコ エスエイアールエル 半導体装置の製造方法
US10504821B2 (en) * 2016-01-29 2019-12-10 United Microelectronics Corp. Through-silicon via structure
KR102608084B1 (ko) 2017-08-04 2023-11-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6469057A (en) * 1987-09-10 1989-03-15 Fujitsu Ltd Semiconductor device and manufacture thereof
JPH04139764A (ja) * 1990-10-01 1992-05-13 Canon Inc 絶縁ゲート薄膜トランジスタの製造方法
US5998288A (en) * 1998-04-17 1999-12-07 Advanced Micro Devices, Inc. Ultra thin spacers formed laterally adjacent a gate conductor recessed below the upper surface of a substrate
US6392271B1 (en) * 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
JP2001274389A (ja) * 2000-03-28 2001-10-05 Toshiba Corp 半導体装置およびその製造方法
US6555891B1 (en) * 2000-10-17 2003-04-29 International Business Machines Corporation SOI hybrid structure with selective epitaxial growth of silicon
TWI288472B (en) * 2001-01-18 2007-10-11 Toshiba Corp Semiconductor device and method of fabricating the same
US6787424B1 (en) * 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6774000B2 (en) * 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US7256104B2 (en) * 2003-05-21 2007-08-14 Canon Kabushiki Kaisha Substrate manufacturing method and substrate processing apparatus
KR101180976B1 (ko) * 2003-07-31 2012-09-07 글로벌파운드리즈 인크. 축소된 게이트 공핍을 갖는 도핑된 게이트 전극을 구비한전계 효과 트랜지스터와 이 트랜지스터의 형성방법
US6939751B2 (en) * 2003-10-22 2005-09-06 International Business Machines Corporation Method and manufacture of thin silicon on insulator (SOI) with recessed channel
DE10351237B4 (de) * 2003-10-31 2010-09-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Transistors mit erhöhten und/oder verspannten Drain- und Sourcegebieten und Transistor
JP2005167068A (ja) * 2003-12-04 2005-06-23 Seiko Epson Corp 半導体装置およびその製造方法
JP2005175082A (ja) * 2003-12-09 2005-06-30 Seiko Epson Corp 半導体装置及びその製造方法
US20050151166A1 (en) * 2004-01-09 2005-07-14 Chun-Chieh Lin Metal contact structure and method of manufacture
US6921691B1 (en) * 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
JP2005332993A (ja) * 2004-05-20 2005-12-02 Sanyo Electric Co Ltd 半導体装置および半導体装置の製造方法
DE102004031119A1 (de) * 2004-06-28 2006-01-19 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
JP2006060046A (ja) * 2004-08-20 2006-03-02 Toshiba Corp 半導体装置
US7126199B2 (en) * 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
FR2880190B1 (fr) * 2004-12-24 2007-03-09 Commissariat Energie Atomique Structure amelioree de transistor sur film mince semi-conducteur
US7109079B2 (en) * 2005-01-26 2006-09-19 Freescale Semiconductor, Inc. Metal gate transistor CMOS process and method for making
JP2007013025A (ja) * 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 電界効果型トランジスタおよびその製造方法
US8338887B2 (en) * 2005-07-06 2012-12-25 Infineon Technologies Ag Buried gate transistor
US7704840B2 (en) * 2006-12-15 2010-04-27 Advanced Micro Devices, Inc. Stress enhanced transistor and methods for its fabrication

Also Published As

Publication number Publication date
JP5444222B2 (ja) 2014-03-19
US20090045458A1 (en) 2009-02-19
CN101743630B (zh) 2011-10-05
EP2186123A1 (en) 2010-05-19
JP2010537401A (ja) 2010-12-02
CN101743630A (zh) 2010-06-16
WO2009023081A1 (en) 2009-02-19
KR20100053559A (ko) 2010-05-20

Similar Documents

Publication Publication Date Title
US10256099B1 (en) Transistors having semiconductor-metal composite gate electrodes containing different thickness interfacial dielectrics and methods of making thereof
TWI230460B (en) Gate-induced strain for MOS performance improvement
TWI338350B (en) Methods of forming a semiconductor structure
TW550811B (en) CMOS vertical replacement gate (VRG) transistors
TWI579925B (zh) 半導體結構及其製造方法
TWI331781B (en) Semiconductor fabrication method, method of forming a strained semiconductor structure
US9281390B2 (en) Structure and method for forming programmable high-K/metal gate memory device
US8022488B2 (en) High-performance FETs with embedded stressors
US8394710B2 (en) Semiconductor devices fabricated by doped material layer as dopant source
US7545001B2 (en) Semiconductor device having high drive current and method of manufacture therefor
EP1856731B1 (en) Integrated circuit and method for its manufacture
US20170207336A1 (en) Active regions with compatible dielectric layers
TW408424B (en) Semiconductor device with silicon replacing structure on the insulated layer and the manufacture method thereof
TW569451B (en) Process for fabricating a vertical bipolar junction transistor, process for fabricating an integrated circuit structure, and integrated circuit structure
TWI460794B (zh) 具有較低接觸電阻的mos結構及其製造方法
TW200832566A (en) Stress enhanced MOS transistor and methods for its fabrication
TW200929541A (en) Transistor and method of fabricating the same
US20140001561A1 (en) Cmos devices having strain source/drain regions and low contact resistance
TWI497647B (zh) 使用矽化物電極和矽化物-鍺化物合金電極之cmos整合方案
TWI387010B (zh) 用於製造電晶體之方法
TW200824007A (en) Stressed field effect transistor and methods for its fabrication
JP2009032955A (ja) 半導体装置、およびその製造方法
TW200842988A (en) Semiconductor device and method for manufacturing semiconductor device
TW200910467A (en) Strained channel transistor
TW201201371A (en) Monolayer dopant embedded stressor for advanced CMOS