TW200821747A - Phase shifting photomask and a method of fabricating thereof - Google Patents

Phase shifting photomask and a method of fabricating thereof Download PDF

Info

Publication number
TW200821747A
TW200821747A TW096132586A TW96132586A TW200821747A TW 200821747 A TW200821747 A TW 200821747A TW 096132586 A TW096132586 A TW 096132586A TW 96132586 A TW96132586 A TW 96132586A TW 200821747 A TW200821747 A TW 200821747A
Authority
TW
Taiwan
Prior art keywords
layer
substrate
etching
mask
film stack
Prior art date
Application number
TW096132586A
Other languages
English (en)
Other versions
TWI375859B (en
Inventor
Scott Alan Anderson
xiao-yi Chen
Michael N Grimbergen
Ajay Kumar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200821747A publication Critical patent/TW200821747A/zh
Application granted granted Critical
Publication of TWI375859B publication Critical patent/TWI375859B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

200821747 九、發明說明: 【發明所屬之技術領域】 本發明主要涉及在半導體工業中,用以製造相 的光罩,更具體地,係關於相位移光罩及其製造方 【先前技術】 在積體電路(1C )或者晶片的製造中,係使用 使用的光罩或者圖罩(reticle),製造晶片的不同 案。典型地,一晶片係經由一組1 5 - 3 0個或者更多 製造,並且該圖罩可被重複使用。在此,術語“夫 “圖罩”可交替使用。每個光罩通過微影的方式, 晶片層的設計轉換或轉印到設置在半導體基板上 層。由於光罩中的缺陷可能會被轉印到晶片上, 罩的精確度非常重要,一旦缺陷被轉印,會潛在 性能産生負面影響。 傳統的光罩一般包括透光玻璃,或具有鉻的 的石英基板,該鉻的圖案化層形成待轉印到光阻 像的不透明區域。適於印出先進的次-1 5 Onm特徵 其係利用各別微影系統之照射源所使用的光的干 能,這通常在現有技術中是公知的,並且該光罩 位移光罩。相位移光罩包括具有交替光程的區域 典型地,在鄰近區域中,光程差約為用於曝光光 波長的一半。該光罩有助於圖像的轉印,且所轉 具有超過傳統光罩光學限制的解析度。 體電路 法。 可重複 層的圖 的圖罩 罩”和 將各個 的光阻 此該光 對電路 案化層 中的圖 光罩, 測量性 稱爲相 圖案。 之光其 的圖像 5 200821747 然而,雖然於習知技術中已致力於開發相位移光罩 但光罩與製造光罩的方法仍待進一步的改善。 【發明内容】 本發明提供一種相位移光罩及其製造方法。在一實 例中,一種相位移光罩包括在透明基板上形成的圖案化 膜疊層。該膜疊層包括設置在基板中,並對光具有預定 透明度值的第一層,以及設置在第一層上的第二層,其 該第一層和第二層係選用以使通過第一層和第二層的光 相對於通過基本對光透明之開口的光,產生1 8 0度的相 移。 在另一實施例中,一種相位移光罩,其包括基板以 在該基板上形成的膜疊層,膜疊層具有形成在其中,且 露部分所述基板的至少一個開口,其中該膜疊層包括設 在担層上的至少一二氧化石夕層,其中該膜疊層和基板具 使通過膜疊層的光相對於基板産生1 8 0度相位移的特性 在又一實施例中,一種用於製造相位移光罩的方法 括:提供一基板,該基板對於由微影系統的照射源産生 光基本上為透明,該基板包含膜疊層,其中該膜疊層和 板具有使通過膜疊層的光相對於基板産生1 8 0度相位移 特性,該膜疊層進一步包括犧牲上層、對光具有預定透 度值的第一層,和對光基本上為透明的第二層;在犧牲 層上形成圖案化的蝕刻遮罩;蝕刻犧牲上層的暴露部分 蝕刻第二層的暴露部分;利用基板作爲蝕刻終止層,蝕 施 的 的 中 位 及 暴 置 有 〇 包 的 基 的 明 上 9 刻 6 200821747 第一層的暴露部分;去除圖案化的蝕刻遮罩並去除犧牲 層。 在又一實施例中,一種用於製造相位移光罩的方法 包括:提供具有钽(Ta)層、二氧化矽(Si02 )層、含 (Cr )層的石英基板以及在含Cr層上的圖案化的光阻蝕 遮罩;蝕刻通過蝕刻遮罩暴露的部分含C r層;蝕刻通過 • 蝕刻的含Cr層暴露的部分Si02層;利用基板作爲蝕刻 f 止層蝕刻通過所蝕刻的Si 02層暴露的部分Ta層;去除 \ 案化的餘刻遮罩,以及去除含Cr層。 該發明内容既不意指也不應該理解爲表示本發明的 部内容和範圍,其中這些和額外的態樣將通過詳細說明 加顯而易見,尤其是參照附圖時。 【實施方式】第1圖是示出根據本發明一實施例,用於 造相位移光罩(P S Μ )的方法1 0 0的流程圖。在一些實 例中,利用分離的製程反應器執行該方法之步驟。在替 ί : 的實施例中,在相同的處理反應器(即,原位)或在不 的反應器中執行該方法步驟中的至少兩個步驟。 > 在一示例性實施例中,利用Tetra I或Tetra II光 • 電漿體反應器或分立電漿體源(DPS® ) II反應器,執行 法1 0 0的蝕刻製程(參照方框1 0 6、1 0 8和1 1 0討論), 有以上的反應器都可從加利福尼亞 Santa Clara的應用 料有限公司購得。這些反應器的顯著特徵將於下文參照 3圖討論。DPS® II反應器通常用作Centura®集成處理 上 可 鉻 刻 所 終 圖 全 更 製 施 代 同 罩 方 所 材 第 系 7 200821747 , 統的處理模組,並且也可從應用材料有限公司購得。本領 • 域熟習技藝者將易於理解,還可利用可從其他設備製造商 購得的蝕刻反應器執行該蝕刻製程。 第2A-2H圖是部分基板的示意性橫截面視圖,該基板 包括在第1圖方法1 0 0的連續方框間,所製造的P S Μ的膜 疊層。在第2Α-2Η圖中的橫截面視圖示出了方法100的獨 ^ 立的處理步驟。爲了更好的理解本發明’請同時參照第1 f | 圖和第2A-2H圖。子製程和微影例行程序(例如,光阻的 曝光和顯影,晶圓清洗程序等等)對於本領域的普通技術 人員是衆所周知的,從而在第1圖和第2A-2H圖中沒有示 出。 方法100始於方框102處,此係適於製造PSM的膜疊 層220形成在基板202上時(第2A圖)。在一特定的實施 例中,基板202適於在微影系統中使用。基板202可由石 英或其他材料(例如,玻璃)形成,該材料對於由使用該 < PsM之微影系統的照射源提供的光,例如對於以1 93 nm、 k y , Βδηιη或更短波長所發出的深紫外線準分子雷射’具有光 . 學透明性。 膜疊層220 —般包括連續沈積在基板202上的半透明 層2〇4、相位移層206和犧牲保護層208。在其他實施例中, 層2〇4和2〇6可以不同的次序沈積在基板2〇2上。犧牲保 護層208係以一般在方法100期間,用以抵抗蝕刻製程的 持料形成’以圖案化半透明層204和相位移層2〇6。 在第2Α圖所述之實施例,膜疊層22〇包括連續沈積 8 200821747 的钽(Ta)層204、二氧化矽(Si02或石英)層206和鉻 (Cr )層20 8。Ta層具有在約5到約50nm範圍内的厚度。 當形成該厚度時,Ta層204提供了在微影系統中所使用的 照射源之光的預定透明度值。Si02層206對於照射源的光 基本為透明,並形成爲從約50至約3 00nm範圍内的預定 厚度。在所製造的PSM中,Si02層206 (並且,在一些實 施例中,Ta和 Si02或石英層的結合效應)有助於微影系 統中所使用的光在通過遮罩時,達到預定相位移(例如, 大約1 8 0度相位移)。C r層2 0 8爲具有約2 0到約2 0 0 nm範 圍内之厚度的犧牲保護層。在一實施例中,層 2 0 4、2 0 6 和2 0 8的厚度分別爲約2 0、1 5 0和6 0 n m。 可以想像,層2 0 4、2 0 6和2 0 8可以由具有類似的光學 或物理屬性的其他材料製造。特別地,層2 0 4可由對於照 射源的光,能提供受控的透明度之材料形成,而層206可 由對於前述光具有穿透性的材料形成,而層2 0 8材料的選 擇則是基於與方法1 〇 〇的製程步驟的相容性。例如,在可 選實施例中,層204、206和208可由MoSixOyNz疊層製 造。這樣,在膜疊層220的層中Ta、Si02和Cr的特定使 用是示例性的,並且不應該用於限制本發明的範圍。 膜疊層220的層可以使用任意傳統的薄膜沈積技術形 成,例如,原子層沈積(ALD )、物理氣相沈積(PVD )、 化學氣相沈積(CVD )、電漿輔助式CVD ( PECVD )等等。 例如,可使用CENTURA⑧、ENDURA®和其他可從應用材料 有限公司購得之製程系統的相對應反應器,或其他設備製 9 200821747 造商的製程反應器沈積該層。 在方框1 0 4,將光阻層2 1 0施加到犧牲保護層2 0 8 (第 2B圖),然後,使用傳統的微影製程,圖案化層21 0以形 成光阻遮罩2 1 6 (第2 C圖)。光阻遮罩21 6中的開口係用 以定義將在方法1〇〇的後續步驟中,於膜疊層220的層中 所形成的特徵2 1 4其位置和佈局尺寸。特徵2 1 4可具有不 • 同的佈局或形狀,包括諸如觸點、溝槽、OPC特徵等的形 Γ 狀。 層2 1 0可選擇性的包括抗反射層2 1 2 (以虛線示出), 該抗反射層212控制用於圖案化光阻層210之光的反射, 並減少在圖案轉移製程中,因光反射引起的錯誤。層 2 1 2 通常由諸如氮化矽(S iN )、聚醯胺等材料之一或多層膜形 成。 在方框1 0 6,使用光阻遮罩2 1 6作爲蝕刻遮罩,蝕刻 犧牲保護層208 (第2D圖)。在完成方框106的蝕刻製程 時,特徵2 1 4被從遮罩2 1 6轉印到犧牲保護層208中。 ( 在一實施例中,使用包含至少一種含氣氣體(例如, 氯氣(Cl2))或至少一種含氟氣體(例如,六氟化硫(SF6) 或四氟化碳(CF4))的電漿蝕刻Cr層208。在2006年3 、 月28日發表的共同轉讓的美國專利No. 7,018,934B2中描 述了用於蝕刻C r的這些製程。可以想像,其他適宜的蝕刻 製程也可用於蝕刻Cr層208。 在方框1 0 8,係使用光阻光罩2 1 6,以及可選地或另外 的犧牲保護層2 0 8的下部分作爲蝕刻遮罩,以對相位移層 10 200821747 206蝕刻(第2E圖)。在一可選實施例中(未示出),在蝕 刻相位移層2 0 6之前,可使用例如傳統的灰化或濕蝕刻製 程去除光阻遮罩2 1 6。在前述的方框1 0 6期間,可部分侵 蝕光阻遮罩2 0 8,然後以圖案化的犧牲保護層2 0 8作為硬 蝕刻遮罩,其定義在層2 0 6中所形成的特徵2 1 4的佈局。
方框1 0 8的蝕刻製程使用半透明的層2 0 4作爲蝕刻終 止層。爲了確定蝕刻製程的終點,蝕刻反應器可使用終點 檢測系統,以監控特定波長的電漿發射、鐳射干涉測量、 製程時間的控制等等。在特定的實施例中,終端檢測系統 可使用3 3 6 3埃的四氟化矽(S iF4 )分子線的發射。或者終 端檢測系統可使用38 7 1 -3 8 83埃的氰(CN)分子線的發射。 在一實施例中,Si02層係以約2到約1 OOsccm的流 速提供四敗化碳(C F 4 ),以及以約5到約1 0 0 s c c m的流速 提供三氟甲烷(CHF3 )(即,CF4 : CHF3流量比率在約1 ·· 5 0到約1 0 : 1的範圍内)進行蝕刻,同時以約1 3 · 5 6 MHz 的頻率施加約 1 0 0至約 1 5 0 0 W 間的電漿源功率,以約 500Hz到1 0 kHz之間的頻率施加約1 0和約200W間的偏 置功率,並且保持腔室壓力在約〇·5至約20mTorr之間。 在一些實施例中,該蝕刻製程可使用連續波或脈衝電漿 源;和/或連續波或脈衝偏置功率,或者以多步蝕刻製程執 行。在一些實施例中,可使用上述方法的結合。 在一實施例中,以約1 2 · 5 s c c m的流速提供C F 4,以約 22.5sccm 的流速提供 CHF3 (即,約 1 : 1 ·8 的 CF4 : CHF3 流量比率),以約1 3.5 6 MHz的頻率、約5 0 W的偏置功率 11 200821747 施加約4 2 5 W的電漿源功率,並且將腔室壓力保持爲約2 mTorr。該蝕刻製程形成具有約 8 8-90度側壁角的特徵 2 14。該製程具有至少約15 : 1的Si02 (層206 )對Cr (層 208 )的蝕刻選擇性,以及至少約0.5 : 1的Si〇2對光阻(遮 罩2 1 6 )的蝕刻選擇性。
在另一實施例中,方框10 8可使用在2 0 0 5年1月8 曰提交的共同轉讓的美國專利申請序列號 N〇.11/031,885 的申請中,所描述的蝕刻製程。 在方框1 1 0,係使用光阻遮罩2 1 6,以及可選地或另外 的犧牲保護層2 0 8的下部分作爲蝕刻遮罩,對半透明層2 0 4 進行蝕刻(第2F圖)。方框1 10的蝕刻製程使用基板202 作爲蝕刻終止層。在特定的實施例中,終端檢測系統係使 用3 3 1 1埃的钽線發射。 在另一實施例中(未示出),在蝕刻半透明層 2 0 4之 前,可使用例如傳統的灰化或濕蝕刻製程去除光阻遮罩 2 1 6。在前述的步驟1 0 6或1 0 8期間,可部分侵蝕光阻遮罩 2 1 6,然後以圖案化的犧牲保護層208用作硬蝕刻遮罩,其 定義在層204中所形成的特徵214之佈局。 在一實施例中,通過以約1 0到約2 0 0 s c c m的流速提 供氣氣(Cl2 ),以及約10到約200 seem的流速提供氬(Ar ) (即,Cl2 : Ar流量比率在約1 ·· 20到約20 : 1的範圍内), 蝕刻Ta層 2 04,同時以約13·56ΜΗζ的頻率施加在約75 至約1 5 0 0 W之間的電漿源功率,施加約5至約1 0 0 W之間 的偏置功率,並且保持腔室壓力在約1至約20 mTorr之 12 200821747 間。在一些實施例中’蝕刻製程可使用連續波或脈衝電漿 源’和/或連續波或脈衝偏置功率,或者以多步蝕刻製程執 行。在一些實施例中’可使用上述方法的結合。 在一實施例中,以約4 0 s c c m的流速提供C 12,以約 40 SCCm的流速提供Ar(即,約1 ·· 1的Cl2 : Ar流量比率), 以約13.5 6 MHz的頻率、約25W的偏置功率施加約3 00W 的電裝源功率’並且將腔室壓力保持爲約2 mTorr。該製 程具有至少約1〇: i的Ta (層204)對石英(基板202、 層206 )的蝕刻選擇性,以及至少約1 : 1的丁&對光阻(掩 模2 1 6 )的蝕刻選擇性。 在方框1 1 2 ’使用例如灰化製程或濕蝕刻製程從膜疊 層220去除光阻遮罩216(第2G圖)。如上參照方框108 和1 1 0所述,在一些實施例中,可在這些步驟的其中之一 期間去除光阻遮罩2 1 6。這樣,在製造順序中此處可不需 要方框1 1 2。 在方框1 1 4,從相位移層2 0 6去除犧牲保護層2 0 8 (第 2H圖)。在一實施例中,方框丨丨4執行濕蝕刻製程,其使 用可從 Danvers Massachusetts 的 Transene Company 有限 公司等其他供應者購得的至少一種高氯基溶劑(例如, Cyantek CR-7s、CRE-743、TFD/1 020 等)。該製程達到至 少約20: 1的Cr (層208)對石英(基板202、層206)的 蝕刻選擇性,以及至少約10 ·· 1的Cr對Ta (基板204 )的 蝕刻選擇性。可選地,可使用上面參照方框1 0 6所討論的 蝕刻製程去除犧牲保護層208。 13
200821747 在去除犧牲保護層208之後,基板202連同 的剩餘部分一起形成P S Μ 2 1 8。在操作中,與 板2 02和特徵2 1 4的光線相較,來自微影系統 光線224在傳播通過PSM 218的區域222會產 位移。PSM 218可用於製造積體電路,其元件 45nm或更小的關鍵尺寸。在方框114完成時 結束。 第3圖是適於執行方法1 00的蝕刻製程的 反應器的向級示意性圖。所提供的反應器3 0 〇 例只是用於示意性目的,並且不應該用於限制 圍。例如,其他類型的蝕刻反應器亦可適於執 方法,包括來自其他製造商的蝕刻反應器。 反應器3 00 —般包括製程腔室3 02和控帝 程腔室3 02具有設置在傳導主體(壁)304内 3 24。反應器3 0 0還包括用於製程控制、内部言! 測等的傳統系統。這些系統被一起示爲支援系 在所述之實施例中,腔室3 02具有基本平 頂部3 08。腔室302的其他變型可具有其他類 例如拱形頂部。電漿産生天線3 1 〇設置在頂部 天線3 1 0包括可被選擇性控制的一個或多個感 (示出兩個同軸元件3 1 0a和3 1 〇b )。天線3 1 0 配網路3 1 4耦合到電漿體功率源3 1 2。電漿功 在從約50kHz到13·56ΜΗζ的範圍内或更高的 (RF)下,産生高達約3000瓦特(w)的功, I膜疊層220 傳播通過基 的照射源的 生預定的相 具有至少約 ,方法 100 示例性處理 的特定實施 本發明的範 行本發明的 1器346 ,製 的基板基座 r斷、終點檢 統 3 5 4 〇 坦的介電質 型的頂部, 308的上方。 應線圈元件 通過第一匹 率源3 1 2能 町調諧射頻 14 200821747 基板基座(陰r極)324通過第二匹配網路342耦 偏置功率源340。配置功率源34〇在約ι3·56ΜΗζ的 下,提供高達1 5 00W的功率,並能産生連續或脈衝贫 在另一實施例中,源3 4 0可在不同的頻率下操作或可j 或脈衝D C源。 在一實施例中,作爲n反應器,基板支撐 3 24包括靜電夾盤3 60。靜電夾盤36〇包括至少一個鉗 極3 3 2並由夾盤電源3 66控制。在其他實施例中,作爲 I或Tetra Π光罩反應器,基板基座324包括其他基 持機構’諸如承載器失環、機械夾盤等。 腔至壁304由金屬形成,並且耦合到反應器的電 306。可使用設置在壁304中的含液體的導管(未示出 制壁3 04的溫度。 氡體儀錶盤3 2 0耦合到製程腔室3 〇 2以向製程 3 02的内部提供製程和/或其他氣體。在所描述的實 中’氣體儀錶盤320輕合到形成於側壁304中之通i| 中的一個或多個入口 316。可選地或另外地,還可在 製程腔室3 02的頂部308中設置入口 316。使用節流取 和真空泵364控制腔室302中的氣壓。 圖罩適配器382用於將基板(諸如圖罩或其他工 322固定在基板支撐基座324上。圖罩適配器382 — 括覆蓋基座324上表面的下部分384、具有開口 388 部分3 86以及邊緣環3 26。開口 3 8 8的尺寸和形狀係 成用以支持基板3 2 2。適配器3 8 2由抗姓刻和耐高溫和 合到 頻率 r率。 I DC 基座 位電 Te tra 板保 接地 )控 腔室 施例 L 318 例如 "62 件) 般包 的頂 設計 f料, 15 200821747 諸如聚亞醯胺、陶瓷或石英形成。在2001年6月26日發 表的共同轉讓的美國專利No. 6,251,217中公開了 一種該 圖罩適配器。 在操作中,提升機構3 3 8用於降低/升高適配器3 8 2, 故基板3 22可到/離開基板支撐基座3 24。提升機構3 3 8包 括通過各個引導孔336的多個升降杆(示出了一個升降杆 33 0 )。
可通過穩定基板基座3 24的溫度而控制基板3 22的溫 度。在一實施例中,基板支撐基座3 24包括加熱器344和 可選的熱槽3 2 8。在一實施例中,加熱器3 44包括由加熱 器電源3 6 8調節的至少一個加熱元件3 3 4。可選地,經由 氣體導管3 5 8,可將來自氣源3 5 6的背部氣體(例如,氦 (He ))供應至在基板基座3 24的上表面附近形成的通道。 背部氣體用於促進基座324和基板3 22之間的熱傳遞。可 選地,加熱器3 44可包括用於在其中流過熱傳遞流體的一 個或多個流體導管。 控制器3 4 6有助於反應器3 0 0的元件的控制,並包括 中央處理單元(CPU ) 3 5 0、記憶體3 4 8和支援電路3 5 2。 控制器346可以爲在工業環境中,所使用的任意形式的通 用功能電腦處理器其中之一。記憶體3 4 8是一種在本地或 遠端易於購得的數位存儲設備。支援電路352 —般包括高 速緩衝記憶體、電源、時鐘電路、輸入/輸出電路等。典型 地,本發明方法1 〇〇的蝕刻製程係以軟體方法存儲在記憶 體3 48中,或者CPU 3 50可存取的其他電腦可讀媒介中。 16 200821747 可選地或另外地,至少部分該軟體方法還可由被反 3 00從遠端控制的CPU存儲或執行。 儘管在此參照特定的示例性實施例描述了本發明 是應該理解這些實施例僅爲本發明的示意性的原理 用。因此,在不脫離本發明的精神和範圍下,可對這 施例進行多種修改或潤飾,因此本發明之保護範圍當 • 附之申請專利範圍所界定者為準。 【圖式簡單說明】 爲了能詳細理解本發明的上述特徵,將參照部分 圖中示出的實施例對以上的簡要概述進行本發明的更 描述。然而,應該注意到附圖僅示出了本發明的典型 例,因此不能理解爲對本發明範圍的限定,因爲本發 承認其他等效的實施例。 第1圖是示出根據本發明一實施例用於製造相位 罩的方法流程圖;
C V 第2A圖-第2H圖是在第1圖的方法的連續步 _ 間,包括相位移光罩之膜疊層的部分基板示意性橫截 圖, 第3圖是適於執行第1圖的部分方法的示例性處 應器的高階示意圖。 爲了便於理解,在此盡可能使用相同的附圖標記 附圖中共有的相同元件,除了在適當的時候可添加下 應器 ,但 和應 些實 視後 在附 詳細 實施 明可 移光 驟期 面視 理反 表示 標以 17 200821747 區別這些元件。附圖中的圖像是簡單用於示意性的目的, 因此沒有按比例繪出。可以將一個實施例的元件和特徵有 利地結合到另一實施例中,而不用進一步敍述。 1 0 2 方框 1 0 6方框 1 1 0方框 11 4方框 2 04半透明層 208犧牲保護層 2 1 2抗反射層 216遮罩 220膜疊層 224光線 3 0 2製程腔室 306控制器 3 1 0天線 3 10b 同軸元件 3 1 4 匹配網路 3 1 8通道 322基板 【主要元件符號說明】 100方法 104方框
1 0 8方框 11 2方框 202基板 206相位移層 2 1 0光阻層 2 1 4特徵 218 PSM 222區域 300反應器 304傳導主體 308介電質頂部 3 10a同軸元件 3 1 2電漿功率源 316 入口 320氣體儀錶盤 18 200821747 324 基板基座 326 邊緣環 328 熱槽 330 升降杆 332 基板 336 引導孔 334 加熱元件 3 3 8提升機構 340 偏置功率 源 342 匹配網路 344 加熱器 346 控制器 348 記憶體 350 中央處理單元 352 支援電路 354 支援系統 356 氣源 358 氣體導管 360 靜電夾盤 362 節流閥 364 真空泵 366 炎盤電源 368 加熱器電 源 382 圖罩適配器 3 8 6頂部分 3 8 8 開口

Claims (1)

  1. 200821747 十、申請專利範圍: 1. 一種相位移光罩,包括: 一基板,該基板對一微影系統的照射源所産生的光類 型基本上呈透明;以及 一圖案化的膜疊層,形成於所述基板上,並具有至少 一個開口 ,所述膜疊層包括: 一第一層,設置在所述基板中,並且對所述光具 有一預定的透明度值;以及 一第二層,設置在所述第一層上,其中所述第一 層和第二層係選用使通過所述第一層和第二層的光,相對 於通過所述開口的光,産生1 8 0度的相位移,其中所述開 口貫穿所述第一層和第二層形成。 2、 如申請專利範圍第1項所述之光罩,其中所述第一 層爲组(Ta)層,而所述第二層爲二氧化石夕(Si〇2)層。 3、 如申請專利範圍第1項所述之光罩,其中所述基板 由石英或玻璃形成。 4、 如申請專利範圍第1項所述之光罩,其中所述膜疊 層形成爲MoSixOyNz疊層。 5、 如申請專利範圍第1項所述之光罩,其中所述第二 20 200821747 層由介電質形成。 6、 如申請專利範圍第1項所述之光罩,其中所述第一 層由钽(T a )形成,並具有在約5至約5 0 n m之間的厚度。 7、 如申請專利範圍第1項所述之光罩,其中所述第二 層由二氧化矽(Si02)形成,並具有在約 50至約 300nm 之間的厚度。 8、 一種相位移光罩,包括: 一基板;以及 一膜疊層,形成在所述基板上,並具有至少一個開口, 該開口在該膜疊層中形成並暴露部分所述基板,其中所述 膜疊層包括設置在一钽層上的至少一二氧化>5夕層; 其中,相對於只通過所述基板的光,所述膜疊層和基 板對通過所述膜疊層的光,具有産生1 8 0度相位移的特性。 9、 一種用於製造相位移光罩的方法,包括: 提供一基板,其對由微影系統的照射源所産生的光基 本上為透明,所述基板包括一膜疊層,該膜疊層進一步包 括: 一犧牲上層; 一第一層,該第一層對所述光具有預定的透明度 21 200821747 值; 一第二層,基本上透光; 在所述犧牲上層上形成一圖案化的蝕刻遮罩; 蝕刻所述犧牲上層的暴露部分; 蝕刻所述第二層的暴露部分; 利用所述基板作爲蝕刻終止層,蝕刻所述第一層的暴 露部分; 去除所述圖案化的蝕刻遮罩;以及 去除所述犧牲上層,其中相對於通過所述膜疊層中一 開口的光,剩餘的膜疊層和基板具有使通過所述膜疊層的 光産生1 8 0度相位移的特性。 1 0、如申請專利範圍第9項所述之方法,其中: 所述第一層由金屬形成;以及 所述第二層由介電質形成。 11、如申請專利範圍第9項所述之方法,其中: 所述基板由石英形成; 所述圖案化的蝕刻遮罩包括光阻; 所述犧牲上層由鉻(Cr )形成,並具有約20至約200nm 的厚度; 所述第一層由钽(Ta)形成,並具有在約5至約50nm 範圍内的預定厚度;以及 22 200821747 所述第二層由二氧化矽(Si〇2 )形成,並具有在約50 至約3 00nm範圍内的預定厚度。 1 2、如申請專利範圍第1 1項所述之方法,其中蝕刻所 述犧牲上層的暴露部分的步驟進一步包括: 提供包含至少一種含氣氣體或至少一種含氟氣體的電 漿體。 1 3、如申請專利範圍第1 1項所述之方法,其中蝕刻所 述第二層的暴露部分的步驟進一步包括: 以在約1 : 50至約1 0 : 1範圍内的CF4 : CHF3流量比 率流入四氟化碳(CF4 )和三氟曱烷(CHF3 ); 施加在約1 0 0和約1 5 0 0 W之間的電漿源功率; 施加在約1 0和約2 0 0 W之間的偏置功率;以及 保持所述製程腔室中的氣體壓力在約 0.5和約 20m Torr之間。 1 4、如申請專利範圍第1 1項所述之方法,其中蝕刻所 述第一層的暴露部分的步驟進一步包括: 以在約1 : 20至約20 ·· 1範圍内的Cl2 : Ar流量比率 流入氯氣(Cl2)和氬(Α〇 ; 施加在約75和約1 500W之間的電漿源功率; 施加在約5和約1 0 0 W之間的偏置功率;以及 23 200821747 保持所述製程腔室中的氣體壓力在約1和約20m Torr 之間。 1 5、如申請專利範圍第1 1項所述之方法,進一步包括: 利用一灰化製程或一濕蝕刻製程去除所述圖案化的蝕 刻遮罩。 1 6、如申請專利範圍第1 1項所述之方法,進一步包括: 利用至少一種高氯基溶劑,或者包含至少一種含氣氣 體或至少一種含氟氣體的電漿去除所述犧牲上層。 1 7、一種用於製造相位移光罩的方法,包括: 提供一石英基板,該石英基板具有一钽(Ta)層、一 二氧化矽(Si02 )層、一含鉻(Cr)層,以及一在含 Cr 層上的圖案化光阻蝕遮罩; 蝕刻經由所述蝕刻遮罩而暴露出的部分含Cr層; 餘刻經由所餘刻的含Cr層而暴露的部分Si〇2層; 利用所述基板作爲蝕刻終止層,蝕刻經由所蝕刻的 Si02層而暴露的部分Ta層; 去除所圖案化的蝕刻遮罩;以及 去除所述含絡層。 18、如申請專利範圍第17項所述之方法,其中所述含 24 200821747 Cr層具有約20至約200nm範圍内的厚度,所述Si02層具 有約50到約3 00nm範圍内的厚度,並且所述Ta層具有約 5到約5 0 n m範圍内的厚度。 1 9、如申請專利範圍第1 7項所述之方法,其中蝕刻所 述含Cr層的暴露部分的步驟進一步包括: 提供包含至少一種含氯氣體或至少一種含I氣體的電 漿。 20、如申請專利範圍第1 7項所述之方法,其中蝕刻所 述Si02層的暴露部分的步驟進一步包括: 以約1 : 50至約10 ·· 1範圍的CF4 : CHF3流量比率, 在製程腔室中提供四氟化碳(CF4 )和三氟甲烷(CHF3 ); 施加在約100和約1 500W之間的電漿源功率; 施加在約1 0和約2 0 0 W之間的偏置功率;以及 保持所述製程腔室的氣體壓力在約0.5和約20mTorr 之間。 2 1、如申請專利範圍第1 7項所述之方法,其中蝕刻所 述Ta層的暴露部分的步驟進一步包括: 以約1 ·· 20至約20 : 1範圍内的Cl2 ·· Ar流量比率, 於製程腔室中提供氯氣(Cl2)和氬(Ar); 施加約75和約1 500W之間的電漿源功率; 25 200821747 施加約5和約100W之間的偏置功率;以及 保持所述製程腔室中的氣體壓力在約1和約20mTorr 之間。 22、如申請專利範圍第1 7項所述之方法,其中去除所 述含Cr層的步驟,進一步包括將所述含Cr層暴露於至少 一種高氯基溶劑,或者包含至少一種含氯氣體或至少一種 含氟氣體的電漿中的至少一種方法。
    26
TW096132586A 2006-09-15 2007-08-31 Method for fabricating a phase shifting photomask TWI375859B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US82590006P 2006-09-15 2006-09-15

Publications (2)

Publication Number Publication Date
TW200821747A true TW200821747A (en) 2008-05-16
TWI375859B TWI375859B (en) 2012-11-01

Family

ID=38895782

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096132586A TWI375859B (en) 2006-09-15 2007-08-31 Method for fabricating a phase shifting photomask

Country Status (6)

Country Link
US (1) US7635546B2 (zh)
EP (1) EP1901118A2 (zh)
JP (1) JP5459945B2 (zh)
KR (1) KR20080025295A (zh)
CN (1) CN101144971B (zh)
TW (1) TWI375859B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9506154B2 (en) 2014-11-19 2016-11-29 Hitachi High-Technologies Corporation Plasma processing method

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5386856B2 (ja) * 2008-06-03 2014-01-15 株式会社Sumco 貼り合わせウェーハの製造方法
CN102915911B (zh) * 2012-09-24 2014-12-10 中国电子科技集团公司第五十五研究所 一种改善碳化硅台面底部的刻蚀方法
US9922806B2 (en) * 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
KR102420018B1 (ko) 2015-11-17 2022-07-12 삼성전자주식회사 나노 안테나 제조방법
CN107331612A (zh) * 2016-04-29 2017-11-07 上海磁宇信息科技有限公司 一种制作磁性随机存储器反应离子束刻蚀硬掩膜的方法
US20220390827A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask and methods
CN118053748B (zh) * 2024-04-15 2024-06-18 粤芯半导体技术股份有限公司 硅光器件的制造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07110571A (ja) * 1993-10-12 1995-04-25 Toppan Printing Co Ltd 光学マスクおよびマスクブランク
US6569595B1 (en) * 1999-02-25 2003-05-27 Kabushiki Kaisha Toshiba Method of forming a pattern
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
JP3818171B2 (ja) * 2002-02-22 2006-09-06 Hoya株式会社 位相シフトマスクブランク及びその製造方法
JP3993005B2 (ja) * 2002-03-22 2007-10-17 Hoya株式会社 ハーフトーン型位相シフトマスクブランク、ハーフトーン型位相シフトマスク及びその製造方法、並びにパターン転写方法
JP2002303966A (ja) * 2001-04-06 2002-10-18 Sony Corp マスクの製造方法
KR100815679B1 (ko) 2001-11-27 2008-03-20 호야 가부시키가이샤 하프톤형 위상 시프트 마스크 블랭크, 하프톤형 위상시프트 마스크 및 그 제조방법
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
JP3641460B2 (ja) * 2002-02-22 2005-04-20 Hoya株式会社 ハーフトーン型位相シフトマスクブランク及びハーフトーン型位相シフトマスク
JP4212025B2 (ja) * 2002-07-04 2009-01-21 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに反射型マスクの製造方法
US6942813B2 (en) * 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
US6841484B2 (en) * 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7029803B2 (en) 2003-09-05 2006-04-18 Schott Ag Attenuating phase shift mask blank and photomask
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) * 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9506154B2 (en) 2014-11-19 2016-11-29 Hitachi High-Technologies Corporation Plasma processing method
TWI568887B (zh) * 2014-11-19 2017-02-01 日立全球先端科技股份有限公司 Plasma processing method

Also Published As

Publication number Publication date
JP2008070882A (ja) 2008-03-27
TWI375859B (en) 2012-11-01
US7635546B2 (en) 2009-12-22
CN101144971B (zh) 2011-03-30
US20080070130A1 (en) 2008-03-20
KR20080025295A (ko) 2008-03-20
JP5459945B2 (ja) 2014-04-02
EP1901118A2 (en) 2008-03-19
CN101144971A (zh) 2008-03-19

Similar Documents

Publication Publication Date Title
TWI333124B (en) Method for plasma etching a chromium layer through a carbon hardmask suitable for photomask fabrication
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
TWI379354B (en) Method of etching extreme ultraviolet light(euv) photomasks
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
TW200821747A (en) Phase shifting photomask and a method of fabricating thereof
TWI326467B (en) Method for quartz photomask plasma etching
EP1918776A1 (en) Etching of nano-imprint templates using an etch reactor
TWI432886B (zh) 具有自罩層之光罩與其蝕刻方法
JP2006215552A (ja) フォトマスク製作に適したクロム層をプラズマエッチングするための方法
JP2006215552A5 (zh)
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees