TW200503167A - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device

Info

Publication number
TW200503167A
TW200503167A TW093107815A TW93107815A TW200503167A TW 200503167 A TW200503167 A TW 200503167A TW 093107815 A TW093107815 A TW 093107815A TW 93107815 A TW93107815 A TW 93107815A TW 200503167 A TW200503167 A TW 200503167A
Authority
TW
Taiwan
Prior art keywords
film
recessed portion
baked
flowable
temperature
Prior art date
Application number
TW093107815A
Other languages
English (en)
Inventor
Hideo Nakagawa
Masaru Sasago
Yoshihiko Hirai
Original Assignee
Matsushita Electric Ind Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Ind Co Ltd filed Critical Matsushita Electric Ind Co Ltd
Publication of TW200503167A publication Critical patent/TW200503167A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Nanotechnology (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
TW093107815A 2003-06-20 2004-03-23 Manufacturing method of semiconductor device TW200503167A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003175883 2003-06-20

Publications (1)

Publication Number Publication Date
TW200503167A true TW200503167A (en) 2005-01-16

Family

ID=33534879

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093107815A TW200503167A (en) 2003-06-20 2004-03-23 Manufacturing method of semiconductor device

Country Status (7)

Country Link
US (1) US7291554B2 (zh)
EP (1) EP1551055A1 (zh)
JP (1) JPWO2004114381A1 (zh)
KR (1) KR20060009227A (zh)
CN (1) CN1698182A (zh)
TW (1) TW200503167A (zh)
WO (1) WO2004114381A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7658772B2 (en) * 1997-09-08 2010-02-09 Borealis Technical Limited Process for making electrode pairs
WO2003021663A1 (en) * 2001-09-02 2003-03-13 Borealis Technical Limited Electrode sandwich separation
TW200507175A (en) * 2003-06-20 2005-02-16 Matsushita Electric Ind Co Ltd Pattern forming method, and manufacturing method for semiconductor device
JP4322096B2 (ja) * 2003-11-14 2009-08-26 Tdk株式会社 レジストパターン形成方法並びに磁気記録媒体及び磁気ヘッドの製造方法
FR2893018B1 (fr) * 2005-11-09 2008-03-14 Commissariat Energie Atomique Procede de formation de supports presentant des motifs, tels que des masques de lithographie.
JP5181512B2 (ja) * 2007-03-30 2013-04-10 富士通セミコンダクター株式会社 電子デバイスの製造方法
KR101532058B1 (ko) * 2008-09-26 2015-06-29 삼성디스플레이 주식회사 박막 트랜지스터 제조용 절연막 패턴, 이의 제조 방법 및 이를 이용한 박막 트랜지스터 기판 제조 방법
JP5481963B2 (ja) * 2009-06-25 2014-04-23 富士通株式会社 配線形成方法、半導体装置の製造方法、及び回路基板の製造方法
JP5546893B2 (ja) 2010-02-16 2014-07-09 東京エレクトロン株式会社 インプリント方法
CN102566258B (zh) * 2010-12-29 2013-09-18 中芯国际集成电路制造(上海)有限公司 双压印方法
CN102800623A (zh) * 2011-05-26 2012-11-28 中芯国际集成电路制造(上海)有限公司 形成双镶嵌结构的方法
KR20200091526A (ko) * 2019-01-22 2020-07-31 삼성전자주식회사 마스크 패턴의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
CN114695254B (zh) * 2022-05-31 2022-09-02 季华实验室 一种集成电路制备方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02137381A (ja) * 1988-11-18 1990-05-25 Oki Electric Ind Co Ltd 多孔質圧電材料の製造方法
JPH06267943A (ja) * 1993-03-15 1994-09-22 Hitachi Ltd 半導体装置の製造方法
JPH07121914A (ja) * 1993-10-20 1995-05-12 Canon Inc 光記録媒体用基板シートの製造方法及びそれに用いられるロールスタンパーの製造方法
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
JP2000157853A (ja) * 1998-09-22 2000-06-13 Kyocera Corp ガス分離フィルタおよびその製造方法
JP2000194142A (ja) 1998-12-25 2000-07-14 Fujitsu Ltd パタ―ン形成方法及び半導体装置の製造方法
JP4280860B2 (ja) * 1999-03-30 2009-06-17 直弘 曽我 基板上に形成される多孔質材料の製造法
JP2001252927A (ja) * 2000-03-10 2001-09-18 Nippon Sheet Glass Co Ltd 所定表面形状を有する物品の製造方法および成形型
CN100365507C (zh) * 2000-10-12 2008-01-30 德克萨斯州大学系统董事会 用于室温下低压微刻痕和毫微刻痕光刻的模板
JP3927768B2 (ja) * 2000-11-17 2007-06-13 松下電器産業株式会社 半導体装置の製造方法
JP4129971B2 (ja) * 2000-12-01 2008-08-06 新光電気工業株式会社 配線基板の製造方法
EP1413552A1 (en) * 2001-06-29 2004-04-28 Japan Science and Technology Corporation Method for preparing inorganic porous material
JP2003077807A (ja) * 2001-09-04 2003-03-14 Matsushita Electric Ind Co Ltd モールド、モールドの製造方法、および、パターン形成方法
US20040224261A1 (en) * 2003-05-08 2004-11-11 Resnick Douglas J. Unitary dual damascene process using imprint lithography

Also Published As

Publication number Publication date
WO2004114381A1 (ja) 2004-12-29
CN1698182A (zh) 2005-11-16
EP1551055A1 (en) 2005-07-06
KR20060009227A (ko) 2006-01-31
US20050164494A1 (en) 2005-07-28
JPWO2004114381A1 (ja) 2006-08-03
US7291554B2 (en) 2007-11-06

Similar Documents

Publication Publication Date Title
TW200503167A (en) Manufacturing method of semiconductor device
GB2459238A (en) Packaging methods for imager devices
TW200507175A (en) Pattern forming method, and manufacturing method for semiconductor device
EP1253637A3 (en) Semiconductor device including heat sinks and manufacturing method therefor
SG129260A1 (en) Method of forming contact plug on silicide structure
AU9181201A (en) Method for producing a semiconductor-metal contact through a dielectric layer
TW200725864A (en) Semiconductor structure, electrical fuse and method of making same
KR920011310A (ko) 전자 밀봉체 및 그 제조공정
TWI272702B (en) Techniques-for manufacturing flash-free contacts on a semiconductor package
EP1211716A3 (en) Semiconductor device and fabrication process therefor using a dummy gate
WO2003103032A3 (en) A method for making a semiconductor device having a high-k gate dielectric
WO2007089723A3 (en) Thermal enhanced package
MY147005A (en) Method for bonding a semiconductor substrate to a metal subtrate
WO2004077548A3 (de) Verbindungstechnik für leistungshalbleiter
TW200503064A (en) Method for manufacturing semiconductor package
JP2009105311A5 (zh)
TW200707757A (en) Method for forming contact hole and method for fabricating thin film transistor plate using the same
TW200723444A (en) Semiconductor device and process for producing the same
TW200618067A (en) Method for forming a semiconductor device having a silicide layer
GB0007279D0 (en) Method of fabricating a semiconductor device
KR101182609B1 (ko) 마그네슘 합금판재용 온간 프레스 성형장치의 열선구조
HK1048047A1 (zh) 印刷電路板
WO2007020538A3 (en) Integrated device having a semiconductor device and a temperature sensing element and corresponding manufacturing method
WO2002047148A3 (en) Electrically isolated via in a multilayer ceramic package
WO2019012977A1 (ja) 回路装置、回路装置の製造方法、およびコネクタ