TW200305216A - Method of etching and etching apparatus - Google Patents

Method of etching and etching apparatus Download PDF

Info

Publication number
TW200305216A
TW200305216A TW092104710A TW92104710A TW200305216A TW 200305216 A TW200305216 A TW 200305216A TW 092104710 A TW092104710 A TW 092104710A TW 92104710 A TW92104710 A TW 92104710A TW 200305216 A TW200305216 A TW 200305216A
Authority
TW
Taiwan
Prior art keywords
gas
flow rate
etching
patent application
item
Prior art date
Application number
TW092104710A
Other languages
English (en)
Other versions
TWI292188B (zh
Inventor
Nobayashi Kobayashi
Kenji Adachi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200305216A publication Critical patent/TW200305216A/zh
Application granted granted Critical
Publication of TWI292188B publication Critical patent/TWI292188B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

200305216 (1) 玖、發明說明 【發明所屬之技術領域】 本發明係關於半導體裝置之製造過程中被採用的蝕刻 方法以及蝕刻裝置。 【先前技術】 自我對準接觸技術爲眾所周知,係如第4圖所示,藉 著對於覆蓋在矽基板等半導體基板1 〇上被形成之閘極12 之由Si02等矽氧化膜所構成的絕緣膜層16進行電漿蝕刻 ,而形成接觸孔的情況下,以先在各閘極1 〇的表面形成 矽氮化膜(SiN )等保護膜層14,一面防止在接觸孔20 形成時閘極1 2被蝕刻,一面在各閘極1 2間之狹小空間自 己對準地形成接觸孔20的技術。 採用該種自我對準接觸技術形成接觸孔20的情況下 ,進行電漿蝕刻之情況的處理氣體方面係使用包含例如以 C4F8等CF系氣體作爲蝕刻氣體與以02作爲除去堆積物 (沈積物)之氣體的混合氣體。 但是,近年隨著半導體裝置的集積度提升、在半導體 基板上被形成的各種元件更加微細化的要求下設計規格微 細化越發進步,要求在半導體基板上被形成的各閘極(電 極)間更爲狹小化,並對在閘極(電極)間所形成的接觸 孔要求更高長寬比。 然而,使用上述先前之處理氣體的電漿蝕刻方面,在 更狹小之各閘極間越要形成更爲高長寬比的接觸孔而爲了 -6 - (2) (2)200305216 防止降低穿透性或發生蝕刻停止等,就必須要更長時間來 進行蝕刻處理。但是,由於在閘極表面形成的保護膜層 1 6之矽氮化膜的肩部(角部)係如第4圖所示以在絕緣 膜層1 6之矽氧化膜形成的方式大多會在接觸孔20伸出故 非常易於被蝕刻,根據閘極1 2之保護膜層1 4對絕緣膜層 16的蝕刻選擇比,則有保護膜層14的肩部(角部)lh 也會進而被蝕刻掉使閘極1 2露出來之虞。 在此,本發明有鑑於該種問題,其目的在提供一種蝕 刻方法以及蝕刻裝置,能夠提高閘極之矽氮化膜層對矽氧 化膜層的鈾刻選擇比,藉此能夠極力抑制被蝕刻到閘極之 保護膜層的矽氮化膜,可以形成較高長寬比的接觸孔,而 控制性優越之新的且被改良過的蝕刻方法以及蝕刻裝置。 【發明內容】 欲解決上述課題,根據本發明之觀點提供一種蝕刻方 法,在氣密之處理室內導入處理氣體使電漿產生,並在前 述處理室內之被處理體上對被形成之矽氮化膜選擇性地蝕 刻含矽之氧化膜的方法,其特徵爲:前述處理氣體係包含 至少氟化烴系氣體及第1堆積物除去氣體及堆積物除去作 用小於第1堆積物除去氣體之第2堆積物除去氣體的混合 氣體。 欲解決上述課題’根據本發明之另一觀點提供一種蝕 刻裝置,在氣密之處理室內導入處理氣體使電漿產生,並 在前述處理室內之被處理體上對被形成之矽氮化膜選擇性 (3) 200305216 地蝕刻含砂之氧化膜的蝕刻裝置,其特徵爲:前述處理氣 體係包含至少気化烴系氣體及第1堆積物除去氣體及堆積 物除去作用小於第1堆積物除去氣體之第2堆積物除去氣 體的混合氣體。 此外,上述方法以及裝置中,最好是根據氟化烴系氣 體之流量對前述第1堆積物除去氣體之流量與前述第2堆 積物除去氣體之流量的合計流量之比,以及前述氟化烴系 氣體之流量對前述第2堆積物除去氣體之流量之比,將前 述砂氮化膜對前述含矽之氧化膜的蝕刻選擇比設定成指定 之値。 此外’上述方法以及裝置中,含政之氧化膜最好爲石夕 氧化膜’此外’最好是前述氟化烴系氣體爲C4F6氣體, 前述第1堆積物除去氣體爲氧氣,而前述第2堆積物除去 氣體爲氮氣。再者,前述處理氣體最好是包含鈍氣。 此外’上述方法以及裝置中,處理氣體方面最好是 C 4 F6氣體流量對N2氣體流量之比在2 5 / 8以上8 5 / 8以 下,而且使Cd6氣體流量對〇2與N2加總起來之氣體流 量之比在15/4以上45/4以下。 此外,上述方法以及裝置中,前述處理氣體方面最好 是C4F6氣體流量對n2氣體流量之比在25 / 8以上85 / 8 以下,而且使〇2氣體流量對N2氣體流量之比在5以上 1 7以下。 此外,上述方法以及裝置中,最好是在上述處理室內 具備相互對向的上部電極與下部電極,並在前述上部電極 -8- (4) (4)200305216 施加第1高頻電力,在前述下部電極施加低於第1高頻電 力之第2高頻電力,較好是將前述第1高頻電力的頻率設 爲6 0MHz,將前述第2高頻電力之頻率設爲2MHz。 此外’上述方法以及裝置中,最好是對以前述矽氮化 膜作爲基底之前述矽氧化膜進行蝕刻,較好是前述蝕刻係 於自我對準接觸工程中進行。 根據該方式之本發明,能夠既防止發生蝕刻停止又加 快絕緣膜層之矽氧化膜之蝕刻速度,而且能更提高閘極保 護膜層之矽氮化膜對矽氧化膜層的鈾刻選擇比。所以,能 夠極力抑制被蝕刻到閘極保護膜層之矽氮化膜,能夠控制 性佳而安定地形成高長寬比之接觸孔。藉此,便能因應近 年對半導體裝置提升集積度,在半導體基板上被形成之各 種元件之更加微細化的要求。 另外,本說明書中lmTorr爲(10·3χ 1 0 1 3 2 5 / 760 ) Pa,而 lsccm 爲(10-6/60) m3/sec。 【實施方式】 以下,參照所附圖面,並就關於本發明之蝕刻方法以 及蝕刻裝置的較佳實施型態加以說明。第1圖係顯示關於 本實施型態的蝕刻裝置而以平行平板型之電漿蝕刻裝置爲 一例的槪略構成。 在該蝕刻裝置1 〇 〇之已被保安接地的處理容器1 〇 2內 形成處理室104,並在該處理室104內配置著構成可自由 上下動作之承受器的下部電極106。於下部電極106的上 -9- (5) 200305216 部設置著被連接到高壓直流電源1 0 8的靜電夾盤1 1 0,並 在該靜電夾盤11 〇上面載置被處理體例如半導體晶圓(以 下稱「晶圓」)w。再者,在被載置於下部電極1 0 6上的 晶圓W周圍配置著絕緣性聚焦環1 1 2。此外,於下部電極 106透過整合器118連接著第2高頻電源120。 此外,在與下部電極106載置面對向的處理室104天 花板部配置著具備多數個氣體吐出孔122a的上部電極 1 22。在上部電極122與處理容器1〇2之間介裝絕緣體 1 2 3並導電絕緣。此外,於上部電極1 22透過整合器1 1 9 連接著輸出電漿生成高頻電力的第i高頻電源121。 另外,對上述上部電極1 22供給來自第1高頻電源 121之例如1 3 5 6MHz以上1 50MHz以下,最好是60MHz 的第1高頻電力。此外,對下部電極1 0 6供給來自第2高 頻電源120之頻率低於第1高頻電源121高頻電力頻率之 例如2MHz以上13.56MHz以下頻率,最好是2MHz的第 2局頻電力。 在上述氣體吐出孔122a連接氣體供給管124,進而 在該氣體供給管I24連接著例如供給C4F6的處理氣體供 給系l26a,供給Ar氣體的處理氣體供給系126b,供給 N2的處理氣體供給系l26c,與供給〇2的處理氣體供給系 1 26d 〇 在各處理氣體供給系126a、126b、126c、126d透過 各個開關閥1 3 2 a、1 3 2 b、1 3 2 c、1 3 2 d與流量調整閥1 3 4 a 、134b、134c、134d而連接著C4F6氣體供給源136a、Αι* -10- (6) 200305216 氣體供給源136b、N2氣體供給源136c、〇2氣體供給源 1 3 6d ° 此外,在處理容器102下方連接著連通未圖示之真空 吸引機構的排氣管1 5 0,藉由該真空吸引機構的運作可以 讓處理室1 04內維持在指定之減壓環境。 接著,就採用上述蝕刻裝置而適用關於本實施型態之 鈾刻方法的工程參照第2圖並加以說明。首先,就適用關 於本發明之蝕刻方法之第2圖(a )所示膜構造具體例加 以說明。 該膜構造係以下述方式形成。在作爲半導體基板之 Si (矽)基板200上形成閘極202後,以覆蓋該閘極202 的方式形成作爲保護膜的矽氮化膜層204。其次,以全面 作成絕緣膜層的方式將Si02等矽氧化膜層206利用例如 C VD (化學氣相層積法)予以成膜。接著,在矽氧化膜層 2 06上塗敷光阻劑膜後,藉由形成接觸孔210之光阻劑圖 案而形成光阻劑層2 0 8。 接著,對於這樣被形成的膜構造,利用關於本發明之 蝕刻方法讓矽氮化膜層204對矽氧化膜層206做選擇性地 蝕刻而在閘極2〇2間形成接觸孔。亦即,藉由在處理室 1〇4內導入由包含作爲氟化烴系氣體之C4F6氣體、作爲第 1堆積物除去氣體之〇2(氧氣),作爲第2堆積物除去氣 體之N2 (氮氣)、Ar氣體之混合氣體所形成的處理氣體 並進行電漿處理來進行蝕刻。在此,C4F6氣體係導入作爲 蝕刻氣體,而Ar氣體係導入作爲稀釋氣體。此外,〇2以 -11 - (7) 200305216 & N2 爲了除去蝕刻所造成的堆積物(沈積物)等而導 入。 在此’作爲除去沈積物之氣體方面除了 02之外也導 入N2 ’是因爲N2除去沈積物的能力低於〇2,而易於控制 流量的關係。亦即,通常,〇2或N2等沈積物除去氣體在 流量愈多下愈能除去較多的沈積物,但是〇 2與N 2在該程 度上並不相同。N 2方面單位流量之沈積物除去量(沈積 物除去能力)增加的比例大致爲〇2的1/ 10到1/ 20。 因此’ 〇2方面即使只稍微增加其流量,沈積物除去量就 會變得很大而造成沈積物除去過多。一旦沈積物的除去量 過多’例如在本實施型態的膜構造方面,將造成無法提高 作爲閘極保護膜層之矽氮化膜層204對作爲絕緣膜層之矽 氧化膜層206的蝕刻選擇比。所以,藉由在處理氣體包含 單位流量之沈積物除去量較少的N2就能容易調整沈積物 除去量。 但是,如果在處理氣體只包含N2而未包含02,N2因 爲單位流量之沈積物除去量較少故不能充分地除去沈積物 並造成蝕刻停止的發生。因此,本發明方面在處理氣體包 含了不僅N2也有〇2。 此外,N2方面除了有沈積物除去能力限制外,如果 流量過多將造成無法提高矽氮化膜層2 0 4對矽氧化膜層 2 0 6的蝕刻選擇比。所以,有必要檢討包含N 2的各氣體 之流量比。 在此,爲了得到上述各氣體最適的流量比’加以說明 -12- 200305216 (8) 弟2圖(a )所τιχ膜構造在砂氧化膜層2 〇 6進行触刻的實 驗結果。作爲基礎的蝕刻時的條件係處理室1 〇 4內的壓力 爲 3〇mTorr,在上部電極 I22施加 6〇ΜΗζ高頻電力 1 5 3 0W,在下部電極106施加2MHz高頻電力(偏壓電力 )1 3 5 0W,上部電極122與下部電極106的間隔爲25mm ,C4F6 / Ar / 〇2之氣體流量比(C4F6氣體流量/Ar氣體 流量/〇2氣體流量)設爲16sccm/ 800sccm/10sccm,而 就處理室104內的設定溫度方面則將下部電極設爲40°C ,將上部電極設爲60°C,將側壁部設爲50°C。作爲背景 壓氣體(He氣體)的晶圓裏面冷卻氣體壓力則是將中心 値設爲5Torr,而將邊界値設爲lOTorr*。在該條件下如第 2圖(b)所示,以深度1.4//m,直徑〇.4//m,來自矽氮 化膜層204的矽基板200的深度爲0.35 // m的方式形成接 觸孔,但是在僅改變N2流量而1 00%過度蝕刻的條件下 進行蝕刻。在此所謂1〇〇%過度蝕刻的條件係指對蝕刻矽 氧化膜層2 0 6之2倍厚度所需要的時間來進行蝕刻。 首先,將N2之氣體流量設爲200 seem的情況下,亦 即,在將C4F6/ Ar/ N2/ 02之氣體流量比(C4F6氣體流 量/ Ar氣體流量/ N2氣體流量/ 02氣體流量)設爲 16sccm / 800sccm / 200sccm / lOsccm 而進行触刻的情況 下,分別在晶圓W的中央附近、中央與端部的中間附近 、端部附近方面,矽氧化膜的蝕刻速度成爲49 1 · 3 nm / min、478.Onm / min、449.3nm / min,此外砂氮化膜層 2 0 4的肩部(角部)2 0 4 a的削去量t成爲1 1 2 n m、l 1 8 n m -13- (9) 200305216 、1 3 4 n m,砂氮化膜層2 〇 4的肩部(角部)2 〇 4 a對砂氧化 膜層2 0 6的選擇比(矽氧化膜的蝕刻速度/矽氮化膜的肩 部(角部)的蝕刻速度)則成爲1 7 · 4、1 5 · 9、1 2.6。光阻 劑層2 0 8的肩部(角部)對矽氧化膜層2 0 6的選擇比(砂 氧化膜層的蝕刻速度/光阻劑層的肩部(角部)的蝕刻速 度)成爲 4.6、5.2、5.0。 在此,上述砂氮化膜層204的肩部(角部)204a白勺 削去量如第2圖(b )所示,對矽基板2 0 0傾斜4 5度的直 線作爲蝕刻前(削去前)的肩部204a減退至蝕刻後(削 去後)的肩部204a時各直線的距離t。此外,上述光阻劑 層20 8的肩部(角部)的削去量如第2圖(b )所示,以 從蝕刻前(削去前)的光阻劑層208上面到蝕刻後(削去 後)作爲肩部(角部)而削去的斜線部分與未削去的垂直 部分的邊界爲止設爲距離u。 此外,將N 2之氣體流量設爲1 5 0 s c c m的情況下,亦 即,在將C4F6/ Ar/ N2/ 02之氣體流量比(C4F6氣體流 量/ Ar氣體流量/ N2氣體流量/ 〇2氣體流量)設爲 16sccm / 800sccm/ 150sccm/ lOsccm 而進行触刻的情況 下,分別在晶圓W的中央附近、中央與端部的中間附近 、端部附近方面,矽氧化膜的蝕刻速度成爲5 0 8.7nm/ min' 502.Onm / min、474.Onm / min,此外砂氮化膜層 204的肩部(角部)204a的削去量t成爲84nm、73nm、 8 4 n m,矽氮化膜層2 〇 4的肩部(角部)2 Ο 4 a對砂氧化膜 層2 06的選擇比(矽氧化膜的蝕刻速度/矽氮化膜的肩部 -14- (10) (10)200305216 (角部)的蝕刻速度)則成爲23.1、26.0、20·5。光阻劑 層208的肩部(角部)對矽氧化膜層206的選擇比(矽氧 化膜層的鈾刻速度/光阻劑層的肩部(角部)的鈾刻速度 )成爲 5.2、7.8、7.8。 此外,將Ν2之氣體流量設爲100 seem的情況下,亦 即,在將C4F6/ Ar/ N2/ 02之氣體流量比(C4F6氣體流 量/ Ar氣體流量/ N2氣體流量/ 02氣體流量)設爲 16sccm / 800sccm/ lOOseem/ lOsccm 而進行鈾刻的情況 下,分別在晶圓W的中央附近、中央與端部的中間附近 、端部附近方面,矽氧化膜的蝕刻速度成爲5 3 9.3 rim / min、524.Onm / min、500.Onm / min,此外砂氮化膜層 204的肩部(角部)204a的削去量t成爲47nm、51nm、 65 nm,矽氮化膜層204的肩部(角部)204a對矽氧化膜 層2 06的選擇比(矽氧化膜的蝕刻速度/矽氮化膜的肩部 (角部)的蝕刻速度)則成爲4 1 . 3、3 6 · 4、2 6.6。光阻劑 層208的肩部(角部)對矽氧化膜層206的選擇比(矽氧 化膜層的蝕刻速度/光阻劑層的肩部(角部)的鈾刻速度 )成爲 6.6、 6.8、 10.0。 將該實驗結果表示在圖形上就成爲如第3圖所示。橫 軸爲N2之氣體流量,縱軸爲矽氧化膜的蝕刻速度、矽氧 化膜的選擇比,而將晶圓W的中央附近、中央與端部的 中間附近、端部附近之各値的平均標示成圖。在此,y 1 係表示N 2之氣體流量與矽氧化膜之蝕刻速度的關係的圖 形,y2表示N2之氣體流量與光阻劑層之肩部對矽氧化膜 -15- (11) 200305216 之選擇比的關係的圖形,而y3表示n2之氣體流量與矽氮 化膜層之肩部對矽氧化膜之選擇比的關係的圖形。另外, 在上述基礎的蝕刻時的條件下N 2之流量在5 0 s c c m以下會 發生蝕刻停止。 由圖形y 1可知,N2之流量愈少矽氧化膜的蝕刻速度 就愈大。此外,由圖形y2、y3可知,N2之流量愈少光阻 劑層的肩部對矽氧化膜之選擇比與矽氮化膜層的肩部對矽 氧化膜之選擇比皆愈高。但是,也可知N2之流量愈少, 相對於矽氮化膜層之肩部的矽氧化膜的選擇比之增加比率 很大,但對光阻劑層之肩部的矽氧化膜之選擇比則幾乎是 沒什麼變動。藉此可知,N2之流量愈少,就能讓光阻劑 層對矽氧化膜之選擇比幾乎不會改變,使矽氧化膜的蝕刻 速度增加,而且矽氮化膜對矽氧化膜之選擇比提高。 在此,最適的N2流量方面,實用上矽氮化膜對矽氧 化膜之選擇比最好是在2 0.0以上的範圍,進而較好是在 30.0以上的範圍。具體的N2流量方面,根據圖形y3,在 上述基礎的蝕刻時的條件下實質上最好是在17〇sccm以下 ,而實質上較好是在120 seem以下。但是,由於如果N2 過少會造成蝕刻停止故必須至少在5〇SCCm以上。根據以 上所述,最適的 N2流量實質上最好在 5〇SCcm以上 17〇SCCm以下,進而實質上較好是 80sccm以上120SCctn 以下。 如將這些換算成流量比,例如C4F6之氣體流量對N2 之氣體流量比則最好是5 0 / 1 6以上1 70 / 1 6以下(亦即 -16- (12) 200305216 2 5 / 8 以上 8 5 / 8 以卞、 ^ ^ ^ ^ 下),進而較好是8〇/16以上12〇/ 16以下(亦即以上15/2以下)。此外,触刻氣 體之C4F$之氣體流籩對沈積物除去氣體之N2與〇2加總 起來的氣體流量之比最好是6〇/丨6以上 15/4以上45/4以下),進而較好是9〇/16以上13〇/ 16以下(45/8以上65/8以下)。此外,作爲沈積物除 去虱體方面0 2之氣體流量對N 2之氣體流量之比最好是 5 0/ 10以上170/ 1〇以下(5以上17以下),進而較好 疋80/10以上120 / 1〇以下(8以上12以下)。 基於這樣的原理,依上述最適蝕刻之條件對於第2圖 (a )所示的膜構造進行電漿蝕刻時,就能如第2圖(b ) 所示般極力抑制被蝕刻到閘極保護膜層之矽氮化膜層204 ,且在閘極202間自己對準地形成接觸孔210。 在此,爲了與根據本實施型態之蝕刻方法的實驗結果 作比較,而顯示出根據先前之蝕刻方法的實驗結果。該情 況下蝕刻時的條件爲對上部電極122施加60MHz高頻電 力1 500W,對下部電極106施加2MHz高頻電力(偏壓電 力)1300W,將C5F8/Ar/02之氣體流量比(C5F8氣體 流量/ Ar氣體流量/ 〇2氣體流量)設定爲16sccm/ 800sccm/18sccm,而處理室104內的設定溫度方面將下 部電極設定爲4 0它,將上部電極設定爲6 0 °C ’將側壁部 設定爲5 0°C。作爲背景壓氣體(He氣體)的晶圓裏面冷 卻氣體壓力則是將中心値設爲5 T 〇 r r、將邊界値設爲 1 0 T 〇 r r 〇 -17- (13) 200305216 在該先前的條件下對第2圖(a )所示之膜構造進行 電漿蝕刻時,可獲得如下的實驗結果。另外,以下的實驗 結果是在晶圓W的中央附近 '中央與端部之中間附近、 端部附近所測定的平均値。 矽氧化膜的鈾刻速度成爲50〇nm/ min,此外矽氮化 膜層2〇4的肩部(角部)204a的削去量t成爲8nm,矽氮 化膜層204的肩部(角部)204對矽氧化膜層206之選擇 比(矽氧化膜的蝕刻速度/矽氮化膜的肩部(角部)的蝕 刻速度)成爲20.0。光阻劑層20 8的肩部(角部)對矽氧 化膜層206之選擇比(矽氧化膜層的鈾刻速度/光阻劑層 的肩部(角部)的蝕刻速度)成爲6.0。 由本實施型態的實驗結果與先前的實驗結果可知,以 本實施型態的方式藉由在處理氣體加入N2,選擇其流量 比成爲上述最適値,可以防止蝕刻停止的發生,並加快絕 緣膜層之矽氧化膜層206的蝕刻速度,而且能更加提高閘 極202保護膜層之矽氮化膜層對矽氧化膜層206的蝕刻選 擇比。因而,可以極力抑制被蝕刻到閘極202保護膜層之 矽氮化膜層2 04,能形成更高長寬比的接觸孔210。 以上,參照所附圖面並就關於本發明的較佳實施型態 加以說明,但本發明當然並非就侷限於這些有關之例子。 業者在專利申請範圍所記載的範疇內可得想到各種變更例 或修正例,也當然屬於本發明的技術範圍。 例如,上述實施型態中含矽之氧化膜係就作爲絕緣膜 層之矽氧化膜層206的情況加以說明’然不僅是矽氧化膜 (14) 200305216 ,也可以作成加碳矽酸(SiOC )膜,加氫矽酸(SiOH ) 膜,加氟矽酸(SiOF )膜等無機低介電率膜。 另外,上述矽氧化膜也可以由B P S G (硼與磷之氧化 矽玻璃)、P S G (磷之氧化矽玻璃)、τ E 0 S、T h — Ο X ( 熱氧化物)或S0G( Spin-On Glass)等構成。 此外,上述實施型態中係就使用C4F6氣體作爲在處 理氣體所包含的氟化烴系氣體的情況予以說明,但也可以 使用其他C5F8氣體等氟化烴系氣體。 春 此外,上述實施型態中,蝕刻裝置方面係就使用在上 部電極施加60MHz之高頻電力,在下部電極施加2MHz 之高頻電力的裝置的情況予以說明,但並非必須侷限於此 ,蝕刻裝置方面也可以使用僅於上部電極或者僅於下部電 極施加高頻電力的裝置,或在這些電極進而形成磁場的裝 置。 該情況下,因爲使用在如上述實施型態中的上部電極 施加60MHz之高頻電力,在下部電極施加2MHz之高頻 修 電力的裝置的情況下,特別是能以在上部電極施加高頻電 力來控制電漿密度,以在下部電極施加高頻電力來控制離 子能量,並成爲可以控制例如影響蝕刻選擇性的反應生成 物(沈積物、堆積物)的附著、除去等’所以是最好的。 再者,蝕刻裝置方面也可以使用ECR電漿蝕刻裝置 、螺旋極化波電漿蝕刻裝置、TCP型電漿蝕刻裝置、誘導 結合型電漿蝕刻裝置等。 根據以上詳述之本發明,藉由在處理氣體加入N2, -19- (15) 200305216 選擇其流量比成爲最適値,就能防止蝕刻停止的發生並加 快絕緣膜層之矽氧化膜層的蝕刻速度,而且能提高閘極保 護膜層之矽氮化膜層對矽氧化膜層之蝕刻選擇比。因而, 能極力抑制被蝕刻到閘極保護膜層之矽氮化膜,能控制性 佳並安定地形成高長寬比的接觸孔。 產業上之利用可能性 本發明可以適用於半導體製造過程的蝕刻方法以及蝕 刻裝置,特別是可以適用於在氣密處理內導入處理氣體而 予以電漿化,對於被配置在處理室內的被處理體加以電漿 蝕刻的蝕刻方法以及蝕刻裝置。 【圖式簡單說明】 第1圖係可以適用本發明實施型態之蝕刻方法的蝕刻 裝置的槪略構成圖。 第2圖係本發明實施型態之鈾刻方法的工程圖。 第3圖係顯示本發明實施型態之鈾刻矽氧化膜之情況 的實驗結果的圖。 第4圖係說明先前之蝕刻方法的圖。 圖號說明 100 蝕刻裝置 102 處理容器 1 04 處理室 -20- (16)200305216 1 06 下部電極 1 08 高壓直流電源 118 整合器 119 整合器 1 20 高頻電源 12 1 高頻電源 1 22 上部電極 122a 氣體供給孔 123 絕緣體 124 氣體供給管 126a 〜126d 氣體供給系 136a〜136d 氣體供給源 15 0 排氣管 200 矽基板 202 閘極 204 矽氮化膜層 206 矽氧化膜層 208 光阻劑層 2 10 接觸孔 W 晶圓
-21 -

Claims (1)

  1. (1) 200305216 拾、申請專利範圍 1 · 一種蝕刻方法,在氣密的處理室內導入 生電漿,並相對於矽氮化膜選擇性地蝕刻前述 被處理體上所形成之含矽氧化膜的方法,其特 前述處理氣體係包含至少氟代烴系氣體及 除去氣體及堆積物除去作用小於第1堆積物除 2堆積物除去氣體的混合氣體。 2·如申請專利範圍第1項記載之蝕刻方法 據BU述氣代煙系热體之流重對則述第1堆積物 流量與前述第2堆積物除去氣體之流量的合計 以及則述氟代烴系氣體之流量對前述第2堆積 之流量之比,將前述矽氮化膜對前述含矽之氧 選擇比設定成指定値。 3 ·如申請專利範圍第1項記載之蝕刻方法 含砂之氧化膜爲砂氧化膜。 4·如申請專利範圍第1項記載之蝕刻方法 氟代烴系氣體爲C4F6氣體,前述第1堆積物 氧氣,前述第2堆積物除去氣體爲氮氣。 5 ·如申請專利範圍第4項記載之蝕刻方法 處理氣體中匕匕氣體流量對N2氣體流量之比 上8 5 / 8以下,而且c 4 F 6氣體流量對〇 2與: 體流量之比爲丨5 / 4以上4 5 / 4以下。 6 ·如申請專利範圍第4項記載之蝕刻方法 [起理热體中C4F6氣體流量對N2氣體流量之比 處理氣體產 處理室內之 徵爲: 第1堆積物 去氣體之第 ,其中係根 除去氣體之 流量之比, 物除去氣體 化膜的蝕刻 ,其中前述 ,其中前述 除去氣體爲 ,其中前述 爲2 5 / 8以 '^總計之氣 ,其中前述 爲2 5 / 8以 -22- (2) 200305216 上85/8以下,而且〇2氣體流量對N2氣體流量之比爲5 以上1 7以下。 7. 如申請專利範圍第1項記載之鈾刻方法,其中前述 處理氣體係包含鈍氣。 8. 如申請專利範圍第1項記載之蝕刻方法,其中在前 述處理室內具備相互對向之上部電極與下部電極,並在前 述上部電極施加第1高頻電力,在前述下部電極施加低於 第1高頻電力的第2高頻電力。 9·如申請專利範圍第8項記載之蝕刻方法,其中將前 述第1高頻電力的頻率設爲60MHz,而將前述第2高頻 電力的頻率設爲2MHz。 1 0 .如申請專利範圍第3項記載之蝕刻方法,其中對 以前述矽氮化膜作爲基底之前述矽氧化膜進行蝕刻。 1 1 ·如申請專利範圍第1 0項記載之蝕刻方法,其中前 述鈾刻係在自我對準接觸工程進行。 I2·—種蝕刻裝置,在氣密之處理室內導入處理氣體 產生電漿,並在前述處理室內之被處理體上對所形成之矽 氮化膜選擇性地蝕刻含矽之氧化膜的蝕刻裝置,其特徵爲 前述處理氣體係包含至少氟化烴系氣體及第1堆積物 除去氣體及堆積物除去作用小於第i堆積物除去氣體之第 2堆積物除去氣體的混合氣體。 1 3 ·如申請專利範圍第1 2項記載之蝕刻裝置,其中係 根據前述氟化烴系氣體之流量對前述第1堆積物除去氣體 -23- (3) 200305216 之流量與前述第2堆積物除去氣體之流量的合計流量之比 ,以及前述氟化烴系氣體之流量對前述第2堆積物除去氣 體之流量之比,將前述矽氮化膜對前述含矽之氧化膜之蝕 刻選擇比設定成指定値。 1 4·如申請專利範圍第1 2項記載之蝕刻裝置,其中前 述含矽之氧化膜爲矽氧化膜。 1 5 .如申請專利範圍第1 2項記載之蝕刻裝置,其中前 述氟化烴係氣體爲C4F6氣體,前述第1堆積物除去氣體 爲氧氣’前述第2堆積物除去氣體爲氮氣。 1 6 ·如申請專利範圍第1 5項記載之蝕刻裝置,其中前 述處理氣體中C4F6氣體流量對>^2氣體流量之比爲25/8 以上85/ 8以下,而且c4F6氣體流量對〇2與n2總計之 氣體流量之比爲15/4以上45/4以下。 1 7 ·如申請專利範圍第丨5項記載之蝕刻裝置,其中前 述處理氣體中C4F6氣體流量對N2氣體流量之比爲25/8 以上85/ 8以下,而且〇2氣體流量對n2氣體流量之比爲 5以上1 7以下。 1 8 ·如申請專利範圍第1 2項記載之蝕刻裝置,其中前 述處理氣體係包含鈍氣。 I9·如申請專利範圍第12項記載之蝕刻裝置,其中在 前述處理室內具備相互對向之上部電極與下部電極,並在 前述上部電極施加第1高頻電力,在前述下部電極施加較 低於第1高頻電力的第2高頻電力。 20.如申請專利範圍第1 9項記載之蝕刻裝置,其中將 -24- (4) 200305216 前述第1高頻電力的頻率設爲60MHz,而將前述第2高 頻電力的頻率設爲2MHz。 2 1 .如申請專利範圍第1 4項記載之蝕刻裝置’其ψ胃 以前述矽氮化膜作爲基底之前述矽氧化膜進行蝕% ° 2 2.如申請專利範圍第2 1項記載之蝕刻裝置’其中前 述蝕刻係在自我對準接觸工程進行。
    -25-
TW092104710A 2002-03-12 2003-03-05 Method of etching and etching apparatus TW200305216A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002066343A JP4153708B2 (ja) 2002-03-12 2002-03-12 エッチング方法

Publications (2)

Publication Number Publication Date
TW200305216A true TW200305216A (en) 2003-10-16
TWI292188B TWI292188B (zh) 2008-01-01

Family

ID=27800249

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092104710A TW200305216A (en) 2002-03-12 2003-03-05 Method of etching and etching apparatus

Country Status (5)

Country Link
US (2) US20050042876A1 (zh)
JP (1) JP4153708B2 (zh)
AU (1) AU2003211593A1 (zh)
TW (1) TW200305216A (zh)
WO (1) WO2003077301A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI608536B (zh) * 2013-04-19 2017-12-11 Tokyo Electron Ltd Etching method and memory medium

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129282B2 (en) * 2006-07-19 2012-03-06 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
KR101276258B1 (ko) * 2011-11-21 2013-06-20 피에스케이 주식회사 반도체 제조 장치 및 반도체 제조 방법
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
GB201305674D0 (en) * 2013-03-28 2013-05-15 Spts Technologies Ltd Method and apparatus for processing a semiconductor workpiece
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9748366B2 (en) 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2
JP6578145B2 (ja) * 2015-07-07 2019-09-18 東京エレクトロン株式会社 エッチング方法
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948701A (en) * 1997-07-30 1999-09-07 Chartered Semiconductor Manufacturing, Ltd. Self-aligned contact (SAC) etching using polymer-building chemistry
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6151931A (en) * 1998-12-18 2000-11-28 Voith Sulzer Paper Technology North America, Inc. Effluent shower for pulp washer
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6544429B1 (en) * 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6797189B2 (en) * 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6040223A (en) * 1999-08-13 2000-03-21 Taiwan Semiconductor Manufacturing Company Method for making improved polysilicon FET gate electrodes having composite sidewall spacers using a trapezoidal-shaped insulating layer for more reliable integrated circuits
JP2002025979A (ja) * 2000-07-03 2002-01-25 Hitachi Ltd 半導体集積回路装置の製造方法
JP4566373B2 (ja) * 2000-09-21 2010-10-20 東京エレクトロン株式会社 酸化膜エッチング方法
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI608536B (zh) * 2013-04-19 2017-12-11 Tokyo Electron Ltd Etching method and memory medium

Also Published As

Publication number Publication date
US20050042876A1 (en) 2005-02-24
TWI292188B (zh) 2008-01-01
JP2003264178A (ja) 2003-09-19
US7943524B2 (en) 2011-05-17
JP4153708B2 (ja) 2008-09-24
AU2003211593A1 (en) 2003-09-22
WO2003077301A1 (fr) 2003-09-18
US20080014749A1 (en) 2008-01-17

Similar Documents

Publication Publication Date Title
JP5108484B2 (ja) 誘電ギャップ充填用のマルチステップ堆積・エッチング・堆積(dep−etch−dep)高密度プラズマ化学気相堆積プロセス
US6867086B1 (en) Multi-step deposition and etch back gap fill process
TWI352387B (en) Etch methods to form anisotropic features for high
US20110201208A1 (en) Plasma etching method and plasma etching apparatus
JP2018516463A (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
JP2004508709A (ja) 酸化物の選択的エッチング方法
TW200305216A (en) Method of etching and etching apparatus
TWI766866B (zh) 蝕刻方法
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
JPH05102107A (ja) 半導体装置の製造方法
WO2013047464A1 (ja) エッチング方法及び装置
KR20190132948A (ko) 기판 처리 방법 및 기판 처리 장치
WO1999034419A1 (fr) Procede d'attaque
JP4184851B2 (ja) プラズマ処理方法
TW202236508A (zh) 用於半導體裝置形成之底層膜
JP2024099512A (ja) 希ガスによる極低温原子層エッチング
TW201944454A (zh) 蝕刻方法及電漿處理裝置
TWI766014B (zh) 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
TWI235771B (en) Method of forming a fluorocarbon polymer film on a substrate using a passivation layer
JPH07169738A (ja) ドライエッチング方法
JPH06283477A (ja) 半導体装置の製造方法
JP2008141124A (ja) 半導体装置及びその製造方法
US20080203056A1 (en) Methods for etching high aspect ratio features
WO2024125303A1 (zh) 一种晶圆处理方法及用于晶圆处理的刻蚀-沉积一体设备
TWI837338B (zh) 基板處理方法及基板處理裝置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees