SG145688A1 - Cleaning process and apparatus for silicate materials - Google Patents

Cleaning process and apparatus for silicate materials

Info

Publication number
SG145688A1
SG145688A1 SG200805490-0A SG2008054900A SG145688A1 SG 145688 A1 SG145688 A1 SG 145688A1 SG 2008054900 A SG2008054900 A SG 2008054900A SG 145688 A1 SG145688 A1 SG 145688A1
Authority
SG
Singapore
Prior art keywords
roughness
initial
cleaning process
working surface
silicate materials
Prior art date
Application number
SG200805490-0A
Other languages
English (en)
Inventor
Samantha Tan
Ning Chen
Original Assignee
Chemtrace Prec Cleaning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chemtrace Prec Cleaning Inc filed Critical Chemtrace Prec Cleaning Inc
Publication of SG145688A1 publication Critical patent/SG145688A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods

Landscapes

  • Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Surface Treatment Of Glass (AREA)
  • Glass Melting And Manufacturing (AREA)
  • Cleaning In General (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Drying Of Semiconductors (AREA)
SG200805490-0A 2003-07-24 2004-07-22 Cleaning process and apparatus for silicate materials SG145688A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/627,185 US7045072B2 (en) 2003-07-24 2003-07-24 Cleaning process and apparatus for silicate materials

Publications (1)

Publication Number Publication Date
SG145688A1 true SG145688A1 (en) 2008-09-29

Family

ID=34080589

Family Applications (1)

Application Number Title Priority Date Filing Date
SG200805490-0A SG145688A1 (en) 2003-07-24 2004-07-22 Cleaning process and apparatus for silicate materials

Country Status (7)

Country Link
US (2) US7045072B2 (zh)
JP (1) JP4774367B2 (zh)
KR (1) KR101145470B1 (zh)
CN (2) CN101901743B (zh)
SG (1) SG145688A1 (zh)
TW (1) TWI251873B (zh)
WO (1) WO2005010948A2 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050161061A1 (en) * 2003-09-17 2005-07-28 Hong Shih Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
US7754609B1 (en) 2003-10-28 2010-07-13 Applied Materials, Inc. Cleaning processes for silicon carbide materials
US7846349B2 (en) * 2004-12-22 2010-12-07 Applied Materials, Inc. Solution for the selective removal of metal from aluminum substrates
DE102005005196B4 (de) * 2005-02-03 2009-04-23 Heraeus Quarzglas Gmbh & Co. Kg Verfahren zur Herstellung eines Bauteils aus Quarzglas für den Einsatz in der Halbleiterfertigung und nach dem Verfahren erhaltenes Bauteil
US7514125B2 (en) 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7789969B2 (en) * 2006-11-01 2010-09-07 Applied Materials, Inc. Methods and apparatus for cleaning chamber components
US8097089B2 (en) * 2007-12-19 2012-01-17 Quantum Global Technologies LLC Methods for cleaning process kits and chambers, and for ruthenium recovery
US8398779B2 (en) * 2009-03-02 2013-03-19 Applied Materials, Inc. Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates
CN103451748B (zh) * 2012-05-28 2016-01-13 中国科学院宁波材料技术与工程研究所 一种聚丙烯腈原丝纺丝喷丝板的清洗方法
US9517873B1 (en) 2012-09-28 2016-12-13 Air Liquide Electronics U.S. Lp Clean storage packaging article and method for making and using
CN103011611B (zh) * 2012-12-24 2017-03-15 上海申和热磁电子有限公司 一种半导体用石英的表面处理方法
US20160017263A1 (en) * 2013-03-14 2016-01-21 Applied Materials, Inc. Wet cleaning of a chamber component
US9561982B2 (en) * 2013-04-30 2017-02-07 Corning Incorporated Method of cleaning glass substrates
US9537026B2 (en) 2013-06-24 2017-01-03 Mitsubishi Electric Corporation Method for manufacturing solar-power-generator substrate and apparatus for manufacturing solar-power-generator substrate
CN104423063A (zh) * 2013-08-29 2015-03-18 江苏远大光学科技有限公司 眼镜片处理方法
CN104419236A (zh) * 2013-08-29 2015-03-18 江苏远大光学科技有限公司 一种镜片处理药水
KR101529571B1 (ko) * 2014-02-20 2015-06-18 주식회사 원익큐엔씨 쿼츠 소재의 표면 처리 방법, 표면 처리용 조성물 및 그에 의해 제작된 쿼츠 소재
KR101606793B1 (ko) * 2014-08-04 2016-03-28 주식회사 원익큐엔씨 화학기상증착용 쿼츠 지그의 표면 처리 방법, 쿼츠 지그의 표면 처리용 조성물 및 그에 의해 제작된 쿼츠 지그
DE102014216325A1 (de) * 2014-08-18 2016-02-18 Wacker Chemie Ag Verfahren zur Herstellung von polykristallinem Silicium
US9406534B2 (en) * 2014-09-17 2016-08-02 Lam Research Corporation Wet clean process for cleaning plasma processing chamber components
CN104465415A (zh) * 2014-11-28 2015-03-25 上海华力微电子有限公司 一种改善剥落型缺陷的方法
CN106971969A (zh) * 2017-05-11 2017-07-21 济源石晶光电频率技术有限公司 石英晶片去污装置和石英晶片去污方法
TWI832028B (zh) 2019-12-31 2024-02-11 美商冷卻噴射公司 粒子噴射系統及從一噴射噴嘴排出一挾帶粒子流之方法
CN113770100A (zh) * 2020-07-15 2021-12-10 英迪那米(徐州)半导体科技有限公司 一种半导体零部件洁净清洗工艺
CN113149450A (zh) * 2021-05-11 2021-07-23 沈阳偶得科技有限公司 一种lpcvd工艺用石英制品制造丘陵状表面的方法

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3992454A (en) * 1971-04-26 1976-11-16 Joseph W. Aidlin Protective coating for articles
US4101386A (en) * 1971-05-07 1978-07-18 Siemens Aktiengesellschaft Methods of coating and surface finishing articles made of metals and their alloys
US3969195A (en) * 1971-05-07 1976-07-13 Siemens Aktiengesellschaft Methods of coating and surface finishing articles made of metals and their alloys
US3775202A (en) * 1972-03-13 1973-11-27 Dea Prod Inc Etching control system
JPS5144912B2 (zh) * 1973-12-09 1976-12-01
US4078963A (en) * 1973-12-10 1978-03-14 U.S. Philips Corporation Method of manufacturing a semiconductor device, having a pattern of conductors on a supporting body
JPS5213598B2 (zh) 1973-12-17 1977-04-15
US4232060A (en) * 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US3986653A (en) * 1974-09-03 1976-10-19 Tribotech Method for coating bonding tools and product
US4519914A (en) * 1975-06-30 1985-05-28 Kenji Etani Method for treating swimming pool water
US4530120A (en) * 1975-06-30 1985-07-23 Kenji Etani Methods and apparatus for bathing
US4139348A (en) 1975-11-28 1979-02-13 Massachusetts Institute Of Technology Electrochemical process and apparatus to control the chemical state of a material
US4023936A (en) * 1976-06-14 1977-05-17 Lukens Steel Company Titanium clad steel and process for making
JPS5345865A (en) * 1976-10-05 1978-04-25 Nippon Telegr & Teleph Corp <Ntt> Micromanipulator
DE2656015A1 (de) * 1976-12-10 1978-06-15 Bbc Brown Boveri & Cie Verfahren zum herstellen von halbleiterbauelementen
JPS54115645A (en) * 1978-02-28 1979-09-08 Ngk Insulators Ltd Electrochemical treatment
US4272612A (en) * 1979-05-09 1981-06-09 The United States Of America As Represented By The Secretary Of The Army Erosion lithography to abrade a pattern onto a substrate
US4327134A (en) * 1979-11-29 1982-04-27 Alloy Surfaces Company, Inc. Stripping of diffusion treated metals
US4447824A (en) * 1980-08-18 1984-05-08 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
US4367119A (en) * 1980-08-18 1983-01-04 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
US4459155A (en) * 1981-01-10 1984-07-10 The British Petroleum Company Limited Method of producing corrosion inhibitors
CA1200624A (en) * 1981-08-10 1986-02-11 Susumu Muramoto Method for the manufacture of semiconductor device using refractory metal in a lift-off step
US4579569A (en) * 1982-10-14 1986-04-01 Fume-Klean Apparatus for neutralizing and removing fumes
US4638553A (en) * 1982-12-08 1987-01-27 International Rectifier Corporation Method of manufacture of semiconductor device
US4699082A (en) * 1983-02-25 1987-10-13 Liburdi Engineering Limited Apparatus for chemical vapor deposition
US4863561A (en) * 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
US4971590A (en) * 1987-12-02 1990-11-20 Zenith Electronics Corporation Process for improving the emissivity of a non-based tension shadow mask
JPH0296334A (ja) * 1988-10-01 1990-04-09 Nisso Eng Kk 高温エッチング液の循環方法
US4957583A (en) * 1989-04-28 1990-09-18 Analog Devices, Inc. Apparatus for etching patterned substrates
JPH0317288A (ja) * 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
JP2550248B2 (ja) * 1991-10-14 1996-11-06 株式会社東芝 半導体集積回路装置およびその製造方法
US5152878A (en) * 1991-12-31 1992-10-06 International Business Machines Corporation Method for electrochemical cleaning of metal residue on molybdenum masks
JPH05259144A (ja) * 1992-03-11 1993-10-08 Fujitsu Ltd 基板洗浄方法
US5221421A (en) * 1992-03-25 1993-06-22 Hewlett-Packard Company Controlled etching process for forming fine-geometry circuit lines on a substrate
US5401319A (en) * 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
AU7221294A (en) * 1993-07-30 1995-02-28 Semitool, Inc. Methods for processing semiconductors to reduce surface particles
US5593339A (en) * 1993-08-12 1997-01-14 Church & Dwight Co., Inc. Slurry cleaning process
US5840402A (en) * 1994-06-24 1998-11-24 Sheldahl, Inc. Metallized laminate material having ordered distribution of conductive through holes
US5516399A (en) * 1994-06-30 1996-05-14 International Business Machines Corporation Contactless real-time in-situ monitoring of a chemical etching
US5516730A (en) 1994-08-26 1996-05-14 Memc Electronic Materials, Inc. Pre-thermal treatment cleaning process of wafers
US5665473A (en) * 1994-09-16 1997-09-09 Tokuyama Corporation Package for mounting a semiconductor device
US5614027A (en) * 1994-09-23 1997-03-25 Church & Dwight Co., Inc. Metal cleaner with novel anti-corrosion system
EP0803900A3 (en) * 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6012966A (en) * 1996-05-10 2000-01-11 Canon Kabushiki Kaisha Precision polishing apparatus with detecting means
US5891354A (en) * 1996-07-26 1999-04-06 Fujitsu Limited Methods of etching through wafers and substrates with a composite etch stop layer
JP3296407B2 (ja) * 1996-10-29 2002-07-02 オルガノ株式会社 電子部品部材類の洗浄方法及び洗浄装置
US5655473A (en) * 1996-09-06 1997-08-12 Lynn Davis Nebel Boat hull
US5966593A (en) * 1996-11-08 1999-10-12 W. L. Gore & Associates, Inc. Method of forming a wafer level contact sheet having a permanent z-axis material
US5766979A (en) 1996-11-08 1998-06-16 W. L. Gore & Associates, Inc. Wafer level contact sheet and method of assembly
US5744214A (en) * 1997-01-30 1998-04-28 International Business Machines Corporation Corrosion resistant molybdenum mask
US6767840B1 (en) * 1997-02-21 2004-07-27 Canon Kabushiki Kaisha Wafer processing apparatus, wafer processing method, and semiconductor substrate fabrication method
US5888308A (en) * 1997-02-28 1999-03-30 International Business Machines Corporation Process for removing residue from screening masks with alkaline solution
US5929521A (en) * 1997-03-26 1999-07-27 Micron Technology, Inc. Projected contact structure for bumped semiconductor device and resulting articles and assemblies
DE19713014C2 (de) * 1997-03-27 1999-01-21 Heraeus Quarzglas Bauteil aus Quarzglas für die Verwendung bei der Halbleiterherstellung
US5789363A (en) * 1997-05-06 1998-08-04 Church & Dwight Co., Inc. Aqueous alkaline cleaning composition containing surfactant mixture of N-octyl-2-pyrrolidone and N-coco-beta-aminocarboxylic (C2 -C4) acid for cleaning substrates and method of using same
US6187216B1 (en) * 1997-08-27 2001-02-13 Motorola, Inc. Method for etching a dielectric layer over a semiconductor substrate
JPH11290805A (ja) 1998-04-15 1999-10-26 Tietech Co Ltd メタルマスク洗浄装置
US6368410B1 (en) * 1999-06-28 2002-04-09 General Electric Company Semiconductor processing article
TW572980B (en) * 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
JP4342758B2 (ja) * 2000-02-07 2009-10-14 東京エレクトロン株式会社 半導体製造装置用石英部材の製造方法、分析方法
US6569252B1 (en) * 2000-06-30 2003-05-27 International Business Machines Corporation Semi-aqueous solvent cleaning of paste processing residue from substrates
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP2002307312A (ja) 2001-04-11 2002-10-23 Olympus Optical Co Ltd 研磨加工装置、研磨加工方法、研磨加工をコンピュータに実行させる制御プログラムおよび記録媒体
JP3524540B2 (ja) * 2001-04-12 2004-05-10 西山ステンレスケミカル株式会社 ガラス基板の化学加工方法・化学加工装置及びガラス基板
TWI262905B (en) * 2001-11-13 2006-10-01 Tosoh Corp Quartz glass parts, ceramic parts and process of producing those
JP2003212598A (ja) * 2001-11-13 2003-07-30 Tosoh Corp 石英ガラス部品及びセラミック部品並びにそれらの製造方法
KR100514167B1 (ko) 2002-06-24 2005-09-09 삼성전자주식회사 세정액 및 이를 사용한 세라믹 부품의 세정 방법
US20040000327A1 (en) * 2002-06-26 2004-01-01 Fabio Somboli Apparatus and method for washing quartz parts, particularly for process equipment used in semiconductor industries
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods

Also Published As

Publication number Publication date
JP2006528841A (ja) 2006-12-21
CN101901743B (zh) 2012-09-19
CN1882714B (zh) 2010-06-16
CN1882714A (zh) 2006-12-20
JP4774367B2 (ja) 2011-09-14
CN101901743A (zh) 2010-12-01
US20050016958A1 (en) 2005-01-27
TWI251873B (en) 2006-03-21
WO2005010948A2 (en) 2005-02-03
KR101145470B1 (ko) 2012-05-15
US7045072B2 (en) 2006-05-16
TW200516656A (en) 2005-05-16
WO2005010948A3 (en) 2005-09-15
US20050167393A1 (en) 2005-08-04
KR20060114680A (ko) 2006-11-07
US7452475B2 (en) 2008-11-18

Similar Documents

Publication Publication Date Title
TW200516656A (en) Cleaning process and apparatus for silicate materials
ATE548751T1 (de) Verfahren und system zur reaktiven atomplasmaverarbeitung mit atmosphärischem druck für oberflächenmodifikationen
EP2023376A3 (en) Etchant treatment processes for substrate surfaces and chamber surfaces
TW200703719A (en) Nitride-based compound semiconductor, method of cleaning a compound semiconductor, method of producing the same, and substrate
DE60211728D1 (de) Verfahren und vorrichtung zur bearbeitung von substraten
ATE418420T1 (de) Verfahren zum polieren der oberfläche eines substrats
TW200604131A (en) Method for purifying silicon carbide coated structures
WO2005081289A3 (en) Process and apparatus for removing residues from semiconductor substrates
ATE358192T1 (de) Verfahren und vorrichtung zum behandeln eines substrats
WO2002082530A3 (en) In-situ thickness measurement for use in semiconductor processing
DE112004002879A5 (de) Verfahren zur Behandlung von Substratoberflächen
WO2002029860A3 (en) Wafer cleaning module and method for cleaning the surface of a substrate
TW200511422A (en) Treatment or processing of substrate surfaces
WO2005050705A3 (en) Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
EP1422203A4 (en) SURFACE TREATMENT FLUID FOR FINE PROCESSING OF MULTICOMPONENT GLASS SUBSTRATE
DE602006019449D1 (de) Strahlbehandlung von glas
WO2005068386A3 (en) Aqueous composition of an oligomeric fluorosilane and use thereof for surface treatment of optical elements
ATE120439T1 (de) Verfahren zur abscheidung von dünnschichten.
KR100853613B1 (ko) 노광용 대형 기판
AU2003276094A1 (en) Semiconductor surface treatment and mixture used therein
WO2006083693A3 (en) Etchant treatment processes for substrate surfaces and chamber surfaces
DE60203382D1 (de) Beschichtung eines glas-substrates mit einer siliziumhaltigen schicht
DE502005004672D1 (de) Vorrichtung und verfahren zum kühlen bandförmiger substrate
TW200501198A (en) Method of cleaning surface of semiconductor wafer
ATE217362T1 (de) Verfahren zum vakuumbeschichten eines gewölbten substrates