KR980012470A - 집적 회로에서의 저 캐패시턴스 구조를 위한 수소 실세스키옥산 박막 - Google Patents

집적 회로에서의 저 캐패시턴스 구조를 위한 수소 실세스키옥산 박막 Download PDF

Info

Publication number
KR980012470A
KR980012470A KR1019970035793A KR19970035793A KR980012470A KR 980012470 A KR980012470 A KR 980012470A KR 1019970035793 A KR1019970035793 A KR 1019970035793A KR 19970035793 A KR19970035793 A KR 19970035793A KR 980012470 A KR980012470 A KR 980012470A
Authority
KR
South Korea
Prior art keywords
layer
hsq
substrate
hydrogen silsesquioxane
sio
Prior art date
Application number
KR1019970035793A
Other languages
English (en)
Inventor
신푸 젱
켈리 제이. 테일러
아미타바 채터지
Original Assignee
윌리엄 비. 켐플리
텍사스 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윌리엄 비. 켐플리, 텍사스 인스트루먼츠 인코포레이티드 filed Critical 윌리엄 비. 켐플리
Publication of KR980012470A publication Critical patent/KR980012470A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/902Capping layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 집적 회로 구조 및 공정, 특히 다층의 상호접속 배선들을 요구하는 집적 회로 구조 및 공정으로 HSQ를 통합시키기 위한 향상된 방법을 제공하는 것이다. 양호한 실시예에서, 상호접속 배선들(14)은 먼저 기판 상에서 패턴화되고 에칭된다. 수소 실세스키옥산(HSQ)(18)과 같은 저 k 재료가 웨이퍼의 표면에 걸쳐 스핀-코팅되어 상호접속 배선들 사이의 영역들을 채운다. SiO2(20)와 같은 덮개층이 저 k 재료의 상부에 도포된다. 그 다음에 두꺼운 SiO2평탄화층(22)이 도포되어 평탄화된다. 다른 실시예에서, HSQ 및 SiO2공정 단계들은 다층의 HSQ를 이루기 위해 반복될 수 있다.

Description

집적 회로에서의 저 캐패시턴스 구조를 위한 수소 실세스키옥산 박막
본 발명은 일반적으로 집적 회로에서 밀접하게 배치된 배선들 간의 캐패시턴스 또는 실리콘 트랜치 아이솔레이션에서의 캐패시턴스를 감소시키는 방법 및 구조에 관한 것이다. 특히, 본 발명은 수소 실세스키옥산(Hydrogen Silsequioxane : HSQ) 박막의 경화 동안에 균열(crack)을 억제하여 고온 처리에 대한 면역성을 얻고 유공률(porosity)을 증가시키며 HSQ의 유전 상수를 감소시키는 방법에 관한 것이다.
집적 회로는 매우 좁은 간격의 상호접속 배선들을 더욱 더 요구해서 디바이스 상에 다양한 회로들을 상호 접속시키도록 7층과 같은 다층의 금속 배선을 필요로 한다. 더 좁은 간격은 인접한 배선들 사이에 캐패시턴스를 증가시키므로, 디바이스의 구조가 축소됨으로써 높은 밀도는 캐패시턴스를 증가시키고 인접한 배선들 사이의 혼선은 많은 문제점을 발생시킨다. 그러므로, 저 유전 상수의 재료를 사용하여 이 경향을 상쇄시키고 이로써 좁은 간격의 배선들 사이의 캐패시턴스를 낮추는 것이 더욱 바람직하다.
배선 캐패시턴스는 금속 배선 내에 분포된 양이지만, 배선-기판 혹은 배선-접지 캐패시턴스인 두 개의 성분이 지배적이다. 0.25 미크론 설계 규칙의 초 대규모 집적 회로 혹은 그 이상의 집적 회로에서, 성능은 배선의 RC 지연에 의해 지배되며, 배선-배선 캐패시턴스는 총 캐패시턴스의 주요 인자이다.예를 들면, 폭/간격이 0.3 미크론 이하일 때, 층간 캐패시턴스가 매우 작아서 총 캐패시턴스는 총 상호접속 캐패시턴스의 90% 이상을 구성하는 배선-배선 캐패시턴스에 의해 지배된다는 것을 이론적인 모델링을 통해 알 수 있다. 그러므로, 배선-배선 캐패시턴스의 감소만으로 총 캐패시턴스의 대량 감소를 제공할 수 있다.
종래 기술의 층간 금속간 유전체는 일반적으로 약 4.0의 유전 상수를 갖는 SiO2이다. 상기의 재료는 저 유전 상수의 재료로 대체하는 것이 바람직하다. 저 유전율 또는 저 k는 약 3.5 이하, 및 바람직하게는 3이하, 가장 바람직하게 약 2이하의 유전 상수를 갖는 재료를 의미한다. 불행히도, 저 유전 상수를 갖는 재료들은 기존 집적 회로 구조 및 공정에 통합하기가 어려운 특성을 갖는다. 폴리실세스키옥산, 파릴렌(parylene), 폴리이미드(polyimide), 벤조시클로부텐(benzocyclobu tene) 및 비정질 테프론(amorphous Teflon)등의 많은 중합 재료들은 저 유전 상수(저 유전율)를 갖는다. 다른 양호한 재료들은 보편적으로 테트라에톡실레인(TEOS) 원료 용해제의 겔화로 제작된 에어로겔(Aerogel) 혹은 크세로겔(Xerogel)이다. SiO2에 비해, 상기의 양호한 저 k 재료들은 보착성, 큰 열팽창 계수 및 불안정한 응력 레벨을 갖는다. 이러한 특성 때문에, 집적 회로 공정 혹은 구조에서 SiO2에 대한 단독 대체물로서 중합체 혹은 다른 저 유전율 재료들의 사용은 매우 문제가 있다.
본 출원인에 의해, 앞서 출원된 SN 60/013,866 (TI-21880)는 HSQ와 다른 저 유전 상수 재료들을 통합하기 위한 방법 및 구조를 개시하고 있다. 상기 출원은 저 k 재료 및 보편적인 유전체의 교호(alternating)층으로된 다층 유전체 스택(stack)의 제작을 개시하고 있다. 더 연약한 저 k 재료는 저 k막의 층들 사이에 삽입된 안정화층에 의해 강도가 주어진다.
또 다른 선행 출원으로서, SN 60/(TI-19738)은 HSQ를 메사(mesa) 아이솔레이션 구조로 통합하기 위한 방법 및 구조를 개시하고 있다.
본 발명은 상기 논의된 바의 바람직하지 않은 특성들을 가질 수 있는 저 유전 상수 재료들을 집적 회로 구조 및 공정, 특히 다층의 상호접속 배선을 요하는 집적 회로 구조 및 공정에 통합하기 위한 향상된 방법을 제공한다. 본 발명은 특히 수소 실세스키옥산(HSQ)과 같은 저 k막의 기계적 강도 및 파괴 저항을 향상시키는 것에 관한 것이다. HSQ의 균열은 경화 온도, 경화 분위기(ambient), 경화전의 설정 시간, 및 막 두께등과 같은 인자에 의해 영향을 받을 수 있다는 것이 밝혀졌다. 이러한 조건들을 제어하는 것에 의해 균열을 억제할 수 있다. 본 발명은 실질적으로 균열이 발생하지 않는 더 강력한 제조 공정 및 더 두꺼운 HSQ층들을 이루기 위해 종래 기술의 방법들에서의 공정 단계들을 재배열함으로써 HSQ의 균열을 더 감소시키는 방법이다. 일반적으로, 본 발명의 공정은 경화 전에 HSQ의 상부에 투과성이 있고 기계적으로 안정한 박막을 코팅하는 것이다.
양호한 실시예에서, 상호접속 배선들은 먼저 패턴화되고 에칭된다. 수소 실세스키옥산(HSQ)과 같은 저 k 재료는 상호접속 배선들 사이의 영역들을 채우도록 웨이퍼의 표면을 따라 스핀-코팅된다. HSQ는 종래 기술의 구조에서보다 유리하게 더 두껍게 될 수 있다. 그 다음, SiO2와 같은 유전체 안정화 덮개층이 그 다음 HSQ의 상부에 도포된다. 그 다음, HSQ는 가열된 플레이트 상에서 가열되어 경화된다. 그 다음 두꺼운 SiO2평탄화층이 사용되어 평탄화될 수 있다. 다른 실시예들에서, HSQ 및 SiO2공정 단계들은 다층의 HSQ를 이루기 위해 반복될 수 있다.
본 발명의 장점은 기존의 HSQ 공정들에 비해 부가적인 공정 단계들이 더해지지 않는 것이다. 공정 단계들은 기본적으로 순서에 따라 재배열되므로, 새로운 공정의 부가되는 이익에 대한 부대 비용이 없다.
본 발명의 부가적인 장점은 HSQ 도포에 수반하여 고온(>450 셀시우스) 공정이 사용될 수 있다는 것이다. 예를 들면, 산화막 고밀도화 및 리플로우와 같은 고온 확산로(furnace) 경화는 유전체의 질을 향상시킬 수 있게 한다.
더욱이, 필요하다면, HSQ는 덮개층에 의해 플라즈마로부터 보호되므로, 산소 플라즈마 애싱(ashing)이 손상없이 사용될 수 있다. 또한, 본 발명은 상기 참조된 출원들의 방법들과 조합될 수 있다.
제1도는 본 발명의 양호한 실시예의 단면도.
제2a도는 - c는 제1도의 양호한 실시예를 제조하는 단계들을 도시한 도면.
제3도는 다층의 상호접속 배선들을 구비한 본 발명의 양호한 실시예의 단면도.
제4도는 트랜치 아이솔레이션에서 덮개가 덮힌 HSQ층을 사용한 본 발명의 또다른 양호한 실시예의 단면도.
제5도는 다수 유전체층에 HSQ를 사용한 본 발명의 부가적인 양호한 실시예의 단면도.
* 도면의 주요부분에 대한 부호의 설명
10 : 반도체 기판 12 : 유전체층
14 : 배선 16 : 비아 및 플러그
20 : 안정화 덮개층 22 : 층간 금속 유전체층
26 : 필드 두께 28 : HSQ
본 발명의 신규한 특징으로 여겨지는 특성이 첨부된 특허 청구 범위에 나타나 있다. 그러나, 본 발명의 다른 특성들 및 장점들은 첨부된 도면과 함께 상세한 설명을 참조하는 것으로써 잘 이해될 것이다.
본 발명의 양호한 실시예들은 여러 도면들에서 동일하고 대응하는 부분들에는 동일한 참조부호가 사용된 도 1-4의 도면을 참조함으로써 잘 이해될 것이다.
본 발명의 양호한 실시예를 도시한 도 1을 참조하면, HSQ(18)는 반도체 기판(10) 상의 상호접속 배선들(14)사이에 증착된다. HSQ는 본 기술 분야에 공지되고 상기 참조된 출원의 여러 방법들중 하나로써 도포될 수 있다. 박막 유전체 덮개층 혹은 안정화층(20)이 HSQ층을 덮는다. 덮개층 다음에 부가적인 저 k 재료층들이 뒤따를 것이다. 그 다음에 층간 금속 영역은 평탄화된 층간 금속 유전체층(22)으로써 완성될 수 있다.
도 2a - 2c를 참조하면, 도 1에 완성된 구조가 도시되어 있는 본 발명의 실시예를 형성하기 위한 단계들이 순서대로 도시되어 있다. 도 2a는 유전체층(12)로 덮힌 반도체 기판(10)을 도시하고 있다. 본 발명의 도시된 실시예는 집적 회로 상의 상호접속 배선들 사이의 캐패시턴스를 감소시킨다. 상기의 상호접속 배선들은 보편적으로 실리콘 결정과 같은 반도체 재료의 웨이퍼의 표면 상에 제작된 능동 디바이스들의 상부 위에 위치되므로, 반도체 기판(10)은 보통 반도체 디바이스의 능동 구성요소들을 구성하는 다양한 반도체 재료들의 여러 층들을 포함한다. 간소화를 위해서, 상기의 층들과 디바이스들의 도시는 생략한다. 유전체층(12)은 그
아래 층들의 구성요소들 혹은 다른 재료들로부터 금속 상호접속 배선들(14)을 절연하기에 적당한 임의의 재료일 수 있으며 반도체 기판(10)과 일체로서 도시될 수 있다.
상호접속 배선들은 바람직하게 평면 유전체층(12) 상에 알루미늄층을 증착하는 것에 의해 형성된다. 알루미늄은 레지스트로 마스크될 수 있으며, 본 기술 분야의 공지된 여러 방법들중 하나로써 패턴화되고 에칭될 수 있다. 이러한 공정은 도 2a에 도시된 바의 금속 접속 배선들(14)로서 종료된다. 본 발명의 방법은 높은 가로 세로 비율의 금속을 사용을 고려하여, 상호접속 금속의 두께는 폭보다 더 크게 된다. 높은 가로 세로 비율의 상호 접속 배선은 고밀도 회로에서 좁은 간격을 유지할 때 배선 저항을 감소시키는데 유용하다. 상호접속 배선들과 아래의 회로 사이의 접속은 비아(via)와 플러그(plug)(16)에 의해 표시된다. 비아들의 수와 위치는 하부(underlying) 회로 설계에 의해 결정된다.
도 2b는 웨이퍼의 표면 상의 상호접속들(14) 사이에 도포된 HSQ(18)를 도시한다.
HSQ(18)는 도 2b에 도시된 금속 상호접속 배선들(14) 사이의 경계 영역들을 채우기 위해 충분한 두께에서 스핀-코팅에 의해 바람직하게 도포된다. 양호한 재료는 약 3 이하의 유전 상수를 갖는 폴리 수소 실세스키옥산이다. 이 재료는 다우코닝(Dow-Corning)에 의해 제조되어 상품명 FOX로 판매되고, 또한 어라이드 시그널(Allied Signal)에 의해 제조되어 상품명 HSSO로 판매되고 있다. 그 다음 HSQ는 스핀-코터(spin-coater) 상의 가열판 베이크(bake)에 의해 약 300℃에서 양호하게 부분적으로 경화된다.
HSQ(18)에 도 2c에 도시된 바와 같이 안정화 덮개층(20)이 뒤따른다. 덮개층은 핵형성과 미세한 균열의 전파(propagation) 방지를 돕고, O2와 H2O 확산로 경화를 가능하게 하며, 균열 없이 HSQ층들을 두껍게 하고, 평탄화를 향상시킨다.
덮개층의 두께는 HSQ의 강도와 두께에 따라 최적화된다. 덮개층은 조밀한 플라즈마 Sio2,플라즈마 Si3N4, 불화 Sio2혹은 다른 적당한 유전체일 수 있다. 플라즈마 화학적 기상 증착된 Sio2덮개층은 비아 에칭에 사용된 CF계 화학작용을 사용하기 때문에 바람직하다.덮게층의 두께는 약 1,000-3,000Å이 바람직하고, 약 2000Å이 가장 바람직하다.
덮개층(20)의 도포 후에 HSQ가 경화된다. 덮개층은 핵형성과 미세한 균열의 전파 방지를 돕고, O2와 H2O 확산로 경화를 가능하게 하며,균열 없이 HSQ층들을두껍게 하고,평탄화를 향상시킨다.
덮개층(20)에 평탄화를 위해 약 16,000Å 두께의 SiO2 층간 유전체가 뒤따른다. 층간 유전체의 평탄화 후, 구조는 도 1에 도시된 바와 같다. 양호한 실시예에서, 층간 유전체는 플라즈마 화확적 기상 증착(PECVD)에 의해 증착되고 화학적 기계적 폴리싱(policshing : CMP)에 의해 평탄화된 SiO2이다. 다음에서 더 논의되는 바와 같이, 본 발명은 보편적인 유전 재료들 사이에 저 유전 재료를 위치시키는 것에 의해 SiO2와 저 유전 상수 재료들의 장점들을 결합시킨다. SiO2혹은 다른 적당한 유전체에 의해서 구조적인 안잔성, 접착성, 열 전도도등이 향상된다.
본 발명의 방법은 한 층이 다른 층 위에 쌓인 다층의 상호접속 배선들을 형성하도록 반복될 수 있다. 보편적으로 다층 상호접속 배선들을 한 층에서 다음 층으로의 비아들 및 접촉들(16)을 필요로 할 것이다. 상기의 비아들은 대개 본 기술 분야에 널리 공지된 방법으로 층간 유전테가 도포되고 평탄화된 후에 만들어질 것이다.
도 3은 라이너(liner)층(24)을 또한 도시하고 있다. 라이너층(24)은 상호접속 배선들(14)과 HSQ의 접속을 유지하도록 사용될 수 있다. 라이너층은 CVD 실리콘 산화물과 같은 에칭 차단 혹은 보호 오버코트(overcoat)층일 수 있다. 그 다음 HSQ 재료는 라이너층 위의 웨이퍼의 표면 위에 회전된다.
도 1에 도시된 구조는 층에 기술의 구조와 유사하지만, 중요한 특징이 표시되어 있다. 본 발명의 방법은 이전에 가능했던 것보다 더 두꺼운 HSQ의 도포를 가능하게 한다. 증가된 두께는 금속 상호접속 층들 사이에 더 많은 저 유전 상수 재료를 가짐으로써 상호접속 캐패시턴스를 감소시킬 수 있고, 또한 상기의 금속층 상의 상호접속 배선들 사이의 프린징(fringing) 캐패시턴스를 감소시킬 수 있다. 종래 기술의 방법을 사용한 종래 기술의 구조에서, 최대 평면 필드 두께(26)는 약 4,000Å이고 상호접속 배선 위의 최대 HSQ(28)는 약 1,000Å이다. 종래 기술의 방법을 사용하여, 상기의 최대로 도포된 HSQ는 상당한 균열의 문제점을 갖는다. 본 발명의 실시에는 4,000Å 이상의 필드 두께(26)와 1,000Å 이상의 상호접속 배선 위의 HSQ(28)를 포함한다.
본 발명의 또다른 실시예가 도 4에 도시되어 있다. 본 실시예는 본 발명의 발명을 S/N 60/ (TI-19738)의 구조에 응용한다. 이 응용은 고온 저항성 트랜치 라필(refill)을 제공하기 위해 HSQ가 아이솔레이션 트랜치들 내에 위치된 구조를 개시한다. 확산로 경화 이전에 덮개층의 HSQ로의 도포는 상기의 구조에서 유리하는 것이 발전된다. 특히, 덮개층은 불리한 효과없이 보다 두꺼운 HSQ층들을 가능하게 하며, 가능한 층 두께를 1㎛ 이상 증가시킨다. 덮개층은 또한 HSQ의 O2 및 H2O 확산로 경화를 가능하게 하여 HSQ층의 축소를 감소시킨다.
도 4에 도시된 바와 같이, 실리콘 기판(10)은 아이솔레이션 트랜치(30)를 구비하여 트랜지스터들(32)과 같은 인접한 능동 디바이스들을 분리시킨다. 질화물 덮개(22)는 트랜치 형성 체칭을 위한 하드마스크(hardmask)이고 산화물 평탄화를 위한 CMP 차단물이다. 그 다음에 기판의 표면은 바람직하게 HSQ(18)로 스핀-코팅된다. 그 다음에 HSQ(18)는 스핀-코터 상의 가열판 배이크에 의해 바람직하게 부분적으로 경화된다. 바람직하게 PETEOS인, 덜개층(20)이 상기 논의된 바와 같이 도포된다. 덮개층이 도포된 후에, HSQ는 N2, O2에서 1050℃혹은 H2O에서 850℃인 온도로 안전하게 확산로 경화될 수 있다. 확산로 경화는 바람직하게 15-100분동안 가장 바람직하게는 약 30분동안 지속된다.
본 발명의 부가적인 실시예가 도 5에 도시되어 있다. 본 실시예는 다수 금속 유전층에 HSQ층을 사용한다. 도 5에 도시된 바와 같이, 실리콘 기판(10)은 하나 혹은 그 이상의 게이트들(34)을 구비한다. 게이트들은 인접한 능동 디바이스들을 분리하기 위한 아이솔레이션 트랜치들(30)을 포함한다. 그 다음에 HSQ(18)는 스핀-코터상의 가열판 배이크에 의해 바람직하게 부분적으로 경화된다. 바람직하게 PETEOS인 덮개층(20)은 상기 논의된 바와 같이 도포된다. 덮개층이 도포된 후에, HSQ는 N2, O2에서 1050℃ 혹은 H2O에서 850℃인 온도로 안전하게 확산로 경화될 수 있다. 확산로 경화는 바람직하게 15-100분동안 가장 바람직하게는 약 30분동안 지속된다. 본 실시예는 상기 기술된 다른 실시예들과 결합하여 사용될 수 있다.
본 발명은 또한 상기 지정된 이전 출원들에 개시된 구조들 및 기술들과 결합하여 안정화층의 사용을 고려한다. 다음의 표1은 몇가지 실시예들과 도면들의 개요를 제공한다.
[표 1]
본 발명이 도시된 실시예들을 참조로 설명하였으나, 이 설명은 제한하려는 의도는 아니다. 본 기술 분야에 숙련된 자는 상기의 설명을 참조함으로써 본 발명의 다른 실시예들뿐만 아니라 도시된 실시예들의 다양한 변경 및 결합을 명백히 이해할 것이다. 그러므로 첨부된 특히 청구 범위는 이러한 임의의 변경 혹은 실시예들을 포함할 것을 의도로 한다.

Claims (15)

  1. 마이크로 전자 구조를 형성하는 방법에 있어서, (a) 반도체 기판을 제공하는 단계; (b) 상기 기판 상부에 수소 실세스키옥산(Hydrogen Silisespuioxance : HSQ)을 도포하는 단계; (c) 상기 수소 실세스키옥산층 상부에 덮개층(capping layer)을 도포하는 단계; (d) 상기 수소 실세스키옥산층을 확산로(furnace)에서 경화(curing)시키는 단계를 포함하는 마이크로 전자 구조 형성 방법.
  2. 마이크로 전자 구조를 형성하는 방법에 있어서, (a) 금속 상호접속 배선들(metal interconnects)을 구비한 반도체 기판을 제공하는 단계; (b) 상기 기판 상의 상기 배선들 상부에 수소 실세스키옥산층을 도포하는 단계; (c) 상기 수소 실세스키옥산층 상부에 덮개층을 도포하는 단계; (d) 상기 수소 실세스키옥산층을 확산로에서 경화시키는 단계를 포함하는 마이크로 전자 구조 형성 방법.
  3. 제1항 또는 제2항에 있어서, 상기 수소 실세스키옥산층은 상기 기판 상의 상호접속 배선들 사이에 도포되는 마이크로 전자 구조 형성 방법.
  4. 제3항에 있어서, 상기 수소 실세스키옥산을 도포시키기 전에 상기 도전성 상호접속 배선들 상부에 라이너(liner)층을 제공받는 부가적인 단계를 더 포함하는 마이크로 전자 구조 형성 방법.
  5. 제1항 또는 제2항에 있어서, 상기 수소 실세스키옥산은 상기 기판 상의 이아솔레이션 트랜치들(isolation trenches)내의 도포되는 마이크로 전자 구조 형성 방법.
  6. 제1항 또는 제2항에 있어서, 상기 덮개층은 SiO2및 Si3N4및 불화(fluori nated) SiO2로 이루어진 그룹으로부터 선택되는 마이크로 전자 구조 형성 방법.
  7. 제1항 또는 제2항에 있어서, 상기 확산로 경화는 CxHy,CxFy,N2O2, H2O 및 포밍 가스(Forming Gas)(H2와 N2의 혼합 가스)로 이루어진 그룹으로 선택괸 가스 분위기(ambient)에서 이루어지는 마이크로 전자 구조 형성 방법.
  8. 제7항에 있어서, 상기 확산로 경화는 400℃ 이상의 온도에서 이루어지는 마이크로 전자 구조 형성 방법.
  9. 제7항에 있어서, 상기 확산로 경화는 800℃ 이상의 온도에서 이루어지는 마이크로 전자 구조 형성 방법.
  10. 제1항 또는 제2항에 있어서, 증착 이후에 상기 제2 유전체를 평탄화한 후 단계들 (a) - (d)를 반복하여 다층 배선 구조를 형성하는 부가적인 단계를 포함하는 마이크로 전자 구조 형성 방법.
  11. 마이크로 전자 구조에 있어서, (a) 반도체 기판; 및 (b) 상기 기판 상부에 도포되고 실질적으로 균열이 없도록 경화된 약 4,000Å 이상의 두께의 수소 실세스캐옥산층을 포함하는 마이크로 전자 구조.
  12. 제11항에 있어서, 상기 실세스캐옥산층은 상기 기판 상의 상호접속 배선들 사이에 도포되는 마이크로 전자 구조.
  13. 제11항에 있어서, 상기 수소 실세스캐옥산층은 상기 기판 상의 아이솔레이션 트랜치들 내에 도포되는 마이크로 전자 구조.
  14. 제11항에 있어서, 상기 덮개층은 SiO2및 Si3N4및 불화 SiO2의 그룹으로부터 선택되는 마이크로 전자 구조.
  15. 제11항에 있어서, 상기 수소 실세스캐옥산층은 상기 반도체 기판 상의 다층 금속 유전체로서 디바이스 게이트를 상부에 도포되는 마이크로 전자 구조.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019970035793A 1996-07-30 1997-07-29 집적 회로에서의 저 캐패시턴스 구조를 위한 수소 실세스키옥산 박막 KR980012470A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US2313396P 1996-07-30 1996-07-30
US60/023133 1996-07-30

Publications (1)

Publication Number Publication Date
KR980012470A true KR980012470A (ko) 1998-04-30

Family

ID=21813302

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970035793A KR980012470A (ko) 1996-07-30 1997-07-29 집적 회로에서의 저 캐패시턴스 구조를 위한 수소 실세스키옥산 박막

Country Status (5)

Country Link
US (1) US6114186A (ko)
EP (1) EP0822586A3 (ko)
JP (1) JPH1074755A (ko)
KR (1) KR980012470A (ko)
TW (1) TW449898B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100397372B1 (ko) * 2000-09-09 2003-09-13 학교법인 포항공과대학교 다면체 구조의 실세시퀴옥산을 포함하는 저유전재료 및이를 이용한 초저유전박막의 제조방법
KR100702122B1 (ko) * 2005-01-04 2007-03-30 주식회사 하이닉스반도체 반도체 기판 상에 금속 층간 절연층을 형성하는 방법
KR100787266B1 (ko) * 1999-03-31 2007-12-21 엘피다 메모리 가부시키가이샤 반도체 집적회로장치 및 그 제조방법

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2350931B (en) * 1997-06-27 2001-03-14 Nec Corp Method of manufacturing semiconductor device having multilayer wiring
JP3390329B2 (ja) * 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法
US6376893B1 (en) * 1997-12-13 2002-04-23 Hyundai Electronics Industries Co., Ltd. Trench isolation structure and fabrication method thereof
JP3175691B2 (ja) * 1998-05-08 2001-06-11 日本電気株式会社 多層配線半導体装置の製造方法
US6239026B1 (en) 1998-09-28 2001-05-29 Conexant Systems, Inc. Nitride etch stop for poisoned unlanded vias
JP3125781B2 (ja) * 1999-03-03 2001-01-22 ヤマハ株式会社 半導体装置の製法
US6228780B1 (en) * 1999-05-26 2001-05-08 Taiwan Semiconductor Manufacturing Company Non-shrinkable passivation scheme for metal em improvement
US6660618B1 (en) * 1999-08-18 2003-12-09 Advanced Micro Devices, Inc. Reverse mask and oxide layer deposition for reduction of vertical capacitance variation in multi-layer metallization systems
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
GB2358285A (en) * 1999-08-30 2001-07-18 Lucent Technologies Inc Interlevel dielectrics
US6638358B1 (en) * 2000-01-13 2003-10-28 Advanced Micro Devices, Inc. Method and system for processing a semiconductor device
KR20020002732A (ko) * 2000-06-30 2002-01-10 박종섭 반도체 소자의 절연막 형성 방법
TW531802B (en) * 2000-07-21 2003-05-11 Canon Sales Co Ltd Semiconductor device and semiconductor device manufacturing method
US6489242B1 (en) * 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6780499B2 (en) * 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6670717B2 (en) * 2001-10-15 2003-12-30 International Business Machines Corporation Structure and method for charge sensitive electrical devices
US7083991B2 (en) * 2002-01-24 2006-08-01 Novellus Systems, Inc. Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
DE10237787A1 (de) 2002-08-17 2004-03-04 Robert Bosch Gmbh Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung
US7015582B2 (en) * 2003-04-01 2006-03-21 International Business Machines Corporation Dummy metal fill shapes for improved reliability of hybrid oxide/low-k dielectrics
JP5138930B2 (ja) 2003-07-11 2013-02-06 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ ディスプレイ装置のための封入構造
US7557035B1 (en) 2004-04-06 2009-07-07 Advanced Micro Devices, Inc. Method of forming semiconductor devices by microwave curing of low-k dielectric films
US7285503B2 (en) * 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US8283899B2 (en) 2008-11-04 2012-10-09 Broadcom Corporation Reducing current leakage and improving shelf life time of battery-based-devices

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
CA2017720C (en) * 1990-05-29 1999-01-19 Luc Ouellet Sog with moisture-resistant protective capping layer
JPH04107831A (ja) * 1990-08-27 1992-04-09 Sharp Corp 半導体装置の製造方法
US5145723A (en) * 1991-06-05 1992-09-08 Dow Corning Corporation Process for coating a substrate with silica
AU4506993A (en) * 1992-07-04 1994-01-31 Christopher David Dobson A method of treating a semiconductor wafer
JP3214186B2 (ja) * 1993-10-07 2001-10-02 三菱電機株式会社 半導体装置の製造方法
JP2751820B2 (ja) * 1994-02-28 1998-05-18 日本電気株式会社 半導体装置の製造方法
US5456952A (en) * 1994-05-17 1995-10-10 Lsi Logic Corporation Process of curing hydrogen silsesquioxane coating to form silicon oxide layer
US5530293A (en) * 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
JP3070450B2 (ja) * 1995-07-14 2000-07-31 ヤマハ株式会社 多層配線形成法
US5567660A (en) * 1995-09-13 1996-10-22 Taiwan Semiconductor Manufacturing Company Ltd Spin-on-glass planarization by a new stagnant coating method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100787266B1 (ko) * 1999-03-31 2007-12-21 엘피다 메모리 가부시키가이샤 반도체 집적회로장치 및 그 제조방법
KR100397372B1 (ko) * 2000-09-09 2003-09-13 학교법인 포항공과대학교 다면체 구조의 실세시퀴옥산을 포함하는 저유전재료 및이를 이용한 초저유전박막의 제조방법
KR100702122B1 (ko) * 2005-01-04 2007-03-30 주식회사 하이닉스반도체 반도체 기판 상에 금속 층간 절연층을 형성하는 방법

Also Published As

Publication number Publication date
EP0822586A3 (en) 1998-12-09
JPH1074755A (ja) 1998-03-17
TW449898B (en) 2001-08-11
US6114186A (en) 2000-09-05
EP0822586A2 (en) 1998-02-04

Similar Documents

Publication Publication Date Title
KR980012470A (ko) 집적 회로에서의 저 캐패시턴스 구조를 위한 수소 실세스키옥산 박막
US5607773A (en) Method of forming a multilevel dielectric
EP0657925B1 (en) Planarization technique for an integrated circuit
US6159842A (en) Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US5818111A (en) Low capacitance interconnect structures in integrated circuits using a stack of low dielectric materials
US7407879B2 (en) Chemical planarization performance for copper/low-k interconnect structures
EP0701277B1 (en) Interconnection method using a porous insulator for line to line capacitance reduction
US7135398B2 (en) Reliable low-k interconnect structure with hybrid dielectric
US7250370B2 (en) Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
US8093679B2 (en) Integrated BEOL thin film resistor
US6599839B1 (en) Plasma etch process for nonhomogenous film
US6187662B1 (en) Semiconductor device with low permittivity interlayer insulating film and method of manufacturing the same
JP2008502142A (ja) 相互接続構造の製造方法
US6040628A (en) Interconnect structure using a combination of hard dielectric and polymer as interlayer dielectrics
US6777322B2 (en) Method for fabricating a multi-layered dielectric layer including insulating layer having Si-CH3 bond therein
KR100382376B1 (ko) 반도체 장치 및 그의 제조방법
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
KR100685734B1 (ko) 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
US5817571A (en) Multilayer interlevel dielectrics using phosphorus-doped glass
JP2001118928A (ja) 集積回路の製造方法
US6784095B1 (en) Phosphine treatment of low dielectric constant materials in semiconductor device manufacturing
JP3371576B2 (ja) 半導体集積回路装置の製法
JPH1041382A (ja) 集積回路レベル間絶縁構造

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20050426

Effective date: 20060728