KR970006209B1 - 유도 플라즈마 처리 장치 - Google Patents

유도 플라즈마 처리 장치 Download PDF

Info

Publication number
KR970006209B1
KR970006209B1 KR1019930023063A KR930023063A KR970006209B1 KR 970006209 B1 KR970006209 B1 KR 970006209B1 KR 1019930023063 A KR1019930023063 A KR 1019930023063A KR 930023063 A KR930023063 A KR 930023063A KR 970006209 B1 KR970006209 B1 KR 970006209B1
Authority
KR
South Korea
Prior art keywords
induction coil
plasma
platen
processing chamber
wafer
Prior art date
Application number
KR1019930023063A
Other languages
English (en)
Other versions
KR940012512A (ko
Inventor
제프리 씨 벤징
엘리오트 케이 브로드벤트
제이. 커크우드 에이치 러프
Original Assignee
노벨러스 시스템즈, 인코오포레이티드
엘리오트 케이. 브로드벤트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코오포레이티드, 엘리오트 케이. 브로드벤트 filed Critical 노벨러스 시스템즈, 인코오포레이티드
Publication of KR940012512A publication Critical patent/KR940012512A/ko
Application granted granted Critical
Publication of KR970006209B1 publication Critical patent/KR970006209B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

내용 없음.

Description

유도 플라즈마 처리 장치
제1도는 반구형 유도 플라즈마 처리 장치와 플라즈마 에칭 시스템의 관련 구성요소를 도시한 단면도.
제2도는 제1도의 반구형 유도 플라즈마 처리 장치의 절개 사시도.
제3도는 제1도의 무선주파 정합 네트윅과 반구형 언더터 사이의 접속부의 평면도.
제4도는 제1도의 플라즈마 진공 처리실과 반구형 언덕터 사이의 접속부의 평면도.
제5도는 제1도의 반구형 유도 플라즈마 처리 장치의 등가회로도.
제6도는 제1도의 반구형 유도 이온 소스용 이온 전원에 대한 에칭속도의 그래프.
* 도면의 주요부분에 대한 부호의 설명
10 : 하우징 18 : 유도 코일
20 : 용기 30 : (진공)처리실
32 : 기판 또는 반도체 웨이퍼 40 : 플래튼
42 : 웨이퍼 지지대
본 발명은 플라즈마 처리장치, 보다 구체적으로는 집적회로 제조용의 유도 플라즈마 처리장치에 관한 것이다.
종래부터 많은 분야, 특히 마이크로 전자장치의 제조분야에 플라즈마 에칭법이 유용하게 사용되어 왔다. 집적회로의 마이크로 전자적 제조에서는 민감한 구조에 손상을 입히지 않고 남아 있는 재료가 없도록 재료를 선택적으로 제거해야 할 뿐만 아니라 각종 재료의 서브미크론 크기의 형상과 엄격하게 제어된 대량 복제를 필요로 한다. 집적회로의 마이크로 전자적 제조를 위한 플라즈마 에칭법의 응용으로는 화학적 증기 증착법(CVD)과 에칭을 동시에 포함하는 유전체 간격 충진, 이온 스퍼터 클리닝 및 레지스트에 의한 화학적 패턴 에칭등이 있다.
플라즈마 증착 및 에칭의 사용을 위한 리액터용의 각종 플라즈마 소스방법과 기하학적 설계가 알려져 있다. 예컨대, 전자 사이클로트론 공명(ECR) 소스를 매사츄세츠주 오번 소재의 어플라이드 사이언스 앤드 테크놀로지사(Applied Science and Technology, Inc.) 및 매사츄세츠주 폴리머스 소재의 웨이브 매트사(Wavemat Cor.)로부터 구입할 수 있다. 또, 웨이퍼 클리닝 및 에칭 공정은 통상 유전체실 상판상부에 설치된 평편한 나선형 유도권선 뿐만 아니라 상수 또는 변수의 각종 피치를 갖는 유도권선을 구비하는 여러 직경의 다양한 실린더형 수정 용기를 사용하는 장비에 의해 수행된다. 무선주파수(rf)다이오드와 트리오드의 구성은 웨이퍼 전극과 다른 전극이 플라즈마를 생성하도록 13.56MHz 주파수에서 전력이 공급되는 것으로 알려져 있다.
수개의 플라즈마 에칭 메카니즘의 하나인 물리적 스퍼터링은 외장(sheath)을 가로질러 에칭되는 재료에 에너지와 모멘트를 전달하는 강력한 에너지로 재료를 제거하는 것을 포함한다. 동공 및 또는 다이오드, 트리오와 유도성 결합된 많은 종래의 기술에서는 물리적 스퍼터링을 실행하면 이온 전류의 불충분한 균일성에 기인한 불충분한 에칭 균일성, 낮은 재료 제거속도 및 높은 이온에너지로 인한 주입과 이온 충격에 의한 기판에 대한 전기적 손상을 포함하는 여러 가지 불리한 점이 있다. ECR장치는 유도형 장치보다 성능은 개선되었지만 훨씬 복잡하다.
그러므로 간단한 기기의 실현으로 고속에칭을 달성하도록 양호한 이온밀도를 제공하고, 큰 직경의 기판위에 있는 재료를 균일하게 제거하도록 이온 전류 균일성을 제공하며, 플라즈마의 보다 균일한 이온 분포와 높은 애스퍽트비(aspect ratio)의 구조로 이온의 보다 양호한 방향성을 달성하도록 저압에서 동작 안정성을 제공할 수 있는 플라즈마 소스 시스템이 계속 요구되었다.
본 발명에 따르면 간단한 기기의 실현으로 높은 이온 밀도, 양호한 이온 전류 균일성 및 안정한 저압 동작을 달성할 수 있다.
본 발명의 1실시예에서 유도 플라즈마 소스, 즉 유도 코일은 반구형상이다. 기판이 도입될 수 있는 처리실은 유도코일의 내측의 배치된다. 다른 실시예에서 유도 코일은 처리실을 포함하는 반구형 용기의 윤곽을 따라 형성된다. 또 다른 실시예에서 전원은 주파수가 약 450KHz이고, 전력의 범위가 200-2000와트이며 압력은 약 0.1-100mTorr의 저압이다.
반구형 유도 플라즈마 처리장치(1)의 단면도를 제1도에 단순화한 사시도를 제2도에 도시한다. 반구형 유도 플라즈마 처리장치(1)는 높이 26.62cm, 폭 43.82cm의 스테인레스 스틸 하우징(10)내에 있으며, 4권선형의 확장 나선 패턴(도면의 명료화를 위해 단지 권선형태(12), (14)만을 도시)으로 제공되는 반구형 유도 코일(18)을 포함한다. 비록 사용되는 제조기술에 다라 단권선형과 같은 다른 형태가 적합할 수도 있지만 유도코일(18)의 조립을 단순화하기 위해 4권선형을 사용한다. 권선형, 예컨대 (12), (14)는 나일론과 같은 유전체 재료를 포함하는 다른 적합한 재료로 제조된다. 유도코일(18)은 임의의 적합한 유전체 스트래핑(strapping), 접착제 또는 접합제에 의해 권선형, 예를들면 권선형태 (12), (14)의 채널 내측에 적절히 유지된다. 권선형태(12), (14)를 포함하는 권선형은 볼트 또는 접착제와 같은 편리한 방식으로 하우징(10)에 고착된다.
유도코일(18)은 내경이 3.0mm, 외경이 4.75mm인 구리 튜브이다. 반구형 유도 코일(18)은 중심선에 대한 반경이 7.775cm이다. 유도코일(18)의 확장나선형 패턴은 36권선으로 만들어린다. 제1권선은 기판(32)과 거의 고유면을 이루며 각각의 후손 권선 나선은 총 36코일에 대하여 각 변위 2.432°만큼 상향한다.
처리 동작 동안 유도코일(18)은 임의의 적합한 두께, 예시적으로는 1.91cm의 두께를 갖는 스트인레스 스틸로 된 처리실의 상판(24)와 연관되어 수정 용기 또는 벨형 용기(20)내에 포함된 진공 처리실(30) 둘레에 배치된다. 상기 용기(20)는 바람직하게는 진공 공동(cavity)으로 rt(균일한 유전체 간격)의 균형을 이룬 결합이 있게 되도록 반구형으로 형성된다. 일반적으로 상기 용기의 재료는 진공을 견딜 수 있도록 충분한 구조적 무결성을 구비하는 절연유전체이다. 적합한 재료로는 수정, 파이렉스, 산화 알루미늄(Al2O3사파이어로도 알려짐), 폴리아미드 및 다른 산화물 또는 절화물 합성물이 있다. 예시적으로 용기(20)의 반경은 17.78cm이며, 용기의 재료는 두께 0.51cm인 수정이다. 유도코일(18)은 진공을 유지하고 기판(32), 예시적으로는 제조시 첩을 포함하는 반도체 웨이퍼(32)를 내포할 수 있는 용기(20)의 반구형 윤곽을 따라 형성된다.
하우징(10)은 임의의 편리한 방식으로 방의 상판(24)위에 장착된다. 제1도는 유도 플라즈마 처리장치(1)로부터의 스퓨리어스 무선 주파수 발사(spurious radio frequency emission)를 방지하기 위해 구리박편을 포함하는 무선 주파수 시일(seal)(22)에 의해 결합되는 하우징(10)을 도시하고 있다.
예를들어 직경이 200mm인 반도체 웨이퍼(32)는 도전성(예컨대, 스테인레스스틸) 웨이퍼 지지대(42)에 의해 진공 처리실(30)내부에 지지되는데, 사이 웨이퍼 지지대(42)는 웨이퍼(32) 하부에 배치되는 스테인레스 스틸의 평탄부(44)를 구비하는 플래튼(40)과, 상기 평탄부(44)의 평면을 넘어 연장된 세라믹 암흑부링(46)을 포함한다. 평탄부(44)의 직경은 18.35cm이며, 암흑부링(46)의 외경은 28.62cm이다. 플래튼(40) 하부에는 외경이 20.32cm인 암흑부 실드(50)가 배치된다.
웨이퍼 지지대(42)는 도시되지 않은 임의의 적합한 기구에 의해 승하강 운동을 할 수 있다. 웨이퍼 지지대의 위치는 플라즈마 에칭 시스템이 처리모드에서 동작하느냐 또는 웨이퍼 전달 모드에서 동작하느냐에 따라 좌우된다. 처리모드에서 플래튼(40)은 제1도에 도시된 바와같이 진공 처리실(30)내에 위치된다. 진공실(30, 60)의 진공으로부터 대기압력에 있는 웨이퍼 지지대 구동 시스템의 기계적 구성요소를 격리시키기 위해 구비되는 벨로우즈(5)가 또한 이용된다. 웨이퍼(32)는 처리실, 즉 진공 처리실(30)내에 웨이퍼 지지대에 의해 지지된다.
웨이퍼의 로딩 및 언로등을 위해 웨이퍼 지지대(40)는 일단부에 높이 4.60cm의 밀봉 가능한 웨이퍼 전달 개구부(26)를 포함하고 높이가 7.54cm인 웨이퍼 전달 영역(60)으로 그 위치가 하강된다. 이때 벨로우즈(52)가 압축되며, 3개의 승강핀(도면에는 핀(54), (56)만 도시)이 플래튼(40)내의 관통공(도시 생략)을 통해 돌출되어 웨이퍼 지지대(42)가 하강되었을 때 웨이퍼 전달 영역(60)내의 장치 위치에 웨이퍼(32)를 지지한다. 밀봉 가능한 웨이퍼 전달 개구부(26)가 웨이퍼 전달 모드 동안 웨이퍼 이송 아암(도시생략)이 웨이퍼 전달 플랜지(28)를 넘어 영역(60)으로 액세스되도록 구비된다. 적합한 웨이퍼 이송 아암과 관련 기구는 종래부터 알려져 있다. 웨이퍼 전송 동작시 웨이퍼 이송 아암 단부상의 가지는 웨이퍼가 승강핀(예를들면, 핀(54), (56))에 의해 지지될 때 웨이퍼 아래에 삽입된다. 웨이퍼 이송 아암이 상승되어 승강핀으로 부터 벗어나도록 웨이퍼(32)를 들어올리면 웨이퍼 이송 아암이 철회되어 웨이퍼(32)가 전달 영역(60)으로부터 제거된다. 가지 위에는 새로운 웨이퍼가 대체되고 웨이퍼 이송 아암은 승강핀(54, 56)위의 위치로 이동된다. 웨이퍼 이송 아암은 웨이퍼(32)를 승강핀 위에 웨이퍼를 올려 놓을 수 있도록 하강된 다음 철회된다. 웨이퍼 지지대(42)는 웨이퍼(32)가 플래튼(40)위에 위치도록 상승된다.
유도 플라즈마 처리장치(1)는 이온 스퍼터 클린(ion sputter cleam), 화학적 블랭킷 에치백, 화학적 패턴 에칭 및 플라즈마 향상 화학 증착(plasma-enhanced chemical vapor deposition; PECVD)을 포함하는 각종 응용에 사용하는 것이 적합하다. 이온 스퍼터 클린은 운동량 전달에 의해 기판의 표면으로부터 물질을 제거하기 위해 저온의 아르곤과 같은 적합한 불활성 가스로부터 획득되는 플라즈마의 사용을 포함한다. 제1도에 예시된 에칭 클린용 유도플라즈마 처리장치(1)에서는 아르곤 가스가 플래튼(40)바로 하부의 진공실 측벽에 배치딘 단일 포트(58)을 통해 진공 처리실(30)로 도입된다. 화학적 에칭은 통상적으로 이온 스퍼터 클린보다 높은 압력하에서 불활성 가스 대신에 반응선 가스를 사용하며, 포토레지스터 또는 다른 마스킹 재료가 존재하는 장소를 에치백하거나 패턴 에칭하기에 적합하다. 보다 높은 압력 또는 보다 큰 반응성을 갖는 종류이기 때문에 화학적 에칭(도시생략)을 위한 유도 플라즈마 시스템의 장치는 반응성 가스를 도입하기 위하여 기판 둘레의 대칭적 다증 포트장치를 이용하는 것이 바람직하다. 기판 바이어스 제어가 조심스럽게 사용되면 PECVD용 유도 플라즈마 시스템은 유전체 갭층진에 적합하다.
진공 처리실(30)을 진공으로 하기 위해 적합한 진공 시스템(도시생략)이 웨이퍼 전달영역(60)에 접속된다. 적합한 진공 시스템은 종래부터 알려져 있다. 진공실(30)이 진공으로 된 후 이온 스퍼터 클린용의 처리가스, 바람직하게는 아르곤이 포트(58)을 통해 진공 처리실(30)로 공급되어 원하는 처리 가스의 압력을 얻을 수 있게 된다. 이온 스퍼터 클린을 위해서는 예를들면 약 0.1-100mTorr, 바람직하게는 0.1-10mTorr 범위의 저압을 확정하도록 충분한 아르곤이 도입된다.
유도 플라즈마 소스(1)의 무선주파수(rf)서브시스템은 스테인레스 스틸 rf정합 봉입부(2)내에 봉입되는 정합 커패시터(6, 8)를 포함한다. 캐패시터(6, 8)는 버스바(버스바(4)만 도시)에 접속되며, 그 조립체는 하우징(10)에 장착되는 유전체 블록(5)상에 장착된다.
유도코일(18)은 제3도에 도시된 바와같이 커패시터(6, 8)에 결합된다. 커패시터(6, 8)는 각가 구리 버스바(4)와 구리 버스바(204)에 나사로 결합되는 두 개의 단자를 구비한다. 버스바(4)는 저주파 소스(410)(제5도)에 접속된다. 버스바(204)는 피팅(208)에 의해 유도코일(18)이 형성되는 구리 튜브의 단부(306)에 접속된다. 피팅(208)은 버스바(204)를 통해 채널에 나사로 결합된다. 다른 피팅(210)은 채널의 타단부에 나사로 결합된다. 테프론 튜브(212)는 냉각 유체를 분배화는 피팅(210)에 접속된다. 유도 코일(18)은 접지된 상판(24)에 결합된다. 버스바(302)는 볼트(304)에 의해 하우징(10)에 고정되고 피팅(308)을 통해 유도 코일(18)이 형성되는 구리 튜브의 단부(306)에 접속된다. 피팅(308)은 버스바(302)를 통해 채널에 나사로 연결되며, 다른 피팅은 채널의 타단부에 나사로 연결된다. 테프론 튜브(312)는 냉각 유체를 빼내기 위하여 피팅(310)에 접속된다.
유도 플라즈마 처리장치(1)의 rf서브 시스템을 제5도에 도시한다. 전원은 저주파 소스(410)와 고주파 소스(420)를 포함한다. 저주파 소스(410)는 약 450KHz, 200-2000 와트의 동작 범위를 갖는다. 저주파 소스(410)는 병렬 접속된 캐패시터(6, 8)를 포함하는 저주파 정합 네트윅을 통해 유도코일(18)에 접속된다. 저주파 정합 네트윅은 공지의 방식으로 동공 형태, 압력 및 플라즈마 화학 작용에 따라 플라즈마 공동으로 저주파 rf에너지가 결합되도록 동조된다. 이 실시예에서는 캐패시터(6, 8)가 6000V의 정격 전압에서 1200pf의 값을 가지는 전송형 마이카 커패시터이다. 고주파 소스(420)는 약 13.56MHz, 25-500 와트의 동작 범위를 갖는다. 고주파 소스(410)는 예를 들면, 뉴저어지 크레손 소재의 RF Flasma Prooducts, Inc.로 부터 입수가능한 모델 AM-5와 같은 자동동조 고주파 정합 네트웍(422)을 통해 플래튼(40)에 접속된다. 자동 동조 정합 네트웍(422)은 덜성될 웨이퍼(32)에서 조정된 바이어스 전압을 허용하는 내부 직류 바이어스 제어부를 구비한다.
유도코일(18)은 임의의 적합한 액체, 예를들면 냉각수에 의해 냉각된다. 냉각수는 밸브(442)를 통해 소스(440)로부터 유도코일(18)로 도입되며, 플로우스위치(444)를 통해 싱크(446)로 복귀된다. 예를들면 냉긱수는 3-5barr의 압력으로 공급된다.
비록 유도 플라즈마 처리장치(1)를 기계적으로 복잡하지 않게 비교적 간단히 제조할 수 있으나, 이 유도 플라즈마 처리장치는 높은 이온 밀도, 양호한 이온 전류 균일성 및 안정한 저압 동작을 달성할 수 있다. 아르곤 이온 스퍼티 클리닝을 위해 사용되면 유도 플라즈마 소스(1)에 의해 발생된 균일한 고밀도의 이온 플럭스는 웨이퍼(32)에 인가된 적합한 고주파 바이어스와 관련되어 얇은 금속 필림의 증착에 앞서 얇은 산화물과 오염물질의 제거에 특히 적합한 저전압 아르곤 스퍼터를 공급한다. 이 저전압 아르곤 스퍼터 클린은 접촉 클리닝 동안 보다 높은 에너지를 발생할 수 있는 게이트 손상을 피하게 해준다. 아르곤 이온 스퍼터 클린은 또한 실리콘 표면의 무정형화(amorphization) 감축뿐 아니라 본래의 산화물의 제거로 인해 제1레벨의 층으로 감소된 접촉 저항을 제공하는 실리콘 기판까지 하강하는 것들을 포함하는 바이어(via)와 접촉부의 얇은 본래의 산화물을 제거하기 위해 유익하게 사용된다.
예시적인 특성화 데이터가 제6도 및 제7도에 도시되어 있다. 제6도는 반구형 유도 플라즈마 처리장치(1)가 아르곤 압력 0.6mTorr에서 열산화물 웨이퍼에 대해 동작할 때 각각의 웨이퍼 바이어스 전압(Vdc=-50V, -75V, -100V)에 대한 소스전력(Watt) 대 에칭속도(Å/분)의 그래프이다. 실제의 외장전위(웨이퍼 표면에서의 총전압)는 웨이퍼 바이어스 전압보다 약 30V크다. 제6도는 높은 에치속도가 매우 낮은 전압 레벨에서 달성되고 있음을 도시하고 있다. 200와트의 이온 전원(소스 410)에서 약 250Å/분의 에치속도는 -100볼트의 웨이퍼 바이어스 전압에서 달성되면, -50볼트(50% 감소)의 웨이퍼 바이어스 전압에서 약 450Å/분(약 25% 감소)으로 감소한다. 600와트의 이온 전원에서 약 950Å/분의 에칭속도는 -100볼트의 웨이퍼 바이어스 전압에서 달성되며 -50볼트(50% 감소)의 웨이퍼 바이어스 전압에서는 약 700Å/분(약 26% 감소)으로 감소한다. 800와트의 이온 전원에서 약 1200Å/분의 에칭속도는 -100볼트의 웨이퍼 바이어스 전압에서 달성되며 -50볼트(50% 감소)의 웨이퍼 바이어스 전압에서는 약 900Å/분으로 감소한다.
제7도는 반경위치(cm)대 이온 전류(mA)의 함수로서 이온전류 균일성을 나타내는 그래프이다. 곡선(602)은 아르콘 0.6mTorr의 압력과 -100볼트이 웨이퍼 바이어스 전압에서 제1도의 반구형 유도 플라즈마 처리장치를 사용하는 스퍼터 클린 공정에서 웨이퍼를 횡단하는 이온전류를 나타낸다. 이온전류는 약 ±1%만 변화한다. 웨이퍼 지지대(40)위의 이온전류는 균일할 뿐만 아니라 비록 0.6mTorr에서 저압 동작함에도 불구하고 약 6mA로 상당히 높다. 곡선(604)은 20mTorr의 압력과 -700볼트의 웨이퍼 바이어스 전압에서 종래의 다이오드 에칭을 이용하는 스퍼터 클린 공정에서 횡단하는 이온전류를 나타낸다. 이온전류는 약 ±-12.9%로 변화한다.
그러므로 웨이퍼 표면에서 이온전류와 이온 에너지를 독립적으로 제어하도록 2중 전원이 사용되면 반구형 유도 플라즈마 소스(1)는 웨이퍼(32)에 나타나는 총전압을 최소화하지만 높은 에칭 속도를 달성할 수 있게 된다. 1×10(이온/cm3) 이상의 이온 밀도는 150볼트 이하의 총 웨이퍼 전압에서 열 산화물에 대하여 300Å/분 이상의 탁월한 에칭속도를 얻게해 준다. 비교적 간단한 반구형 유도 플라즈마소스(1)에 의해 달성되는 상당히 개선된 결과에 대한 이유를 이해하기 위해 먼저 가스압과 주파수가 외장 전위에 미치는 영향에 대한 일반적인 원리를 고려하기로 한다. 압력이 약 50mTorr 또는 10mTorr이하로 낮아짐에 따라 외장 두께와 외장 양단의 전압이 제7도의 곡선(604)에 도시된 다이오드 에칭 특성곡선에 나타난 바와같이 많은 플라즈마 시스템에서는 수십 볼트에서 수백볼트 이상까지 증가하기 시작한다. 플라즈마 전위는 상당히 상승하고 이온-기판 충격 에너지는 감소하는 압력에 따라 급격히 상승한다. 이들은 보다 긴 평균 자유 행정과 전자와 분자 사이의 감축된 충돌 속도의 결과로부터 영향을 받는다. 낮은 충돌 속도에도 불구하고 이온화의 확률을 높이고 플라즈마를 유지하기 위해 전자 에너지와 전위가 증가한다. 보다 낮은 rf여자수 주파수는 다이오드 시스템에 유사한 영향을 미친다. 가스압이 약 100-1000mTorr의 범위에 있고, 주파수가 약 10MHz에서 약 1MHz 이하로 저하되면 일단 외장 전위가 다시 급격하게 상승하여 에너지에 의해 작용하는 이온 원조 에칭이 가해진다. 전위 증가는 플라즈마 유지기구의 변경에 공헌한다.
그리고 다이오드 시스템에 대한 일반적인 용어에 있어서 주파수 및 압력은 상호 변경가능한 변수이며, 자주파 또는 저압은 플라즈마 유지에 도움을 주나 기판을 손상시키고 MOSFET 디바이스에 게이트 손상을 야기시키는 외장 전위를 증가시킨다. 이러한 이유 때문에 이온화에 대한 보다 효과적인 방법이 실행되어야만 한다.
반구형 유도 플라즈마 처리장치(1)는 퉁상적으로 다른 플라즈마 처리 장치에서 관찰되는 보다 높은 에너지에서의 접촉 클리닝동안 발생할 수 있는 게이트 손상을 피하게 하는 낮은 압력에서 저전압, 고속 아르곤 이온 스퍼터 클린을 달성할 수 있다. 반구형 유도 플라즈마 처리장치(1)의 유익한 성능특성은 다음과 같이 설명할 수 있다. 유도 방전은 용기(20)의 벽 부근의 유도 방위 전계(induced azimuthal electric field)에 의해 전압 동작(예를들면, 0.6mTorr 이하)동안 유도 플라즈마 처리장치(1)내에 유지된다. 여자 코일의 발전전류는 발진 방위 전계를 유도하는 축방향의 시변 자계를 발생시킨다.
유도코일(18) 부근의 플라즈마 영역에 들어오는 전자는 유도코일(18)내에 반대하는 전류를 발생시킨다. 그 결과 축방향의 자계가 플라즈마 방전의 내부에서 거의 상쇄된다. 결국 전계의 크기는 진공실(30)의 내부에서 대단히 낮아진다.
유도코일(18) 부근의 플라즈마의 바깥쪽 부분은 에너지가 플라즈마 전자에 전달되는 주영역이다. 이들 전자는 가스의 원자와 탄성 스캐터링 충돌하기 때문에 플라즈마 공간을 통해 급속히 확산된다. 약 20eV의 에너지를 갖는 전자는 진공처리실(30)을 통과하여 원자와 이온화 충돌을 한다. 유도 플라즈마 소스(1)의 mTorr(예를 들면, 0.6mTorr) 이하의 가스압에서 본질적으로는 벽에 자유 낙하하는 약 10cm의 평균 길이를 갖는 평균 자유 행정후에 이온이 벽에 충돌할 때 이온 당 1회의 충돌이 이온의 생성으로부터 발생될 가능성이 있다. 저압의 경우를 수 mTorr의 고압의 경우에 대비하여 보며 상기 행정 길이에 비해 10회의 충돌이 발생한다. 이 경우 이온이 벽으로 확산된다.
이온화 속도의 공간 종속성은 전자가 유도코일(18) 부근의 외벽에서 활성화되기 때문에 스캐터링을 위한 전자 평균 자유 행정과 압력에 상당히 의존한다. 압력이 대단히 낮으면(0.6mTorr), 전자에 대한 평균 자유 행정은 전자가 충돌없이 용기를 정상적으로 통과하도록 되어 있다. 그러나 유도코일의 전자의 행정길이는 비교가능한 크기의 다이오드(평행판) 시스템의 전계에서 이동하는 전자의 행정길이의 100배 이상으로 증가된다. 또 유도 플라즈마 처리장치는 이온화가 대단히 큰 체적(주변에서)에서 발생하게 해주며, 이 이온화는 웨이브 라이딩(wave riding) 및 제2주입을 통해 외장 영역에서 발생하는 다이오드 이온화와 대조적이다. 전극위의 균일한 이온전류를 다음과 같이 설명할 수 있다. 전자 이온화 충돌은 충돌이 중앙을 통과할 필요가 없기 때문에 벽 부근 보다도 중앙에서 보다 적어질 가능성이 있다. 그럼에도 불구하고, 중앙 상부의 플라즈마 컬럼의 높이가 최대이기 때문에 전극의 중앙에 흐르는 전류는 플라즈마 컬럼이 그렇게 크지 않으나 이온화 속도가 보다 큰 경우에 모퉁이에 흐르는 전류와 거의 같다.
스퍼터 속도는 고주파 바이어스 전압에 의존한다. 예를들면, SiO2에 대해 관찰된 스퍼터 속도는 외장전위가 웨이퍼(32)의 표면에 대하여 완전히 일정하며, 그 스퍼터 속도는 이온 에너지의 함수인 스퍼터 효율과 같은 이온 전류 밀도의 단순한 곱이다. 외장 전위는 웨이퍼 지지대(40)의 전극 표면 바로 상부(약 1.9cm)의 플라즈마의 시평균 전위가 웨이퍼 지지대 전극의 영역에 걸쳐 일정하지만 웨이퍼 지지대 전극 표면 전위는 위치에 무관하기 때문에 균일한 것으로 나타나 있다. 그러므로 플라즈마 전위 전극 표면 전위의 차인 외장 전위 또한 본질적으로 균일하다.
본 발명은 상술한 바와 같이 실시예를 중심으로 설명하고 있으나, 본 발명이 이들 실시예에만 제한되는 것은 아니다. 다음의 청구범위에 의해 한정되는 본 발명의 사상으로부터 벗어남이 없이 본 명세서에 기재되어 있지 않은 다른 실시예, 변형 및 개선이 이루어질 수 있다.

Claims (13)

  1. 하나의 처리실과 상기 처리실 내의 기판을 자신의 표면상에 지지하는 하나의 플래튼을 구비하는 집적회로 제조용 플라즈마 처리장치에 있어서, 다중 나선형 권선, 상기 플래튼의 지지 표면에 대해 평행이고 공동 평면인 베이스 권선 및 각각 플래튼의 지지 표면에 평행인 다른 권선들을 구비하며, 상기 처리실이 내측에 배치되는 반구형 유도 코일; 상기 유도 코일에 결합되어 상기 기판을 바이어스하는 바이어스 전원을 포함하는 것을 특징으로 하는 직접회로 제조용 플라즈마 처리 장치.
  2. 제1항에 있어서, 상기 처리실은 반구형 용기내에 포함되며, 상기 유도 코일은 상기 용기의 반구형 표면을 따라 형성되는 것을 특징으로 하는 장치.
  3. 제2항에 있어서, 상기 용기는 수정 벨형 용기인 것을 특징으로 하는 장치.
  4. 제1항에 있어서, 상기 용기는 산화 알루미늄의 벨형 용기인 것을 특징으로 하는 장치.
  5. 제1항에 있어서, 상기 유도코일의 권선은 구리 튜브이며, 상기 구리 튜브의 일단부를 통해서는 냉각 유체를 공급하고 상기 구리 튜브의 타단부를 통해서는 냉각유체를 배출하는 냉각 시스템을 추가로 구비하는 것을 특징으로 하는 장치.
  6. 제1항에 있어서, 상기 바이어스 전원은 고주파 무선주파 전원을 포함하는 것을 특징으로 하는 장치.
  7. 제1항에 있어서, 상기 플래튼 상부의 처리실내에 플라즈마가 0.6mTorr 정도의 낮은 압력으로 작용가능하게 유지되는 것을 특징으로 하는 장치.
  8. 하나의 처리실과 상기 처리실내의 기판을 자신의 표면상에 지지하는 하나의 플래튼을 구비하는 집적회로 제조용 플라즈마 처리장치에 있어서, 상기 처리실을 포함하는 반구형 수정벽을 구비하는 용기; 플라즈마 경제 범위내의 영역에 들어오는 전자가 가속되어 플라즈마 방전내의 축방향 자계를 상쇄하도록 상기 수정벽 부근의 영역에 저주파 발진방위 전계를 유도하기 위한 수단; 및 상기 유도 수단과는 독립적으로 웨이퍼상에 바이어스 전압을 부과하는 수단을 포함하는 것을 특징으로 하는 집적회로 제조용 플라즈마 처리 장치.
  9. 상판을 구비하는 하우징; 반구형 수정벽을 구비하며 상기 상판상에 설치되어 처리실을 포함하는 벨형 용기; 상기 처리실내에 반도체 웨이퍼를 지지하기 위한 상면을 구비하는 플래튼; 상기 벨형 용기의 수정벽과 일치되도록 형성되고 상기 플래튼과 평행인 다수의 권선을 구비하는 반구형 유도 코일로서, 상기 권선중의 하나는 상기 유도 코일의 베이스에 존재하며 상기 플래튼의 상면과 공통 평면을 이루는 반구형 유도코일; 상기 처리실에 가스를 공급하기 위한 가스 시스템; 정합 네트웍을 통해 일단부가 상기 하우징에 접속되는 상기 유도 코일의 타단부에 접속되어 벨형 용기 벽 부근의 처리실 내부에 발진 방위 전계를 유도하는 저주파 무선 주파 전원 및 정합 네트웍을 통해 상기 플래튼에 접속되어 상기 웨이퍼를 바이어스하는 고주파 무선 주파 전원을 포함하는 것을 특징으로 하는 집적회로 웨이퍼 제조용 플라즈마 처리 장치.
  10. 제9항에 있어서, 상기 유도 코일의 권선은 벨형 용기를 포함하는 충분히 큰 내부 체적을 구비하는 단일층의 반규형 확장 나선형태를 형선하는 것을 특징으로 하는 장치.
  11. 제9항에 있어서, 상기 유도코일의 권선은 구리 튜브이며, 상기 유도코일이 냉각되도록 상기 구리 튜브를 통해 냉각 유체를 순환시키는 냉각 시스템을 추가로 포함하는 것을 특징으로 하는 장치.
  12. 제9항에 있어서, 상기 처리실내에 플라즈마 0.6mTorr정도의 낮은 가스압에서 작용가능하게 유지되는 것을 특징으로 하는 장치.
  13. 제9항 있어서, 상기 진공실 내에 플라즈마가 0.1mTorr 정도의 낮은 가스압에서 적용가능하게 유지되는 것을 특징으로 하는 장치.
KR1019930023063A 1992-11-04 1993-11-02 유도 플라즈마 처리 장치 KR970006209B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/971,363 1992-11-04
US07/971,363 US5346578A (en) 1992-11-04 1992-11-04 Induction plasma source

Publications (2)

Publication Number Publication Date
KR940012512A KR940012512A (ko) 1994-06-23
KR970006209B1 true KR970006209B1 (ko) 1997-04-24

Family

ID=25518280

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019930023063A KR970006209B1 (ko) 1992-11-04 1993-11-02 유도 플라즈마 처리 장치

Country Status (4)

Country Link
US (3) US5346578A (ko)
EP (1) EP0596551A1 (ko)
JP (1) JP2804879B2 (ko)
KR (1) KR970006209B1 (ko)

Families Citing this family (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5549874A (en) * 1992-04-23 1996-08-27 Ebara Corporation Discharge reactor
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US6225744B1 (en) 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5759922A (en) * 1993-08-25 1998-06-02 Micron Technology, Inc. Control of etch profiles during extended overetch
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
TW293983B (ko) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
ATE251798T1 (de) 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
DE69506619T2 (de) * 1994-06-02 1999-07-15 Applied Materials Inc Induktiv gekoppelter Plasmareaktor mit einer Elektrode zur Erleichterung der Plasmazündung
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
JP3105403B2 (ja) * 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP2770753B2 (ja) * 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5783101A (en) * 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
EP0710055B1 (en) 1994-10-31 1999-06-23 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
JP3424867B2 (ja) * 1994-12-06 2003-07-07 富士通株式会社 プラズマ処理装置及びプラズマ処理方法
US5529197A (en) * 1994-12-20 1996-06-25 Siemens Aktiengesellschaft Polysilicon/polycide etch process for sub-micron gate stacks
US5591301A (en) * 1994-12-22 1997-01-07 Siemens Aktiengesellschaft Plasma etching method
US5643639A (en) * 1994-12-22 1997-07-01 Research Triangle Institute Plasma treatment method for treatment of a large-area work surface apparatus and methods
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5625259A (en) * 1995-02-16 1997-04-29 Applied Science And Technology, Inc. Microwave plasma applicator with a helical fluid cooling channel surrounding a microwave transparent discharge tube
US6283130B1 (en) 1995-05-30 2001-09-04 Anelva Corporation Plasma cleaning method and placement area protector used in the method
US5696428A (en) * 1995-06-07 1997-12-09 Lsi Logic Corporation Apparatus and method using optical energy for specifying and quantitatively controlling chemically-reactive components of semiconductor processing plasma etching gas
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US5874704A (en) * 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5785878A (en) * 1995-11-02 1998-07-28 Applied Materials, Inc. RF antenna having high temperature, oxidation resistant coating
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5736423A (en) * 1995-11-16 1998-04-07 Advanced Micro Devices, Inc. Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
WO1997033300A1 (en) * 1996-03-06 1997-09-12 Mattson Technology, Inc. Icp reactor having a conically-shaped plasma-generating section
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5759280A (en) * 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5880033A (en) * 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
US6626185B2 (en) 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
EP0958401B1 (en) 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5900105A (en) * 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
TW349234B (en) * 1996-07-15 1999-01-01 Applied Materials Inc RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
WO1998041071A1 (en) * 1997-03-11 1998-09-17 Xemod, Inc. Hybrid module assembling method and apparatus
JP3027951B2 (ja) * 1997-03-12 2000-04-04 日本電気株式会社 半導体装置の製造方法
AUPO638997A0 (en) * 1997-04-23 1997-05-22 Unisearch Limited Metal contact scheme using selective silicon growth
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US20070122997A1 (en) * 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US5985742A (en) 1997-05-12 1999-11-16 Silicon Genesis Corporation Controlled cleavage process and device for patterned films
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6210539B1 (en) * 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6475353B1 (en) 1997-05-22 2002-11-05 Sony Corporation Apparatus and method for sputter depositing dielectric films on a substrate
US5942042A (en) * 1997-05-23 1999-08-24 Applied Materials, Inc. Apparatus for improved power coupling through a workpiece in a semiconductor wafer processing system
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US7166816B1 (en) 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US7569790B2 (en) 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6369348B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc Plasma reactor with coil antenna of plural helical conductors with equally spaced ends
US6548382B1 (en) * 1997-07-18 2003-04-15 Silicon Genesis Corporation Gettering technique for wafers made using a controlled cleaving process
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
EP0908923B1 (en) * 1997-10-10 2003-04-02 European Community Apparatus to produce large inductive plasma for plasma processing
CN1102087C (zh) * 1997-10-15 2003-02-26 东京电子株式会社 处理基片的等离子体处理系统和方法
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6296780B1 (en) * 1997-12-08 2001-10-02 Applied Materials Inc. System and method for etching organic anti-reflective coating from a substrate
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6235650B1 (en) * 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US5994236A (en) * 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6228176B1 (en) 1998-02-11 2001-05-08 Silicon Genesis Corporation Contoured platen design for plasma immerson ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6171917B1 (en) 1998-03-25 2001-01-09 Advanced Micro Devices, Inc. Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6281132B1 (en) 1998-10-06 2001-08-28 Advanced Micro Devices, Inc. Device and method for etching nitride spacers formed upon an integrated circuit gate conductor
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
TW403958B (en) * 1999-01-11 2000-09-01 Mosel Vitelic Inc A kind of method to extend the operation life of the reaction chamber of the etching machine
US6242785B1 (en) 1999-01-26 2001-06-05 Advanced Micro Devices, Inc. Nitride based sidewall spaces for submicron MOSFETs
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6284110B1 (en) 1999-04-14 2001-09-04 Tokyo Electron Limited Method and apparatus for radio frequency isolation of liquid heat transfer medium supply and discharge lines
US6192829B1 (en) * 1999-05-05 2001-02-27 Applied Materials, Inc. Antenna coil assemblies for substrate processing chambers
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
US6221740B1 (en) 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
US6500732B1 (en) 1999-08-10 2002-12-31 Silicon Genesis Corporation Cleaving process to fabricate multilayered substrates using low implantation doses
EP1939932A1 (en) * 1999-08-10 2008-07-02 Silicon Genesis Corporation A substrate comprising a stressed silicon germanium cleave layer
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
SE9903675D0 (sv) * 1999-10-13 1999-10-13 Abb Research Ltd A device and a method for heat treatment of an object in a susceptor
US6291358B1 (en) 1999-10-15 2001-09-18 Micron Technology, Inc. Plasma deposition tool operating method
US6376391B1 (en) * 1999-12-30 2002-04-23 Novellus Systems Inc Pulsed or tailored bias for filling gaps with low dielectric constant material
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US6524929B1 (en) 2001-02-26 2003-02-25 Advanced Micro Devices, Inc. Method for shallow trench isolation using passivation material for trench bottom liner
US6486038B1 (en) 2001-03-12 2002-11-26 Advanced Micro Devices Method for and device having STI using partial etch trench bottom liner
US6521510B1 (en) 2001-03-23 2003-02-18 Advanced Micro Devices, Inc. Method for shallow trench isolation with removal of strained island edges
US6583488B1 (en) 2001-03-26 2003-06-24 Advanced Micro Devices, Inc. Low density, tensile stress reducing material for STI trench fill
US6534379B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. Linerless shallow trench isolation method
CN101866806B (zh) * 2001-06-01 2012-04-25 东京毅力科创株式会社 等离子体处理装置
US6652711B2 (en) * 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
KR100649895B1 (ko) * 2001-12-07 2006-11-24 주성엔지니어링(주) 플라즈마 챔버의 덮개
KR100455819B1 (ko) * 2002-08-13 2004-11-06 어댑티브프라즈마테크놀로지 주식회사 Acp 방식에 의한 플라즈마 생성방법
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US7163603B2 (en) * 2002-06-24 2007-01-16 Tokyo Electron Limited Plasma source assembly and method of manufacture
US8187377B2 (en) * 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
KR100486724B1 (ko) * 2002-10-15 2005-05-03 삼성전자주식회사 사행 코일 안테나를 구비한 유도결합 플라즈마 발생장치
KR100964398B1 (ko) * 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
JP2005063991A (ja) * 2003-08-08 2005-03-10 Sumitomo Electric Ind Ltd 半導体製造装置
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7354815B2 (en) * 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US20060081185A1 (en) * 2004-10-15 2006-04-20 Justin Mauck Thermal management of dielectric components in a plasma discharge device
US20060168794A1 (en) * 2005-01-28 2006-08-03 Hitachi Global Storage Technologies Method to control mask profile for read sensor definition
KR20060098235A (ko) * 2005-03-11 2006-09-18 삼성전자주식회사 반도체 제조 장치
US7955886B2 (en) * 2005-03-30 2011-06-07 Silicon Laboratories Inc. Apparatus and method for reducing interference
KR100655079B1 (ko) * 2005-11-11 2006-12-08 삼성전자주식회사 트랜스퍼 챔버와 프로세스 챔버 사이의 기밀유지장치
US8454810B2 (en) 2006-07-14 2013-06-04 4D-S Pty Ltd. Dual hexagonal shaped plasma source
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US7811900B2 (en) * 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
KR100800903B1 (ko) * 2006-12-21 2008-02-04 동부일렉트로닉스 주식회사 고집적 플라즈마 설비의 챔버 매니폴드 블록
JP2008288437A (ja) * 2007-05-18 2008-11-27 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
CN101182628B (zh) * 2007-12-13 2010-06-02 上海交通大学 溅射镀膜离子束辐照增强方法
US7989262B2 (en) 2008-02-22 2011-08-02 Cavendish Kinetics, Ltd. Method of sealing a cavity
US7993950B2 (en) * 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8330126B2 (en) * 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
US20110293853A1 (en) * 2009-02-13 2011-12-01 Mitsui Engineering & Shipbuilding Co., Ltd Thin film forming apparatus and thin film forming method
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US8329557B2 (en) * 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
KR101297264B1 (ko) * 2011-08-31 2013-08-16 (주)젠 이중 유도 결합 플라즈마 소스를 갖는 플라즈마 반응기
TW201325326A (zh) * 2011-10-05 2013-06-16 Applied Materials Inc 電漿處理設備及其基板支撐組件
CN103855459A (zh) * 2012-11-29 2014-06-11 细美事有限公司 等离子体天线以及具有该天线的用于产生等离子体的装置
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) * 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
CN111979579B (zh) * 2020-08-24 2021-11-09 哈尔滨工业大学 用于化学气相沉积单晶金刚石高速生长的等离子体聚集装置

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4362632A (en) * 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
JPS5940906B2 (ja) * 1977-01-24 1984-10-03 株式会社日立製作所 プラズマ放電を利用した気相化学反応物質析出装置
JPS55163848A (en) * 1979-06-06 1980-12-20 Shunpei Yamazaki Manufacture of semiconductor device and its manufacturing device
SU910352A1 (ru) * 1980-07-29 1982-03-07 Рубцовский Проектно-Конструкторский Технологический Институт Тракторостроения Установка дл отделени литниковой системы
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4431901A (en) * 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
US4867952A (en) * 1984-02-17 1989-09-19 American Cyanamid Company Cracking traps for process gas components having a condensed phase
JPS6164124A (ja) * 1984-09-06 1986-04-02 Anelva Corp 薄膜作成装置
US4810935A (en) * 1985-05-03 1989-03-07 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS61266567A (ja) * 1985-05-22 1986-11-26 Minolta Camera Co Ltd 保護膜成造方法
US4623417A (en) * 1985-08-23 1986-11-18 Texas Instruments Incorporated Magnetron plasma reactor
USH566H (en) * 1985-12-04 1989-01-03 The United States Of America As Represented By The United States Department Of Energy Apparatus and process for deposition of hard carbon films
US4686113A (en) * 1985-12-18 1987-08-11 Fairchild Semiconductor Corporation Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method
JPS6314862A (ja) * 1986-07-04 1988-01-22 Anelva Corp 表面処理装置
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US5063175A (en) * 1986-09-30 1991-11-05 North American Philips Corp., Signetics Division Method for manufacturing a planar electrical interconnection utilizing isotropic deposition of conductive material
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
JPS63270469A (ja) * 1987-04-27 1988-11-08 Hitachi Electronics Eng Co Ltd Cvd薄膜形成装置およびcvd薄膜形成方法
JPS63317675A (ja) * 1987-06-18 1988-12-26 Nec Corp プラズマ気相成長装置
JPS6457600A (en) * 1987-08-27 1989-03-03 Mitsubishi Electric Corp Plasma generating device
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
JPH02144913A (ja) * 1988-11-28 1990-06-04 Hitachi Ltd マイクロ波プラズマ処理装置
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR920014373A (ko) * 1990-12-03 1992-07-30 제임스 조렙 드롱 Vhf/uhf 공진 안테나 공급원을 사용하는 플라즈마 반응기 및 플라즈마를 발생시키는 방법
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus

Also Published As

Publication number Publication date
US5605599A (en) 1997-02-25
EP0596551A1 (en) 1994-05-11
US5346578A (en) 1994-09-13
JP2804879B2 (ja) 1998-09-30
JPH07326494A (ja) 1995-12-12
KR940012512A (ko) 1994-06-23
US5405480A (en) 1995-04-11

Similar Documents

Publication Publication Date Title
KR970006209B1 (ko) 유도 플라즈마 처리 장치
EP0805475B1 (en) Plasma processing apparatus
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
US5494522A (en) Plasma process system and method
US6155200A (en) ECR plasma generator and an ECR system using the generator
JP3220394B2 (ja) プラズマ処理装置
US5279669A (en) Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
KR100319664B1 (ko) 플라즈마처리장치
US8894806B2 (en) Plasma processing apparatus and plasma processing method
KR100752800B1 (ko) 반도체처리용의 기판유지구조 및 플라즈마 처리장치
US5824607A (en) Plasma confinement for an inductively coupled plasma reactor
KR0159178B1 (ko) 플라즈마처리 장치와 플라즈마처리 방법
US6225744B1 (en) Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
US20120145186A1 (en) Plasma processing apparatus
JP3706027B2 (ja) プラズマ処理方法
US20160372306A1 (en) Method for Controlling Plasma Uniformity in Plasma Processing Systems
KR100269552B1 (ko) 플라즈마 처리 장치
JP3254069B2 (ja) プラズマ装置
JP3181473B2 (ja) プラズマ処理装置
KR100986023B1 (ko) 바이어스 제어 장치
JPH1074600A (ja) プラズマ処理装置
JP4566373B2 (ja) 酸化膜エッチング方法
TWI787239B (zh) 有機材料的蝕刻方法及設備
JP3399467B2 (ja) プラズマ処理装置及びクリーニング方法
JPH0794480A (ja) プラズマ処理方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130809

Year of fee payment: 17

EXPY Expiration of term