JP2804879B2 - プラズマプロセス方法及び装置 - Google Patents

プラズマプロセス方法及び装置

Info

Publication number
JP2804879B2
JP2804879B2 JP5300979A JP30097993A JP2804879B2 JP 2804879 B2 JP2804879 B2 JP 2804879B2 JP 5300979 A JP5300979 A JP 5300979A JP 30097993 A JP30097993 A JP 30097993A JP 2804879 B2 JP2804879 B2 JP 2804879B2
Authority
JP
Japan
Prior art keywords
chamber
plasma
gas
substrate
induction coil
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP5300979A
Other languages
English (en)
Other versions
JPH07326494A (ja
Inventor
ジェフリー・シー・ベンジング
エリオット・ケイ・ブロードベント
ジェイ・カークウッド・エイチ・ラフ
Original Assignee
ノベラス・システムズ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ノベラス・システムズ・インコーポレイテッド filed Critical ノベラス・システムズ・インコーポレイテッド
Publication of JPH07326494A publication Critical patent/JPH07326494A/ja
Application granted granted Critical
Publication of JP2804879B2 publication Critical patent/JP2804879B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明はプラズマ源に関し、特に
集積回路を製造するための誘導プラズマ源に関する。
【0002】
【従来の技術】プラズマエッチングは多くの分野におい
て利用されているが、特に集積回路の製造分野で用いら
れている。集積回路の製造では、種々の材料内に厳密に
制御されたサブミクロンの寸法の形状を複写すること
と、微細な構造及び残りの材料に損傷を与えずに選択的
に材料を取り除くことが要求される。集積回路を製造す
るためのプラズマエッチングの具体例には、イオンスパ
ッタクリーニング、同時に行われる気相成長法(“CV
D”)とエッチングとを含む絶縁層の充填、レジストを
用いない化学ブランケットエッチバック、及びレジスト
を用いた化学パターンエッチングがある。
【0003】種々のプラズマ源と反応炉の幾何学的な設
計は、プラズマデポジション及びプラズマエッチングに
用いられることが知られている。例えば、電子サイクロ
トロン共振(“ECR”)源は、合衆国マサチューセッ
ツ州WoburnのApplied Science and Technology 社また
はマサチューセッツ州PrymouthのWAVEMAT社から入手す
ることができる。また、ウエハの洗浄及びエッチングプ
ロセスは、絶縁チャンバの上板の上に取り付けられた平
坦な螺旋状に配置された誘導巻線と同様に、一定または
変化する種々のピッチを備えた誘導巻線を有する種々の
直径の円筒形の水晶容器を用いる装置によって実施され
てきた。ラジオ周波数(“rf”)のダイオード及びト
ライオード構造では、ウエハ電極及び他の電極がプラズ
マを発生するために13.56MHzで駆動される。
【0004】幾つかのプラズマエッチング法の1つであ
る物理スパッタリングは、シースを通過し、エッチング
される材料にエネルギーと運動量とを伝達するエネルギ
ーの高いイオンによって材料を除去する。従来技術の誘
導性に結合されたキャビティ及びダイオードとトライオ
ードとの機構の多くで実施されたように、物理スパッタ
リングは、低い材料除去速度、イオン電流の均一性が低
いためにエッチングの均一性が低いこと、及びイオンの
エネルギーが高いためにイオンの衝突及び注入から基板
が受ける電気的な損傷を含む多くの不利益を有する。E
CR源は、改良された性能を提供するが、しかし誘導型
のスパッタ源よりも非常に複雑になる。
【0005】
【発明が解決しようとする課題】以上のことから、高い
エッチレートを達成するための適切なイオン密度、直径
の大きい基板の材料を均一に除去するための均一なイオ
ン電流、プラズマ内でのより均一なイオン分布及びアス
ペクト比の大きい構造内のイオンのより高い指向性を提
供することのできる、簡単な構造の機械によって実施さ
れるプラズマ源システムを提供することが必要とされ
る。
【0006】
【課題を解決するための手段】上述の目的は、チャンバ
と、前記チャンバ内の基板をその表面上に支持するプラ
テンとを有する、集積回路製造用のプラズマプロセス装
置であって、その基部の巻線が前記プラテンの前記表面
に略平行であって、かつ前記表面と同一平面上にあり、
他の巻線が各々前記プラテンの前記表面に略平行であ
り、前記チャンバが内部に配置された半球型のインダク
ションコイルと、前記インダクションコイルに連結され
た低周波ラジオ周波電源と、前記基板をバイアスするた
めに前記プラテンに連結されたバイアス電源とを有する
ことを特徴とするプラズマプロセス装置を提供すること
によって達成される。
【0007】
【作用】本発明の実施例である誘導型プラズマ源では、
インダクションコイルは半球型をしている。基板が導入
されるチャンバは、インダクションコイル内に配置され
ている。他の実施例では、インダクションコイルは半球
型の容器の輪郭に沿った形状を有し、チャンバをその内
部に含んでいる。更に他の実施例では、電源は周波数約
450kHz、出力200〜2000Wの低周波電源で
あり、圧力は約0.1〜100mTorrである。
【0008】
【実施例】図1は半球型誘導プラズマ源1の断面を表し
ており、図2は半球型誘導プラズマ源1の簡略化された
斜視図が示されている。半球型誘導プラズマ源1は、高
さ26.62cm、幅43.82cmのステンレス鋼製のハ
ウジング10内に配置され、かつ4個の巻線用型枠の拡
張する螺旋型のパターンによって形成された半球型のイ
ンダクションコイル18を含んでいる。図を明瞭にする
ために型枠12及び14だけが描かれている。インダク
ションコイル18の組立を容易にするために、4個の型
枠が用いられているが、組立方法によっては、単一の型
枠のような他の形式の型枠が用いられる。巻線用型枠、
例えば型枠12及び14は、ナイロンなどの絶縁材料を
含む任意の適切な材料から形成されている。インダクシ
ョンコイル18は、任意の適切な絶縁材料の帯、接着剤
またはセメントによって巻線用型枠、例えば型枠12及
び14内のチャネルの内側の定位置に保持されている。
型枠12及び14を含む巻線用型枠は、ねじまたは接着
剤によって従来通りにハウジング10に締着されてい
る。
【0009】インダクションコイル18は、内径3.0
mm及び外径4.75mmの銅製のチューブからなる。半球
型のインダクションコイル18は、中心線の半径が7.
75cmである。インダクションコイル18の拡張する螺
旋型のパターンは、36本の巻線からなる。第1の巻線
は、基板32と略同一平面上にあり、後続の各巻線は、
2.432°ずつ変位しながら螺旋状に上昇し、全体で
36本の巻線からなるインダクションコイルが形成され
る。
【0010】組立作業中に、インダクションコイル18
は、例えば1.91cmの適切な厚さを有するステンレス
鋼製のチャンバの上板24に接続された水晶容器または
ベルジャー200に収容された真空チャンバ30の上に
配置されている。好ましくは、容器20は半円形の形状
を有し、真空キャビティ内に平衡のとれたラジオ周波数
の結合(均一な絶縁空隙)が形成される。一般に、容器
の材料は、真空に充分耐えるような構造的な完全性を備
えた絶縁材料からなる。適切な材料は、水晶、パイレッ
クス(ホウ珪酸ガラス)、アルミニウム酸化物(サファ
イアとして知られているAl2O3)、ポリアミド及びそ
の他の酸化物複合材料または窒化物複合材料を含む。例
えば、容器20の半径は17.78cmであり、容器の材
料は厚さ0.51cmの水晶である。インダクションコイ
ル18は、容器20の半円形の輪郭に沿った形状を有
し、容器20は真空状態を保ち、かつ例えば製造中の集
積回路を含む半導体ウエハ32である基板を収納するこ
とができる。
【0011】ハウジング10は、任意の好適な方法によ
って、チャンバの上板24の上に取着されている。図1
は、誘導プラズマ源1からスプリアスのラジオ周波数が
放射されることを防止するための銅箔を含むRFシール
22によって係合されたハウジング10を示している。
【0012】例えば200mmの直径の半導体ウエハ32
は、ウエハ32の下に配置されたステンレス鋼製のプラ
テン部分44と、プラテン部分44の平面の上及び平面
内に延在するセラミック製のダークスペースリング46
とを備えたプラテン40を含む導電性の(例えばステン
レス鋼)ウエハ支持脚部42によってチャンバ30内に
支持されている。プラテン部分44の直径は18.35
cmであり、ダークスペースリング46の外径は28.6
2cmである。プラテン40の下には、外径20.32cm
のダークスペースシールド50が配置されている。
【0013】脚部42は、適切な機構(図示されていな
い)によって駆動されて垂直方向に動くことができる。
脚部42の位置は、プラズマエッチングシステムがプロ
セスモードで動作しているかまたはウエハ転送モードで
動作しているかによって決定される。プロセスモードで
は、図1に示すようにプラテン40はチャンバ30内に
配置されている。大気圧中の脚部駆動システムの機械的
な構成要素をチャンバ30及び60内の真空から分離す
るために、ベロウ52が十分に延出している。ウエハ3
2はプロセスチャンバ30内で脚部42の上に配置され
ている。
【0014】ウエハをアンロードするために、脚部42
は7.54cmの高さに配置され、またウエハをロードす
るために、脚部42は高さ4.60cmのシール可能なウ
エハ転送開口部26をその一方の端部に含むウエハ転送
領域60へ下降される。ベロウ52は十分に圧縮され、
3個のリフトピン(リフトピン54及び56のみが図示
されている)が、脚部42が下降したときにウエハ32
を転送領域60内の定位置に保持するようにプラテン4
0に形成された孔(図示されていない)を通して突出す
る。ウエハ転送アーム(図示されていない)が、ウエハ
転送モードの間にウエハ転送フランジ28を越えて転送
領域60内に達することができるように、シール可能な
ウエハ転送開口部26が提供されている。適切なウエハ
転送アーム及び関連する機構は公知の技術である。ウエ
ハ転送動作中に、転送アームの端部にある歯がウエハ3
2の下に挿入され、ウエハがリフトピン(例えばピン5
4及び56)によって支持される。転送アームは上昇し
てリフトピンからウエハ32を持ち上げ、転送アームが
収縮してウエハ32が転送領域60から除去される。新
しいウエハが歯の上に配置され、そして転送アームが次
にリフトピン(例えば54及び56)の上の位置に移動
する。転送アームが下降かつ収縮し、ウエハ32をリフ
トピンの上に配置する。次に脚部42が上昇し、ウエハ
32がプラテン40の上に配置される。
【0015】インダクション源1は、スパッタ洗浄、化
学ブランケットエッチバック、化学パターンエッチン
グ、及びプラズマ気相成長法(“PECVD”)を含む
種々の用途に適している。イオンスパッタ洗浄は、低圧
アルゴンガスのような適切な不活性ガスから発生したプ
ラズマを用いて、基板表面の材料に運動量を与えて材料
を基板表面から除去するものである。図1に示されたエ
ッチ洗浄用のインダクション源1の配置では、アルゴン
ガスは、プラテン40のすぐ下のチャンバの側壁に設け
られた単一のポート58(図1)を通って、チャンバ3
0内に導かれる。化学エッチングは、不活性ガスの代わ
りにより高い圧力の反応性ガスを用い、フォトレジスト
または他のマスク材料が配置された場所をエッチバック
したり、パターン通りにエッチングしたりすることに適
している。圧力が高いかまたは種の反応性が大きいため
に、化学エッチング用のインダクション源装置(図示さ
れていない)は、反応性ガスを導入するための複数のポ
ートを基板に関して対称的に有することが好ましい。P
ECVDは、フィルムデポジションを誘導する異なる反
応性ガスを用いている。PECVD用のインダクション
システムの装置では、対称的に配置された複数のポート
が用いられることが好ましい。基板のバイアス調整を注
意深く行うことによって、PECVD用のインダクショ
ン源は絶縁ギャップの充填に適している。
【0016】適切な形式の真空システム(図示されてい
ない)が、チャンバ30を弱真空にするために、転送領
域60に接続されている。適切な真空システムは、当業
者にはよく知られている。チャンバ30が弱真空にされ
た後、イオンスパッタ洗浄に適した好ましくはアルゴン
ガスからなるプロセスガスが、ポート58を通してチャ
ンバ30に供給され、プロセスガスの所望の圧力を達成
する。イオンスパッタ洗浄では、充分なアルゴンが導入
され、約0.1〜100mTorr、好ましくは0.1
〜10mTorrの範囲内の低い圧力が形成される。
【0017】インダクションプラズマ源1のラジオ周波
数(“rf”)サブシステムは、ステンレス鋼製のrf
整合外被2内に封入された整合キャパシタンス6及び8
を有する。キャパシタンス6及び8は、バスバー(バス
バー4のみが図示されている)に接続されており、アセ
ンブリはハウジング10に取着された絶縁ブロック5に
取着されている。
【0018】インダクションコイル18は、図3に詳し
く示されているように、rf整合ネットワークキャパシ
タンス6及び8に接続されている。キャパシタンス6及
び8は各々、銅製のバスバー4に螺合された一方の端子
と銅製のバスバー204に螺合されたもう一方の端子と
を有する。バスバー4は、低周波電源410(図5)に
接続されている。バスバー204は、フィッティング2
08を通してインダクションコイル18を形成する銅製
のチューブの端部206に接続されている。フィッティ
ング208は、バスバー204を通してチャネルに螺合
されている。他のフィッティング210が、チャネルの
他の端部に螺合されている。テフロンチューブ212
が、冷却用流体を伝えるためにフィッティング210に
接続されている。インダクションコイル18は、図4に
詳しく示されているようにアースされた上板24に連結
されている。バスバー302はネジ304によってハウ
ジング10にネジ止めされ、かつフィッティング308
を通してインダクションコイル18を形成する銅製のチ
ューブの端部306に接続されている。フィッティング
308は、バスバー302を通してチャネルに螺合され
ている。フィッティング310は、チャネルの他の端部
に螺合されている。テフロンチューブ312は、冷却用
流体を吸引するためにフィッティング310に接続され
ている。
【0019】インダクションプラズマ源1のRFサブシ
ステムが図5に示されている。電源は、低周波電源41
0及び高周波電源420を含む。低周波電源410は、
約450kHzの周波数を有し、かつ動作時の出力は2
00〜2000Wである。低周波電源410は、並列に
接続されたキャパシタンス6及び8を含む低周波整合ネ
ットワークを通してインダクションコイルの巻線に接続
されている。当業者にはよく知られているように、低周
波整合ネットワークは、キャビティの形状、圧力及びプ
ラズマの状態に基づいて低周波エネルギーをプラズマキ
ャビティ内に導くように同調されている。この実施例で
は、キャパシタンス6及び8は定格電圧6000Vで静
電容量1200pFを有するマイカキャパシタンスであ
る。高周波電源420は約13.56MHzの周波数を
有し、動作時の出力は25〜500Wである。高周波電
420は、米国ニュージャージー州KressonのRF Pla
smaProducts社から入手可能な例えばモデルAM−5の
ような自動同調高周波整合ネットワーク422を通して
プラテン40に接続されている。自動同調高周波整合ネ
ットワーク422は、ウエハ32のバイアス電圧を一定
にする内部直流バイアス制御を行う。
【0020】インダクションコイル18は例えば冷却水
のような適切な流体によって冷却される。冷却水はバル
ブ442を通してソース440からインダクションコイ
ル18へ導かれ、フロースイッチ444を通してシンク
446へ戻される。冷却水は例えば、3〜5barの範
囲の圧力で伝達される。
【0021】インダクションプラズマ源1は機械的に複
雑でなく、その製造が比較的容易であるが、高いイオン
密度良好なイオン電流の均一性、及び安定した低圧で
の動作を達成する。アルゴンイオンスパッタ洗浄に用い
た場合、インダクションプラズマ源1によって生み出さ
れた均一な高密度のイオンの流束によって、ウエハ32
に印加された適切な高周波バイアス電圧と共に、薄い酸
化膜及び薄い金属フィルムの堆積の前に汚染を取り除く
ために特に適した緩やかな低電圧アルゴンスパッタ洗浄
が可能になる。この緩やかな低電圧アルゴンイオンスパ
ッタ洗浄は、高エネルギーでの接触洗浄の間に発生する
ゲートの損傷を防止することができる。アルゴンイオン
スパッタ洗浄はまた、シリコン表面の非結晶化ばかりで
なく本来の酸化膜の除去をも原因とする第1レベル層と
の接触抵抗を減少させるために提供された、シリコン基
板に達するようなものも含む接触部及び通路内の本来の
薄い酸化膜を取り除くために効果的に用いられる。
【0022】特性表示データが図6及び図7に示されて
いる。図6は、エッチレート(オングストローム/分)
対イオン源出力(W)の種々のウエハバイアス電圧(V
dc=−50V、−75V、−100V)に対するグラ
フであり、半球型インダクションプラズマ源1は、0.
6mTorrの圧力のアルゴン中で熱酸化膜ウエハに対
して動作している。実際の空間電荷層の電位(ウエハ表
面の上の全体の電圧状態)は、ウエハのバイアス電圧よ
りも約30V高い。図6は、高いエッチレートが非常に
低い電圧レベルで達成されることを示している。200
Wのイオン源(イオン源410)出力では、ウエハバイ
アス電圧−100Vに対してエッチレートは約250オ
ングストローム/分であり、−50Vのウエハバイアス
電圧(50%減少)に対するエッチレートは約200オ
ングストローム/分(約20%減少)までにしか減少し
ていない。400Wのイオン源の出力では、ウエハバイ
アス電圧−100Vに対してエッチレートは600オン
グストローム/分であり、−50Vのウエハバイアス電
圧(50%減少)に対するエッチレートは約450オン
グストローム/分(約25%減少)までにしか減少して
いない。600Wのイオン源の出力では、−100Vの
ウエハバイアス電圧に対してエッチレートは約950オ
ングストローム/分であり、−50Vのウエハバイアス
電圧(50%減少)に対するエッチレートは約700オ
ングストローム/分(約26%減少)までにしか減少し
てしない。800Wのイオン源の出力では、−100V
のウエハバイアス電圧に対するエッチレートは約120
0オングストローム/分であり、−50V(50%減
少)に対するエッチレートは約900オングストローム
/分(約25%減少)までにしか減少していない。
【0023】図7は、イオン電流の均一性を、イオン電
流(mA)対半径方向の位置(cm)の関数として表した
グラフである。曲線602は、0.6mTorrのアル
ゴン雰囲気中で−100Vのウエハバイアス電圧で図1
に示された半球型のインダクションイオン源を用いた、
スパッタ洗浄プロセス中のウエハを流れるイオン電流を
表したものである。イオン電流は約±1.1%しか変化
していない。脚部42の上のイオン電流が均一であるだ
けでなく、0.6mTorrという低い圧力による操作
にもかかわらず、イオン電流は約6mAという非常に高
い値になっている。曲線604は、圧力20mTor
r、ウエハバイアス電圧−700Vでの従来のダイオー
ドエッチングを用いたスパッタ洗浄プロセス中のウエハ
を流れるイオン電流を表している。イオン電流は約±1
2.9%変化している。従って、ウエハ表面のイオン電
流とイオンエネルギーを個別に調整するべく2つの電源
を用いた場合、半球型インダクションプラズマ源1によ
って、ウエハ32の全体の電圧を最小にしつつ、高いエ
ッチレートを達成することができる。1×1011(イオ
ン/cm3)より高いイオン密度によって、ウエハ全体の
電圧が150V未満での300オングストローム/分以
上の熱酸化膜のエッチレートが達成される。
【0024】比較的簡単な半球型インダクションプラズ
マ源1によって、大きく改善された結果が得られる理由
を理解するために、ガスの圧力と周波数の両方が空間電
荷層の電位に影響するという公知の原理について考えて
みる。圧力が50または100mTorr未満に低下
ると、空間電荷層の厚み及び空間電荷層の電位差は、多
くのプラズマシステムで図7の曲線604で示されたダ
イオードエッチングの特性で象徴されるように、数10
Vから数100V若しくはそれ以上に増加し始める。そ
れに応じて、プラズマ電位が上昇し、圧力が減少すると
共にイオン−基板間の衝撃エネルギーが急激に増加す
る。これらの効果は、平均自由行程が長くなり、電子と
分子との衝突率が低下した結果である。衝突率が低下す
るにもかかわらず、イオン化の確率を上昇させプラズマ
を維持するため、電子のエネルギー及び電位は上昇す
る。低い励起用ラジオ周波数は、ダイオードシステムに
同様な影響を及ぼす。ガスの圧力が約100〜1000
mTorrの範囲にあり、周波数が約10MHzから約
1MHz未満へ低下される場合も、空間電荷の電位は急
激に上昇し、エネルギー駆動されたイオンによるエッチ
ングを促進する。電位の上昇はプラズマ維持機構の変化
による
【0025】従って、ダイオードシステムでは一般的に
周波数と圧力とは交換可能な変数であり、周波数の低下
または圧力の低下いずれも空間電荷層の電位を増加さ
、それによってプラズマの維持を助けるが、これは
板に損傷を与えMOSFETデバイスのゲートの損傷の
原因になる。このために、より効果的なイオン化の方
法を用いることが望まれる。
【0026】半球型インダクション源1は、主に他のプ
ラズマ源で観測されるより高いエネルギーでの接触洗浄
の間に発生するゲートの損傷を防止する低圧での緩やか
な低電圧かつ高速のアルゴンイオンスパッタ洗浄を可能
にする。以下に半球型インダクションプラズマ源1の利
点を説明する。インダクション放電は、容器20の壁付
近に誘起された方位電界による低圧力(例えば、0.6
mTorr以下)での動作中にインダクションプラズマ
源1内で維持される。励磁コイル内の振動電流は、振動
する方位電界を生み出す軸方向の時間的に変化する磁界
を発生させる。インダクションコイル18付近のプラズ
マの境界の領域内に入る電子は、方位電界によって加速
され、インダクションコイル18の電流とは逆向きに流
れる電流を生み出す。こうして、軸方向の磁界はプラズ
マ放電の内部ではほとんど打ち消される。従って、電界
の大きさはチャンバ30の内部では非常に小さいものと
なる。
【0027】インダクションコイル18付近にあるプラ
ズマの外側部分は、プラズマ電子にエネルギーが伝えら
れる主な領域である。これらの電子は気体原子との弾性
散乱衝突によってプラズマ体積を通して急速に拡散す
る。エネルギー約20eVの電子は、チャンバ30全体
に亘って原子とのイオン化衝突を起こす。インダクショ
ンプラズマ源1内では、1mTorrよりも充分低い圧
力(例えば0.6mTorr)ではイオンが発生してか
ら約10cmの平均自由行程を移動した後に壁に衝突する
までに、約1回の衝突が起こる可能性があり、それは壁
への自由落下といえる。低圧ではなく、数mTorrの
高圧の場合、同様の長さの行程では、10回以上の衝突
が起こる。この場合、イオンは壁に向かうに従って拡散
する。
【0028】イオン化率の空間的な依存度は、電子がイ
ンダクションコイル18付近の外壁で励起されるため
に、散乱のための電子の平均自由行程と圧力とに大きく
依存している。圧力が非常に低い場合(0.6mTor
r)、電子の平均自由行程は電子が通常は衝突せずに容
器を移動できる長さとなる。しかし、誘起電界内の電子
の平均自由行程は、同等の寸法のダイオード(平行板)
システムの電界内を移動する電子の平均自由行程の10
0倍以上である。インダクション源はまた、“ウェーブ
ライディング(wave riding)”及び二次注入によって
空間電荷層境界にて主に発生するダイオードイオン化機
構とは対照的な非常に大きい体積での(周囲での)イオ
ン化を発生させる。電極の上の非常に均一なイオン電流
を次のように説明することができる。電子によるイオン
化衝突の可能性は、電子が中心を通過しないために、壁
の付近よりも中心部分でより小さい。それにもかかわら
ず、中心の上のプラズマ(plasma column)の高さが
最も大きいので、電極の中心に流れる電流は、プラズマ
は高くないがイオン化率の大きい周縁部に流れる電流
とほぼ等しくなる
【0029】スパッタ速度は高周波バイアス電圧によっ
て決まる。ウエハ32の表面の上の空間電荷層の電位が
一定であり、スパッタ速度はイオンエネルギーの関数で
あるイオン電流密度とスパッタ効率との積なので、例え
ばSiO2の実測されたスパッタ速度は、イオン電流密
度の関数となる。脚部40の電極表面の直上(約1.9
cm)のプラズマの時間的に平均された電位は、脚部の電
極の領域に亘って均一であり、一方脚部の電極表面の電
位は場所によらず一定なので、空間電荷層の電位は均一
である。従ってプラズマと電極表面との間の電位差であ
る空間電荷層の電位もまた均一である。
【0030】本発明を上述された実施例に関して説明し
たが、本発明はこれらの実施例に限定されるものではな
い。従って、これまで説明されなかった他の実施例及び
種々の変形変更が、添付の請求項によって定義される本
発明の技術的視点を逸脱するものではないことは当業者
には明らかである。
【0031】
【発明の効果】本発明によれば、高いエッチレートを達
成するための適切なイオン密度、直径の大きい基板の材
料を均一に除去するための均一なイオン電流、プラズマ
内でのより均一なイオン分布及びアスペクト比の大きい
構造内のイオンのより高い指向性を提供することのでき
る、簡単な構造の機械によって実施されるプラズマ源シ
ステムを提供することができる。
【図面の簡単な説明】
【図1】プラズマエッチングシステムの半球型の誘導プ
ラズマ源及び関連する構成要素の断面図。
【図2】図1に示された半球型誘導プラズマ源の一部切
り欠き斜視図。
【図3】図1の半球型のインダクションコイルとラジオ
周波数整合ネットワークとの間の接続部の平面図。
【図4】図1の半球型インダクションコイルとプラズマ
チャンバとの間の接続部の平面図。
【図5】図1の半球型誘導プラズマ源の等価回路。
【図6】図1の半球型誘導イオン源のエッチレート対出
力のグラフ。
【図7】図1の半球型誘導イオン源と標準的な二極間エ
ッチング装置とのイオン電流の均一性を表すグラフ。
【符号の説明】
1 半球型誘導プラズマ源 2 rf整合外被 4 バスバー 5 絶縁ブロック 6、8 整合キャパシタンス 10 ハウジング 12、14 型枠 18 インダクションコイル 20 容器 22 RFシール 24 チャンバの上板 26 ウエハ転送開口部 28 ウエハ転送フランジ 30 真空チャンバ 32 基板(半導体ウエハ) 40 プラテン 42 脚部 44 プラテン部分 46 ダークスペースリング 50 ダークスペースシールド 52 ベロウ 54、56 リフトピン 58 ポート 60 ウエハ転送領域 204 バスバー 206 チューブの端部 208 フィッティング 210 フィッティング 212 テフロンチューブ 302 バスバー 304 ネジ 310 フィッティング 312 テフロンチューブ 410 低周波電源 420 高周波電源 422 自動同調高周波整合ネットワーク 440 ソース 442 バルブ 444 フロースイッチ 446 シンク
───────────────────────────────────────────────────── フロントページの続き (72)発明者 エリオット・ケイ・ブロードベント アメリカ合衆国カリフォルニア州 95148・サンノゼ・コーバルコート 3208 (72)発明者 ジェイ・カークウッド・エイチ・ラフ アメリカ合衆国カリフォルニア州 95112・サンノゼ・サウス14スストリー ト 264 (56)参考文献 特開 平2−144913(JP,A) 特開 昭55−163848(JP,A)

Claims (21)

    (57)【特許請求の範囲】
  1. 【請求項1】 集積回路基板を処理するためのプラズマ
    を発生する方法であって、 半球型インダクションコイル内に含有されたチャンバ内
    に基板を配置する過程と、 前記チャンバにガスを供給する過程と、 前記インダクションコイルに交流電流を供給して前記チ
    ャンバ内の前記ガスの励起によってプラズマを形成する
    過程と、 前記基板にバイアス電圧を印加する過程を含むことを特
    徴とするプラズマプロセス方法。
  2. 【請求項2】 前記チャンバ内ガス圧力を0.1乃至1
    00mTorrの範囲に維持する過程をさらに含むこと
    を特徴とする請求項1に記載の方法。
  3. 【請求項3】 前記基板にバイアス電圧を印加する過程
    が、前記基板に高周波ラジオ周波電源を適用する過程を
    含み、前記インダクションコイルに交流電流を供給して前記チ
    ャンバ内の前記ガスの励起によってプラズマを形成する
    過程が、 前記コイルに低周波ラジオ周波電源を適用する
    過程を含むことを特徴とする請求項1に記載の方法。
  4. 【請求項4】 前記プラズマを形成する過程はイオンス
    パッタ工程であり、 前記チャンバにガスを供給する過程は前記基板表面の材
    料に運動量を与えて前記基板表面から前記材料を除去す
    べく、前記チャンバに不活性ガスを供給する過程を含
    むことを特徴とする請求項1に記載の方法。
  5. 【請求項5】 前記プラズマを形成する過程は化学エッ
    チング工程であり、 前記チャンバにガスを供給する過程は前記基板に対して
    半径方向にて対称的に配置された複数のガス源からの前
    記基板への反応ガスの供給を含むことを特徴とする請求
    項1に記載の方法。
  6. 【請求項6】 前記プラズマを形成する過程はプラズマ
    化学気相成長(PECVD)工程であり、 前記チャンバにガスを供給する過程は前記基板に反応ガ
    スを供給する過程を含むことを特徴とする請求項1に記
    載の方法。
  7. 【請求項7】 前記チャンバにガスを供給する過程は、
    前記基板に対して半径方向にて対称的に配置された複数
    のガス源から前記基板への前記反応ガスの供給を行う過
    程を含むことを特徴とする請求項6に記載の方法。
  8. 【請求項8】 前記チャンバにガスを供給する過程の前
    に、前記チャンバを大気圧力よりも小さな圧力に連通し
    てガス抜きする過程をさらに含むことを特徴とする請求
    項1に記載の方法。
  9. 【請求項9】 前記インダクションコイルは銅製のチュ
    ーブであり、前記チューブを通って冷却用流体を循環さ
    せる過程をさらに含むことを特徴とする請求項1に記載
    の方法。
  10. 【請求項10】 チャンバと、前記チャンバ内の基板を
    その表面上に支持するプラテンとを有する、集積回路製
    造用のプラズマプロセス装置であって、 その基部の巻線が前記プラテンの前記表面に略平行であ
    って、かつ前記表面と同一平面上にあり、他の各々の巻
    線が前記プラテンの前記表面に略平行であり、前記チャ
    ンバが内部に配置された半球型のインダクションコイル
    と、 前記インダクションコイルに連結された低周波ラジオ周
    波電源と、 前記基板をバイアスするために前記プラテンに連結され
    たバイアス電源とを有することを特徴とするプラズマプ
    ロセス装置。
  11. 【請求項11】 前記チャンバが半球型の容器内に収納
    され、前記インダクションコイルが前記容器の半球型の
    表面と略同じ形状を有することを特徴とする請求項10
    に記載の装置。
  12. 【請求項12】 前記容器が水晶のベルジャーからなる
    ことを特徴とする請求項11に記載の装置。
  13. 【請求項13】 前記容器が酸化アルミニウムのベルジ
    ャーからなることを特徴とする請求項10に記載の装
    置。
  14. 【請求項14】 前記インダクションコイルの前記巻線
    が銅製のチューブからなり、 前記銅製のチューブの一方の端部から冷却用流体を供給
    し、前記銅製のチューブのもう一方の端部から冷却用流
    体を除去するための冷却システムを更に有することを特
    徴とする請求項10に記載の装置。
  15. 【請求項15】 前記バイアス電源が高周波ラジオ周波
    電源からなることを特徴とする請求項10に記載の装
    置。
  16. 【請求項16】 プラズマが、0.1乃至100mTo
    rrの範囲の圧力で、前記プラテンの上の前記チャンバ
    内で動作可能に維持されることを特徴とする請求項10
    に記載の装置。
  17. 【請求項17】 チャンバと、前記チャンバ内の基板を
    その表面上に支持するプラテンとを有する、集積回路製
    造用のプラズマプロセス装置であって、 前記チャンバを収納するための半球型の水晶の壁を備え
    た容器と、 前記プラズマの境界内の前記領域に進入する電子を加速
    し、前記プラズマの放電の内部の軸方向の磁界を打ち消
    すべく、前記水晶の壁の付近の領域内に低周波で振動す
    る方位電界を誘起する手段と、 前記誘起手段とは個別に前記ウエハ上にバイアス電圧を
    印加する手段とを有することを特徴とするプラズマプロ
    セス装置。
  18. 【請求項18】 集積回路製造用のプラズマプロセス装
    置であって、 上板を備えたハウジングと、 真空チャンバを収納するために前記上板に取着され、か
    つ半球型の水晶の壁を備えたベルジャーと、 前記真空チャンバ内で半導体ウエハを支持するための主
    面を備えたプラテンと、 前記ベルジャーの前記水晶の壁と略同じ形状を有し、前
    記プラテンと略平行な複数の巻線を有し、前記巻線の1
    つがその基部にあると共に前記プラテンの前記主面と同
    一平面上にある半球型のインダクションコイルと、 前記チャンバにガスを送るためのガスシステムと、 前記ベルジャーの壁付近の前記チャンバ内に振動する方
    位電界を誘起するために、一方の端部が前記ハウジング
    に連結された前記インダクションコイルのもう一方の端
    部に整合ネットワークを通して連結された低周波ラジオ
    周波電源と、 前記ウエハをバイアスするために前記プラテンに整合ネ
    ットワークを通して連結された高周波ラジオ周波電源と
    を有し、 プラズマが0.1乃至100mTorrの範囲の圧力で
    前記チャンバ内で動作可能に維持されることを特徴とす
    るプラズマプロセス装置。
  19. 【請求項19】 前記インダクションコイルの前記巻線
    が、前記ベルジャーを収納するために充分な内側の体積
    を備えた単層の半球型の拡張する螺旋を形成することを
    特徴とする請求項18に記載の装置。
  20. 【請求項20】 冷却水を前記銅製のチューブからなる
    前記インダクションコイルの前記巻線を通して前記イン
    ダクションコイルに循環させるための冷却システムを更
    に有することを特徴とする請求項18に記載の装置。
  21. 【請求項21】 プラズマが0.1乃至10mTorr
    の範囲の圧力で前記真空チャンバ内に動作可能に維持さ
    れることを特徴とする請求項18に記載の装置。
JP5300979A 1992-11-04 1993-11-04 プラズマプロセス方法及び装置 Expired - Lifetime JP2804879B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/971,363 1992-11-04
US07/971,363 US5346578A (en) 1992-11-04 1992-11-04 Induction plasma source

Publications (2)

Publication Number Publication Date
JPH07326494A JPH07326494A (ja) 1995-12-12
JP2804879B2 true JP2804879B2 (ja) 1998-09-30

Family

ID=25518280

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5300979A Expired - Lifetime JP2804879B2 (ja) 1992-11-04 1993-11-04 プラズマプロセス方法及び装置

Country Status (4)

Country Link
US (3) US5346578A (ja)
EP (1) EP0596551A1 (ja)
JP (1) JP2804879B2 (ja)
KR (1) KR970006209B1 (ja)

Families Citing this family (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5549874A (en) * 1992-04-23 1996-08-27 Ebara Corporation Discharge reactor
US6225744B1 (en) 1992-11-04 2001-05-01 Novellus Systems, Inc. Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100238627B1 (ko) * 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5759922A (en) * 1993-08-25 1998-06-02 Micron Technology, Inc. Control of etch profiles during extended overetch
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
TW296534B (ja) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
US5468296A (en) * 1993-12-17 1995-11-21 Lsi Logic Corporation Apparatus for igniting low pressure inductively coupled plasma
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
EP0685873B1 (en) * 1994-06-02 1998-12-16 Applied Materials, Inc. Inductively coupled plasma reactor with an electrode for enhancing plasma ignition
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
JP3105403B2 (ja) * 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP2770753B2 (ja) * 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5783101A (en) * 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
EP0710055B1 (en) * 1994-10-31 1999-06-23 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
JP3424867B2 (ja) * 1994-12-06 2003-07-07 富士通株式会社 プラズマ処理装置及びプラズマ処理方法
US5529197A (en) * 1994-12-20 1996-06-25 Siemens Aktiengesellschaft Polysilicon/polycide etch process for sub-micron gate stacks
US5591301A (en) * 1994-12-22 1997-01-07 Siemens Aktiengesellschaft Plasma etching method
US5643639A (en) * 1994-12-22 1997-07-01 Research Triangle Institute Plasma treatment method for treatment of a large-area work surface apparatus and methods
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5625259A (en) * 1995-02-16 1997-04-29 Applied Science And Technology, Inc. Microwave plasma applicator with a helical fluid cooling channel surrounding a microwave transparent discharge tube
US6283130B1 (en) * 1995-05-30 2001-09-04 Anelva Corporation Plasma cleaning method and placement area protector used in the method
US5696428A (en) * 1995-06-07 1997-12-09 Lsi Logic Corporation Apparatus and method using optical energy for specifying and quantitatively controlling chemically-reactive components of semiconductor processing plasma etching gas
US5716485A (en) * 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US5874704A (en) 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5785878A (en) * 1995-11-02 1998-07-28 Applied Materials, Inc. RF antenna having high temperature, oxidation resistant coating
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5736423A (en) * 1995-11-16 1998-04-07 Advanced Micro Devices, Inc. Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5964949A (en) * 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6368469B1 (en) * 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5759280A (en) * 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
US5880033A (en) * 1996-06-17 1999-03-09 Applied Materials, Inc. Method for etching metal silicide with high selectivity to polysilicon
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6626185B2 (en) 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
AU3145197A (en) 1996-06-28 1998-01-21 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5900105A (en) * 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
TW349234B (en) * 1996-07-15 1999-01-01 Applied Materials Inc RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
WO1998041071A1 (en) * 1997-03-11 1998-09-17 Xemod, Inc. Hybrid module assembling method and apparatus
JP3027951B2 (ja) * 1997-03-12 2000-04-04 日本電気株式会社 半導体装置の製造方法
AUPO638997A0 (en) * 1997-04-23 1997-05-22 Unisearch Limited Metal contact scheme using selective silicon growth
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
US20070122997A1 (en) * 1998-02-19 2007-05-31 Silicon Genesis Corporation Controlled process and resulting device
US6159824A (en) 1997-05-12 2000-12-12 Silicon Genesis Corporation Silicon-on-silicon wafer bonding process using a thin film blister-separation method
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6475353B1 (en) 1997-05-22 2002-11-05 Sony Corporation Apparatus and method for sputter depositing dielectric films on a substrate
US5942042A (en) * 1997-05-23 1999-08-24 Applied Materials, Inc. Apparatus for improved power coupling through a workpiece in a semiconductor wafer processing system
US6027988A (en) * 1997-05-28 2000-02-22 The Regents Of The University Of California Method of separating films from bulk substrates by plasma immersion ion implantation
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7166816B1 (en) 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US7569790B2 (en) 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6369349B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc. Plasma reactor with coil antenna of interleaved conductors
US6548382B1 (en) * 1997-07-18 2003-04-15 Silicon Genesis Corporation Gettering technique for wafers made using a controlled cleaving process
US6103599A (en) * 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
EP1209721B1 (en) 1997-10-10 2007-12-05 European Community Inductive type plasma processing chamber
JP2001520452A (ja) * 1997-10-15 2001-10-30 東京エレクトロン株式会社 プラズマの密度分布を調節する装置及び方法
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US6296780B1 (en) 1997-12-08 2001-10-02 Applied Materials Inc. System and method for etching organic anti-reflective coating from a substrate
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6235650B1 (en) * 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
US5994236A (en) * 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6228176B1 (en) 1998-02-11 2001-05-08 Silicon Genesis Corporation Contoured platen design for plasma immerson ion implantation
US6051073A (en) * 1998-02-11 2000-04-18 Silicon Genesis Corporation Perforated shield for plasma immersion ion implantation
US6274459B1 (en) 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6171917B1 (en) 1998-03-25 2001-01-09 Advanced Micro Devices, Inc. Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6291326B1 (en) 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6281132B1 (en) 1998-10-06 2001-08-28 Advanced Micro Devices, Inc. Device and method for etching nitride spacers formed upon an integrated circuit gate conductor
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
TW403958B (en) * 1999-01-11 2000-09-01 Mosel Vitelic Inc A kind of method to extend the operation life of the reaction chamber of the etching machine
US6242785B1 (en) 1999-01-26 2001-06-05 Advanced Micro Devices, Inc. Nitride based sidewall spaces for submicron MOSFETs
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6284110B1 (en) * 1999-04-14 2001-09-04 Tokyo Electron Limited Method and apparatus for radio frequency isolation of liquid heat transfer medium supply and discharge lines
US6192829B1 (en) * 1999-05-05 2001-02-27 Applied Materials, Inc. Antenna coil assemblies for substrate processing chambers
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
US6221740B1 (en) 1999-08-10 2001-04-24 Silicon Genesis Corporation Substrate cleaving tool and method
US6500732B1 (en) 1999-08-10 2002-12-31 Silicon Genesis Corporation Cleaving process to fabricate multilayered substrates using low implantation doses
US6263941B1 (en) 1999-08-10 2001-07-24 Silicon Genesis Corporation Nozzle for cleaving substrates
AU6905000A (en) * 1999-08-10 2001-03-05 Silicon Genesis Corporation A cleaving process to fabricate multilayered substrates using low implantation doses
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
SE9903675D0 (sv) * 1999-10-13 1999-10-13 Abb Research Ltd A device and a method for heat treatment of an object in a susceptor
US6291358B1 (en) 1999-10-15 2001-09-18 Micron Technology, Inc. Plasma deposition tool operating method
US6376391B1 (en) * 1999-12-30 2002-04-23 Novellus Systems Inc Pulsed or tailored bias for filling gaps with low dielectric constant material
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US6524929B1 (en) 2001-02-26 2003-02-25 Advanced Micro Devices, Inc. Method for shallow trench isolation using passivation material for trench bottom liner
US6486038B1 (en) 2001-03-12 2002-11-26 Advanced Micro Devices Method for and device having STI using partial etch trench bottom liner
US6521510B1 (en) 2001-03-23 2003-02-18 Advanced Micro Devices, Inc. Method for shallow trench isolation with removal of strained island edges
US6534379B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. Linerless shallow trench isolation method
US6583488B1 (en) 2001-03-26 2003-06-24 Advanced Micro Devices, Inc. Low density, tensile stress reducing material for STI trench fill
US20040144492A1 (en) * 2001-06-01 2004-07-29 Taro Ikeda Plasma processing device
US6652711B2 (en) * 2001-06-06 2003-11-25 Tokyo Electron Limited Inductively-coupled plasma processing system
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
KR100649895B1 (ko) * 2001-12-07 2006-11-24 주성엔지니어링(주) 플라즈마 챔버의 덮개
KR100455819B1 (ko) * 2002-08-13 2004-11-06 어댑티브프라즈마테크놀로지 주식회사 Acp 방식에 의한 플라즈마 생성방법
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US7163603B2 (en) * 2002-06-24 2007-01-16 Tokyo Electron Limited Plasma source assembly and method of manufacture
US8187377B2 (en) * 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
KR100486724B1 (ko) * 2002-10-15 2005-05-03 삼성전자주식회사 사행 코일 안테나를 구비한 유도결합 플라즈마 발생장치
KR100964398B1 (ko) * 2003-01-03 2010-06-17 삼성전자주식회사 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
JP2005063991A (ja) * 2003-08-08 2005-03-10 Sumitomo Electric Ind Ltd 半導体製造装置
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7354815B2 (en) * 2003-11-18 2008-04-08 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US20060081185A1 (en) * 2004-10-15 2006-04-20 Justin Mauck Thermal management of dielectric components in a plasma discharge device
US20060168794A1 (en) * 2005-01-28 2006-08-03 Hitachi Global Storage Technologies Method to control mask profile for read sensor definition
KR20060098235A (ko) * 2005-03-11 2006-09-18 삼성전자주식회사 반도체 제조 장치
US7955886B2 (en) * 2005-03-30 2011-06-07 Silicon Laboratories Inc. Apparatus and method for reducing interference
KR100655079B1 (ko) * 2005-11-11 2006-12-08 삼성전자주식회사 트랜스퍼 챔버와 프로세스 챔버 사이의 기밀유지장치
US8454810B2 (en) 2006-07-14 2013-06-04 4D-S Pty Ltd. Dual hexagonal shaped plasma source
US8993410B2 (en) 2006-09-08 2015-03-31 Silicon Genesis Corporation Substrate cleaving under controlled stress conditions
US7811900B2 (en) * 2006-09-08 2010-10-12 Silicon Genesis Corporation Method and structure for fabricating solar cells using a thick layer transfer process
US8293619B2 (en) 2008-08-28 2012-10-23 Silicon Genesis Corporation Layer transfer of films utilizing controlled propagation
US9362439B2 (en) 2008-05-07 2016-06-07 Silicon Genesis Corporation Layer transfer of films utilizing controlled shear region
KR100800903B1 (ko) * 2006-12-21 2008-02-04 동부일렉트로닉스 주식회사 고집적 플라즈마 설비의 챔버 매니폴드 블록
JP2008288437A (ja) * 2007-05-18 2008-11-27 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
CN101182628B (zh) * 2007-12-13 2010-06-02 上海交通大学 溅射镀膜离子束辐照增强方法
US7989262B2 (en) 2008-02-22 2011-08-02 Cavendish Kinetics, Ltd. Method of sealing a cavity
US7993950B2 (en) * 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8330126B2 (en) * 2008-08-25 2012-12-11 Silicon Genesis Corporation Race track configuration and method for wafering silicon solar substrates
KR101272564B1 (ko) * 2009-02-13 2013-06-10 미쯔이 죠센 가부시키가이샤 박막 형성 장치 및 박막 형성 방법
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US8329557B2 (en) * 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
KR101297264B1 (ko) * 2011-08-31 2013-08-16 (주)젠 이중 유도 결합 플라즈마 소스를 갖는 플라즈마 반응기
TWI646869B (zh) * 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
CN103855459A (zh) * 2012-11-29 2014-06-11 细美事有限公司 等离子体天线以及具有该天线的用于产生等离子体的装置
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) * 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
CN111979579B (zh) * 2020-08-24 2021-11-09 哈尔滨工业大学 用于化学气相沉积单晶金刚石高速生长的等离子体聚集装置

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4362632A (en) * 1974-08-02 1982-12-07 Lfe Corporation Gas discharge apparatus
JPS5940906B2 (ja) * 1977-01-24 1984-10-03 株式会社日立製作所 プラズマ放電を利用した気相化学反応物質析出装置
JPS55163848A (en) * 1979-06-06 1980-12-20 Shunpei Yamazaki Manufacture of semiconductor device and its manufacturing device
SU910352A1 (ru) * 1980-07-29 1982-03-07 Рубцовский Проектно-Конструкторский Технологический Институт Тракторостроения Установка дл отделени литниковой системы
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4431901A (en) * 1982-07-02 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Induction plasma tube
US4867952A (en) * 1984-02-17 1989-09-19 American Cyanamid Company Cracking traps for process gas components having a condensed phase
JPS6164124A (ja) * 1984-09-06 1986-04-02 Anelva Corp 薄膜作成装置
US4810935A (en) * 1985-05-03 1989-03-07 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS61266567A (ja) * 1985-05-22 1986-11-26 Minolta Camera Co Ltd 保護膜成造方法
US4623417A (en) * 1985-08-23 1986-11-18 Texas Instruments Incorporated Magnetron plasma reactor
USH566H (en) * 1985-12-04 1989-01-03 The United States Of America As Represented By The United States Department Of Energy Apparatus and process for deposition of hard carbon films
US4686113A (en) * 1985-12-18 1987-08-11 Fairchild Semiconductor Corporation Plasma confinement in a low pressure electrically grounded R.F. heated reactor and deposition method
JPS6314862A (ja) * 1986-07-04 1988-01-22 Anelva Corp 表面処理装置
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US5063175A (en) * 1986-09-30 1991-11-05 North American Philips Corp., Signetics Division Method for manufacturing a planar electrical interconnection utilizing isotropic deposition of conductive material
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
JPS63270469A (ja) * 1987-04-27 1988-11-08 Hitachi Electronics Eng Co Ltd Cvd薄膜形成装置およびcvd薄膜形成方法
JPS63317675A (ja) * 1987-06-18 1988-12-26 Nec Corp プラズマ気相成長装置
JPS6457600A (en) * 1987-08-27 1989-03-03 Mitsubishi Electric Corp Plasma generating device
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
JPH02144913A (ja) * 1988-11-28 1990-06-04 Hitachi Ltd マイクロ波プラズマ処理装置
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP2519364B2 (ja) * 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus

Also Published As

Publication number Publication date
US5605599A (en) 1997-02-25
EP0596551A1 (en) 1994-05-11
KR970006209B1 (ko) 1997-04-24
JPH07326494A (ja) 1995-12-12
KR940012512A (ko) 1994-06-23
US5405480A (en) 1995-04-11
US5346578A (en) 1994-09-13

Similar Documents

Publication Publication Date Title
JP2804879B2 (ja) プラズマプロセス方法及び装置
US5494522A (en) Plasma process system and method
US5453305A (en) Plasma reactor for processing substrates
KR100342014B1 (ko) 플라즈마처리장치
JP2770753B2 (ja) プラズマ処理装置およびプラズマ処理方法
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
TWI431683B (zh) Plasma processing device and plasma processing method
US6225744B1 (en) Plasma process apparatus for integrated circuit fabrication having dome-shaped induction coil
JP2634313B2 (ja) 半導体ウェーハ製造用プラズマ処理方法
US20160372306A1 (en) Method for Controlling Plasma Uniformity in Plasma Processing Systems
JP3254069B2 (ja) プラズマ装置
JPH1074600A (ja) プラズマ処理装置
JP4566373B2 (ja) 酸化膜エッチング方法
KR100325404B1 (ko) 플라스마 처리 장치
JP3973283B2 (ja) プラズマ処理装置及びプラズマ処理方法
JPH07254500A (ja) プラズマ処理装置
US4946537A (en) Plasma reactor
JPH0794480A (ja) プラズマ処理方法及びプラズマ処理装置
JPH08316205A (ja) プラズマ処理方法及びプラズマ処理装置
US6432730B2 (en) Plasma processing method and apparatus
JP3077144B2 (ja) 試料保持装置
KR100655217B1 (ko) 고주파 플라즈마 챔버의 세정 방법
JPH0221296B2 (ja)
JP3830634B2 (ja) プラズマ処理装置及びプラズマ処理方法
JPH11274084A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080717

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090717

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100717

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110717

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120717

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130717

Year of fee payment: 15

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term