KR20240037207A - 에지 막 두께 균일도를 개선하기 위해 웨이퍼 에지와 플라즈마 억제부의 분리 - Google Patents

에지 막 두께 균일도를 개선하기 위해 웨이퍼 에지와 플라즈마 억제부의 분리 Download PDF

Info

Publication number
KR20240037207A
KR20240037207A KR1020240031783A KR20240031783A KR20240037207A KR 20240037207 A KR20240037207 A KR 20240037207A KR 1020240031783 A KR1020240031783 A KR 1020240031783A KR 20240031783 A KR20240031783 A KR 20240031783A KR 20240037207 A KR20240037207 A KR 20240037207A
Authority
KR
South Korea
Prior art keywords
wafer
ring
carrier wafer
top surface
carrier
Prior art date
Application number
KR1020240031783A
Other languages
English (en)
Inventor
화야즈 샤이크
타이데 탄
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240037207A publication Critical patent/KR20240037207A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

증착 프로세스를 구현하는데 사용하기 위한 챔버는 반도체 웨이퍼를 지지하기 위한 페데스탈을 포함한다. 실리콘 링이 페데스탈 위에 배치되고 반도체 웨이퍼를 둘러싼다. 실리콘 링은 반도체 웨이퍼 두께와 근사한 링 두께를 갖는다. 실리콘 링은 반도체 웨이퍼 및 실리콘 링 위에 규정된 확장된 프로세스 존으로 반도체 웨이퍼 위에 규정된 프로세스 존을 확장하는 환형 폭을 갖는다. 유전체 재료로 구성된 한정 링이 페데스탈 위에 배치되고 실리콘 링을 둘러싼다. 중앙 샤워헤드 영역 및 확장된 샤워헤드 영역을 갖는 샤워헤드가 또한 포함된다. 중앙 샤워헤드 영역은 실질적으로 반도체 웨이퍼 및 실리콘 링 위에 배치된다. 확장된 샤워헤드 영역은 실질적으로 한정 링 위에 배치된다. 실리콘 링의 환형 폭은, 노출되는 반도체 웨이퍼의 표면적을 확대하고, 반도체 웨이퍼 위의 증착 재료들의 불균일도 효과들을 반도체 웨이퍼의 에지로부터 실리콘 링의 외측 에지로 시프트한다.

Description

에지 막 두께 균일도를 개선하기 위해 웨이퍼 에지와 플라즈마 억제부의 분리{SEPARATION OF PLASMA SUPPRESSION AND WAFER EDGE TO IMPROVE EDGE FILM THICKNESS UNIFORMITY}
본 실시예들은 반도체 웨이퍼 프로세싱 장비 툴에 관한 것이고, 보다 구체적으로 증착 프로세스에 사용된 챔버에 관한 것이다.
PECVD (plasma-enhanced chemical vapor deposition) 는 반도체 웨이퍼와 같은 기판 상에 증착 화학물질의 박막들을 증착하는데 사용된 플라즈마 증착의 일 타입이다. 기체 화학물질의 도포를 인에이블하도록, PECVD 시스템들은 제어된 방식으로 액체 형태의 증착 화학물질을 기체 형태로 변환하기 위한 기화기를 포함하고, 증착 프로세스 동안 기체 형태의 증착 화학물질을 플라즈마 챔버로 전달한다. 반도체 웨이퍼 (또는 단순히 "웨이퍼"로 지칭됨) 의 표면 상에 도포되면, 기체 상태의 화학물질은 고체 상태로 변환된다. PECVD를 위해 사용된 챔버들은 프로세싱 동안 반도체 웨이퍼를 지지하기 위한 세라믹 페데스탈들, 등과 같은 웨이퍼 수용 메커니즘들을 포함한다. 세라믹 페데스탈들은 증착 프로세스 동안 플라즈마 프로세싱 챔버들 내에 존재하는 고온을 견딜 능력을 갖기 때문에 사용된다.
웨이퍼 내에 규정된 반도체 제품의 웨이퍼 당 수율을 개선하기 위해, 증착된 막들의 균일도가 개선되어야 한다. 막 균일도를 개선하는 일 방법은 효과적인 플라즈마 한정 기법을 사용하는 것이다. 챔버 내에서 생성된 플라즈마를 효과적으로 한정하는 것으로 공지된 한정 기법들의 일부 예들은 유전체 재료들로 이루어진 한정 링들의 사용, 전극들의 종단, 섀도우 링들의 사용, 등을 포함한다. 이러한 한정 기법들의 사용은 다른 영역들은 계속해서 불균일도를 경험하는 동안, 웨이퍼의 일부 영역들 (예를 들어, 웨이퍼의 중심을 커버하는 영역들) 에서 막의 균일도의 검출가능한 개선을 보였다. 막 두께의 불균일도가 보다 자주 나타나는 웨이퍼의 주요 영역들 중 하나는 웨이퍼의 에지이다. 이는 플라즈마 한정이 웨이퍼 에지와 일치하도록 전술한 또는 사용된 임의의 다른 플라즈마 한정 기법들이 엔지니어링되었다는 사실로 인한 것일 수도 있다. 웨이퍼 에지에서, 막 두께는 웨이퍼, 전극, 및 플라즈마와 상호작용하는 반응기 챔버의 다른 부분들로 인해 가변한다. 따라서 웨이퍼 에지를 포함하여, 웨이퍼의 표면 위의 막 두께 균일도를 개선하기 위해 이들 단점들 중 일부를 해결하려는 요구가 존재한다.
이러한 맥락에서 본 발명의 실시예들이 발생하였다.
본 발명의 실시예들은 증착 프로세스 동안 반도체 웨이퍼를 지지하기 위한 페데스탈과 같은 웨이퍼 수용 메커니즘을 채용하는 프로세스 챔버를 규정한다. 일 구현예에서, 페데스탈은 반도체 웨이퍼 및 실리콘 링을 수용하고 홀딩하도록 구성된다. 실리콘 링은 페데스탈 위에 배치되고, 존재한다면 반도체 웨이퍼를 둘러싼다. 페데스탈의 상단 표면은 웨이퍼 및 실리콘 링을 지지하기 위한 지지 구조체들을 포함한다. 실리콘 링은 반도체 웨이퍼와 유사한 전기적 특성들을 나타내는 재료로 이루어지고 반도체 웨이퍼의 표면으로부터 실리콘 링의 표면으로 증착 표면을 확장하도록 설계된다. 한정 링은 실리콘 링을 둘러싸도록 페데스탈 위에 배치될 수도 있다. 존재한다면 한정 링은 실리콘 링의 외측 에지에서 플라즈마를 한정하도록 사용된다. 실리콘 링은 반도체 웨이퍼 표면의 연속으로서 작용하고 증착을 받도록 설계된다.
페데스탈에 부가하여, 프로세스 챔버는 적어도 상부에 웨이퍼 및 실리콘 링이 배치된 페데스탈의 표면을 커버하도록 크기가 정해진 샤워헤드를 포함한다. 반도체 웨이퍼에 인접한 실리콘 링 및 연장된 상부 전극의 존재는 반도체 웨이퍼 에지 대신 실리콘 링의 외측 에지로 확장하도록 플라즈마 한정 (즉, 억제 및 중단) 을 엔지니어링하여, 웨이퍼 에지를 넘어 증착이 계속되게 한다. 반도체 웨이퍼 에지를 넘어 계속되는 증착은 반도체 웨이퍼 에지에서 증착된 막 두께로 하여금 웨이퍼 표면의 중심에서와 실질적으로 균일하게 하고, 이는 반도체 웨이퍼 에지로부터 실리콘 링의 외측 에지로 불균일도 효과들의 시프팅을 발생시킨다.
일 실시예에서, 실리콘 링은 반도체 웨이퍼와 동일한 재료, 예를 들어, 실리콘으로 이루어진다. 그러나, 반도체 웨이퍼를 둘러싸는 링은 반도체 웨이퍼와 유사한 전기적 특성들을 나타내는 다른 재료들 (예를 들어, 실리콘-유사 재료들, 예컨대 게르마늄, ZTA (Zirconium toughened Alumina), 등) 로 이루어질 수도 있다. 일부 실시예들에서, 실리콘 링은 그 위에 미리 증착된 코팅들 (예를 들어, 하나 이상의 코팅들) 을 가질 수 있고, 코팅들은 예를 들어, 상부에 재료가 증착될 웨이퍼의 상단 재료 층을 자극한다. 이러한 재료로부터 링 (예를 들어, 실리콘 링) 을 엔지니어링하는 것은 반도체 웨이퍼 표면으로부터 실리콘 링 표면으로의 전이를 매끄럽게 한다.
일 실시예에서, 실리콘 링과 반도체 웨이퍼를 분리하는 갭은 매끄러운 전이를 완수하도록 최소로 유지된다. 예를 들어, 갭은 플라즈마가 갭에 의해 부정적인 영향을 받지 않도록 또는 프로세스 시프트가 증착 표면의 전기적 특성들을 변화시키지 않도록 설정된다. 이러한 특성들은 임피던스, 전력, 전위, 밀도, 이들의 조합들, 등 중 하나 이상을 포함할 수도 있다. 일 실시예에서, 실리콘 링의 폭은 반도체 웨이퍼의 표면적을 확대하고 증착 막의 불균일도 효과들을 반도체 웨이퍼의 에지로부터 실리콘 링의 외측 에지로 시프트하도록 규정된다. 일부 실시예들에서, 반도체 웨이퍼 에지와 실리콘 링의 내측 에지 간의 갭은 챔버의 기하구조, 상부 전극 및 페데스탈 (하부 전극으로서 기능) 의 설계, 상부 전극과 하부 전극 간의 갭, 챔버 내의 프로세스 파라미터들, 예컨대 프로세스 압력, 등을 포함하는 다수의 인자들 및 일부 경우들에서 챔버 내에서 생성된 플라즈마의 디바이 길이 (Debye length) 에 따라 결정된다. 일 구성예에서, 갭은 플라즈마의 디바이 길이보다 작게 설정되어, 증착 표면이 웨이퍼 에지를 넘어 연장하게 하고, 웨이퍼 에지에서 그리고 웨이퍼 에지 근방에서 불균일도들의 실질적인 제거를 가능하게 한다. 반도체 웨이퍼 에지로부터 멀어지는 불균일도 효과들의 시프팅은 웨이퍼 에지로부터 이격된 웨이퍼 상에서 실질적으로 균일하도록 웨이퍼 에지에서 증착된 막 두께 균일도의 개선들을 제공한다.
일 구성예에서, 지지 구조체들은 페데스탈 표면 상에 범프들 또는 상승된 표면들로서 제공될 수도 있다. 지지 구조체들은 반도체 웨이퍼의 하부 표면과 지지 구조체들의 정밀한 콘택트를 향상시키도록 사용된다. 웨이퍼의 하부 표면과 콘택트하게 되는 지지 구조체들의 에지는 증착 프로세스 동안 웨이퍼로 하여금 수용되고 제자리에 홀딩되게 하는 MCA들 (minimum contact areas) 을 제공한다.
일 실시예에서, 반도체 웨이퍼를 프로세싱하기 위한 챔버가 개시된다. 챔버는 증착 프로세스 동안 반도체 웨이퍼를 지지하기 위한 페데스탈을 포함한다. 실리콘 링은 존재한다면 반도체 웨이퍼를 둘러싸고, 페데스탈 위에 배치된다. 실리콘 링은 반도체 웨이퍼 두께와 근접하게 된 (approximate) 링 두께를 갖는다. 실리콘 링은 반도체 웨이퍼 위에 규정된 프로세스 존을 반도체 웨이퍼 및 실리콘 링 양자의 위에 규정된 확장된 프로세스 존으로 확장하는 환형 폭을 갖는다. 한정 링은 페데스탈 상에 배치되고 실리콘 링을 둘러싼다. 한정 링은 유전체 재료로 구성된다. 챔버는 또한 샤워헤드를 포함한다. 샤워헤드는 중앙 샤워헤드 영역 및 확장된 샤워헤드 영역을 포함한다. 중앙 샤워헤드 영역은 실질적으로 반도체 웨이퍼 및 실리콘 링 위에 배치된다. 확장된 샤워헤드 영역은 실질적으로 한정 링 위에 배치된다. 실리콘 링의 환형 폭은 확장된 프로세스 존에 노출된 반도체 웨이퍼의 표면적을 확대하고, 반도체 웨이퍼의 에지로부터 실리콘 링의 외측 에지로 반도체 웨이퍼 위의 증착 재료들의 불균일도 효과들을 시프트한다.
일 실시예에서, 반도체 웨이퍼는 약 300 ㎜의 직경을 갖고 실리콘 링은 약 450 ㎜의 직경으로 확장된다. 전술한 치수들은 예시들이고 제한하는 것으로 간주되지 않아야 한다.
일 실시예에서, 페데스탈의 상단 표면은 반도체 웨이퍼를 지지하기 위한 제 1 MAC들을 갖는 제 1 영역, 실리콘 링을 지지하기 위한 제 2 MCA들을 갖는 제 2 영역, 및 한정 링을 지지하기 위한 제 3 MCA들을 갖는 제 3 영역을 포함한다.
일 실시예에서, 실리콘 링은 반도체 웨이퍼와 유사한 전기적 특성들을 나타낸다.
일 실시예에서, 실리콘 링은 실리콘 링의 내측 에지가 반도체 웨이퍼의 외측 에지에 인접하도록 페데스탈 위에 배치된다.
일 실시예에서, 페데스탈은 매칭 네트워크를 통해 RF 전력 소스에 연결되고 샤워헤드는 전기적으로 접지된다. RF 전력 소스는 챔버 내에서 플라즈마를 생성하도록 전력을 제공한다.
또 다른 실시예에서, 샤워헤드는 매칭 네트워크를 통해 RF 전력 소스에 연결되고 페데스탈은 전기적으로 접지된다. RF 전력 소스는 챔버 내에서 플라즈마를 생성하도록 전력을 제공한다.
일 실시예에서, 페데스탈 상에 배치된 실리콘 링은 반도체 웨이퍼의 외측 에지와 실리콘 링의 내측 에지 사이에 갭을 규정한다.
일 실시예에서, 실리콘 링은 단차를 포함한다. 단차는 상단 표면, 측벽 및 하단 표면에 의해 규정된다. 하단 표면은 챔버 내에서 일 프로세싱 스테이션으로부터 또 다른 프로세싱 스테이션으로의 전이 동안 반도체 웨이퍼를 지지하도록 구성된다. 단차의 측벽의 높이는 반도체 웨이퍼 두께에 근접하게 된다.
일 실시예에서, 반도체 웨이퍼를 프로세싱하기 위한 챔버가 개시된다. 챔버는 반도체 웨이퍼의 표면 위에 재료의 증착을 수행하기 위해 사용된다. 챔버는 캐리어 웨이퍼를 포함하고, 캐리어 웨이퍼는 상부에 규정된 환형 링 표면 및 포켓을 포함한다. 포켓은 캐리어 웨이퍼의 중심에 규정된다. 단차는 포켓 내에 규정된다. 환형 링 표면은 포켓을 둘러싸고 캐리어 웨이퍼의 외측 에지로부터 단차의 상단 에지로 연장하도록 규정된다. 단차의 하단 표면은 반도체 웨이퍼를 지지하도록 사용된다. 단차의 높이는 반도체 웨이퍼 두께에 근접하게 된다. 캐리어 웨이퍼는 반도체 웨이퍼 위에 규정된 프로세스 존을 캐리어 웨이퍼의 환형 링 표면 및 포켓 양자 위에 규정된 확장된 프로세스 존으로 확장한다. 챔버는 페데스탈을 포함하고 페데스탈 상부에 캐리어 웨이퍼가 지지된다. 유전체 재료로 구성된 한정 링은 페데스탈 상에 배치되고 캐리어 웨이퍼를 둘러싼다. 챔버는 또한 샤워헤드를 포함한다. 샤워헤드는 중앙 샤워헤드 영역 및 확장된 샤워헤드 영역를 포함한다. 중앙 샤워헤드 영역은 실질적으로 캐리어 웨이퍼 위에 배치되고 확장된 샤워헤드 영역은 실질적으로 한정 링 위에 배치된다. 캐리어 웨이퍼의 환형 링 표면은 확장된 프로세스 존에 노출된 반도체 웨이퍼의 표면적을 확대하고 반도체 웨이퍼 위의 증착 재료들의 불균일도 효과들을 반도체 웨이퍼의 에지로부터 캐리어 웨이퍼의 외측 에지로 시프트한다.
일 실시예에서, 페데스탈의 상단 표면은 캐리어 웨이퍼를 지지하기 위한 제 1 MCA들을 갖는 제 1 영역 및 한정 링을 지지하기 위한 제 2 MCA들을 갖는 제 2 영역을 포함한다.
일 실시예에서, 포켓의 하단 표면은 반도체 웨이퍼를 지지하기 위한 MCA들을 포함한다.
본 개시의 실시예들은 반도체 웨이퍼 에지로부터 실리콘 링의 외측 에지로 멀어지게 플라즈마 억제를 지향시키는 방식들을 제공한다. 반도체 웨이퍼에 인접하게 배치된 실리콘 링은 실리콘 링이 반도체 웨이퍼의 일부인 한 증착이 실리콘 링의 표면으로 계속되게 한다. 실리콘 링의 조성 및 설계는 플라즈마에 대해 증착 표면에서의 최소의 변화를 볼 수 있게 한다. 샤워헤드는 웨이퍼 에지 위에 규정된 프로세스 존을 넘어 반도체 웨이퍼 및 실리콘 링 양자 위에 규정된 확장된 프로세스 존으로 확장되도록 크기가 정해진다. 이는 웨이퍼 에지에서 막 증착 균일도의 실질적인 개선을 제공한다.
본 발명의 다른 양태들은 본 발명의 원리들을 예로서 예시하는 첨부된 도면들과 함께 취해진 이하의 상세한 기술로부터 자명해질 것이다.
본 발명은 첨부된 도면들과 함께 취해진 이하의 기술을 참조함으로써 완전히 이해될 수도 있다.
도 1은 본 발명의 일 실시예에서, 예를 들어, 반도체 웨이퍼 상부에 막들을 형성하기 위해 반도체 웨이퍼를 프로세싱하도록 사용된 예시적인 웨이퍼 프로세싱 시스템을 예시한다.
도 2a는 본 발명의 일 실시예에서, 도 1의 증착 챔버에 채용된 페데스탈의 측면도의 단순화된 블록도를 예시한다.
도 2b 및 도 2c는 본 발명의 다른 실시예들에서, 도 2의 페데스탈의 측면도들을 예시한다.
도 3aa 및 도 3ab는 본 발명의 대안적인 실시예들에서, 증착 챔버 내에서 사용된 페데스탈의 측면도의 단순화된 블록도들을 예시한다.
도 3b는 본 발명의 일 실시예에서, 페데스탈 상에 지지된 캐리어 웨이퍼의 측면도의 단순화된 블록도를 예시한다.
도 3c는 본 발명의 일 실시예에서, 도 3b에 예시된 캐리어 웨이퍼의 일부의 확대도를 예시한다.
도 3d 내지 도 3g는 본 발명의 다양한 실시예들에서, 캐리어 웨이퍼 내에 규정된 단차의 다양한 구성을 예시한다.
도 4a 및 도 4b는 본 발명의 일 실시예 따라, 도 1 내지 도 3g에 예시된 챔버 구성을 사용한 웨이퍼 에지 균일도를 도시하는 X 선형 스캔 및 Y 선형 스캔을 예시한다.
본 개시의 실시예들은 반도체 웨이퍼들을 프로세싱하기 위해 증착 챔버 (예를 들어, 반응기) 내에서 사용된 페데스탈 및 샤워헤드를 규정한다. 일 구현예에서, 챔버는 반도체 웨이퍼를 지지하기 위한 페데스탈 및 실리콘 링을 포함한다. 실리콘 링은 반도체 웨이퍼를 둘러싸고 웨이퍼 에지로부터 실리콘 링의 외측 에지로 증착 재료들의 불균일도 효과들을 시프트하기 위해 반도체 웨이퍼의 표면적을 확대하도록 작용한다. 선택가능하게, 챔버는 한정 링을 포함한다. 한정 링은 페데스탈 상에 수용되고 한정 링의 내측 에지가 실리콘 링의 외측 에지에 인접하도록 실리콘 링을 둘러싸도록 설계된다. 일 구현예에서, 범프들 또는 상승된 표면들의 형태의 지지 구조체들은 웨이퍼 및 페데스탈 상의 실리콘 링을 지지하기 위해 페데스탈의 상단 표면 상에 제공될 수도 있다. 한정 링이 존재하는 일 실시예에서, 페데스탈은 한정 링을 지지하기 위한 지지 구조체들을 포함한다. 프로세스 챔버는 또한 샤워헤드의 형태의 상부 전극을 포함한다. 샤워헤드는 반도체 웨이퍼 및 실리콘 링 위의 영역을 실질적으로 커버하는 중앙 샤워헤드 영역 및 일부 실시예들에서, 한정 링 위의 영역을 실질적으로 커버하는 확장된 샤워헤드 영역을 포함한다. 샤워헤드는 챔버 내에서 플라즈마를 생성하고 특정한 실시예들에서, 재료들을 증착하기 위한 가스 화학물질들을 제공한다.
일 예시적인 구성에서, 실리콘 링은 반도체 웨이퍼 (또는 단순히 "웨이퍼"로 지칭됨) 와 동일한 재료 (예를 들어, 실리콘) 로 이루어지고, 웨이퍼와 실질적으로 유사한 전기적 특성들을 나타낸다. 이 예에서, 실리콘 링이 웨이퍼를 둘러싸기 위해 사용되지만, 실시예들은 실리콘 링의 용도로 제한되지 않는다는 것을 주의한다. 대신, 반도체 웨이퍼를 둘러싸는 링은 다른 실리콘-유사 재료들 (예를 들어, 게르마늄 (Ge), ZTA (Zirconium toughened Alumina), 이트리아 도핑된 (예를 들어, 이트륨 옥사이드) 알루미나, YSZ (Yttria stabilized Zirconia), 사파이어, 등) 로 이루어질 수도 있다. 반도체 웨이퍼와 동일하거나 유사한 타입의 재료의 링을 구성하는 것은 증착 표면으로 하여금 웨이퍼 에지를 넘어 연장하게 한다. 따라서, 증착 프로세스 동안 플라즈마가 형성될 때, 실리콘 링은 실리콘 링 표면 상의 반도체 웨이퍼 표면을 넘어 증착 표면적을 확대하고, 웨이퍼 에지로부터 실리콘 링의 외측 에지로 증착의 불균일도 효과들을 시프트하는 도구이다.
일 실시예에서, 웨이퍼 에지를 넘어 증착 표면의 확장은 플라즈마가 웨이퍼 에지와 실리콘 링의 인접한 에지 사이에 규정된 갭에 의해 실질적으로 방해를 받지 않는 한 인에이블된다. 일 실시예에서, 반도체 웨이퍼와 실리콘 링 사이의 갭의 크기는 최소화된다. 웨이퍼와 실리콘 링을 분리하는 갭의 크기는 하나 이상의 인자들, 예컨대, 챔버의 기하구조, 샤워헤드 및 페데스탈의 기하구조, 샤워헤드와 페데스탈 간의 갭, 프로세스 압력, 챔버 내에서 생성된 플라즈마의 결과적인 디바이 (Debye) 길이, 이들의 조합들, 등에 따를 수 있다.
일 실시예에서, 갭은 약 1.0 ㎜ 미만이고, 또 다른 실시예에서, 0.5 ㎜ 미만일 수 있고, 일 예에서, 갭은 약 0.25 ㎜이다. 여전히 또 다른 실시예에서, 갭은 증착이 실질적으로 갭을 폐쇄하지 않는 한 약 0.25 ㎜ 미만일 수도 있다.
전술한 인자들은 단순히 예들이고 보다 적거나 부가적인 인자들이 웨이퍼와 실리콘 링 간의 갭의 선택에 영향을 주도록 사용될 수도 있다는 것이 이해되어야 한다.
실리콘 링 또는 웨이퍼와 같은 유사한 실리콘-유사 재료로 이루어진 링의 제공에 의한, 증착 표면의 확장은 웨이퍼 에지로부터 실리콘 링의 외측 에지로의 플라즈마 불균일도들 전이를 돕는다. 실리콘 링이 프로세스의 목적이나 의도가 아니기 때문에, 실리콘 링 에지에서의 불균일도들은 관련이 없다 (예를 들어, 실리콘 링은 대체가능한 소모품임). 일 실시예에서, 실리콘 링의 표면은 임피던스, 전력, 전위, 밀도, 등과 같은 웨이퍼와 유사하거나 동일한 전기적 특성들을 갖도록 구성된다. 또한, 일 실시예에서, 웨이퍼 에지와 실리콘 링의 에지 간의 갭은 웨이퍼와 실리콘 링 간의 전기적 특성들을 최소화하도록 규정된다. 그 결과, 실리콘 링은 웨이퍼의 일부가 아닌 것처럼, 실리콘 링 위에서 증착이 계속되어, 플라즈마의 에지 효과를 웨이퍼 에지로부터 실리콘 링 에지로 시프트한다. 예를 들어, 웨이퍼 에지의 표면은 웨이퍼 에지로부터 이격된 웨이퍼의 표면에 유사한 증착 두께들을 갖는다. 따라서 에지 증착 균일도를 개선하는 것은 웨이퍼 수율을 개선하는 것으로 작용한다.
본 실시예들은 다양한 방식들, 예컨대, 프로세스, 장치, 시스템, 디바이스, 또는 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇 실시예들은 이하에 기술된다.
막들의 증착은 바람직하게 PECVD (plasma enhanced chemical vapor deposition) 시스템 내에서 구현된다. PECVD 시스템은 많은 상이한 형태들을 취할 수도 있다. 예를 들어, PECVD 시스템은 각각 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 하나 이상의 챔버들 또는 "반응기들" (때때로 복수의 스테이션들을 포함함) 을 포함한다. 하나 이상의 챔버들은 규정된 위치 또는 위치들 (이 위치에서의, 예를 들어 회전, 진동, 또는 다른 교반과 같은 운동으로 또는 운동 없이) 에서 웨이퍼를 유지한다. 증착을 겪는 웨이퍼는 프로세스 동안 일 반응 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 이송될 수도 있다. 막 증착은 단일 스테이션에서 전적으로 일어날 수도 있고 또는 막의 임의의 분율이 임의의 수의 스테이션들에서 증착될 수도 있다. 다양한 실시예들이 막들의 증착을 구현하기 위해 PECVD 시스템들의 사용을 참조하여 기술되었지만. 실시예들은 PECVD 시스템들로 제한되지 않고 ALD (atomic layer deposition) 시스템들, 또는 심지어 에칭 시스템들까지 확장될 수 있다.
증착 프로세스 동안, 웨이퍼 각각은 페데스탈, 웨이퍼 척 또는 챔버 내에 배치된 다른 웨이퍼 수용 메커니즘에 의해 증착 챔버 내에서 제자리에 홀딩된다. 웨이퍼 수용 메커니즘, 예를 들어, 페데스탈은 상단 표면에 걸쳐 분산된 복수의 지지 구조체들을 포함할 수도 있다. 일 실시예에서, 지지 구조체들은 페데스탈과 같은 웨이퍼 수용 메커니즘의 상단 표면 상에 규정된 범프들이다. 지지 구조체들은 MCA들 (minimal contact areas) 을 규정하고 반도체 웨이퍼의 아래쪽 표면과 지지 구조체들의 정밀 콘택트 (특히 보다 높은 내성으로) 를 향상시킴으로써 증착 프로세스 동안 웨이퍼를 지지하도록 동작가능하다. 특정한 동작들을 위해, 일부 실시예들에서, 웨이퍼 수용 메커니즘은 또한 웨이퍼를 가열하기 위한 히팅 플레이트와 같은 히터를 포함할 수도 있다.
도 1은 일 실시예에서 웨이퍼 (101) 를 프로세싱하도록 사용된 웨이퍼 프로세싱 시스템 (100) 을 예시한다. 웨이퍼 프로세싱 시스템 (100) 은 하부 챔버부 (102b) 및 상부 챔버부 (102a) 를 갖는 챔버 (102) 를 포함한다. 하부 챔버부 (102b) 의 중심 칼럼 (central column) 은 일 실시예에서 전력 공급된 전극인, 페데스탈 (140) 과 같은 웨이퍼 수용 메커니즘을 지지하도록 구성된다. 이 실시예에서, 페데스탈 (140) 은 매칭 네트워크 (106) 를 통해 전력 공급부 (104) (예를 들어, RF 전력 공급부) 에 전기적으로 커플링된다. 상부 챔버부 (102a) 는 이 실시예에서 (도 3ab를 참조하여 설명될 바와 같이) 전기적으로 접지된 샤워헤드 (150) 를 하우징한다. 또 다른 실시예에서, (도 3aa를 참조하여 설명될 바와 같이) 하부 챔버부 (102b) 내의 웨이퍼 수용 메커니즘 (즉 페데스탈 (140)) 은 전기적으로 접지된다. 이 실시예에서, 상부 챔버부 (102a) 내의 샤워헤드 (150) 는 매칭 네트워크 (106) 를 통해 전력 공급부 (104) 에 전기적으로 커플링된다. 상기 주지된 바와 같이, 샤워헤드 (150) 는 플라즈마를 생성하기 위한 가스 화학물질들을 공급한다. 전력 공급부는 챔버 내에서 플라즈마를 생성하도록 사용되고 제어 모듈 (110), 예를 들어, 제어기에 의해 제어된다. 제어 모듈 (110) 은 프로세스 입력 및 제어 모듈 (108) 을 실행함으로써 웨이퍼 프로세싱 시스템 (100) 을 동작시키도록 구성된다. 프로세스 입력 및 제어 모듈 (108) 은 웨이퍼 (101) 위에 막들을 증착하거나 형성하도록, 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 이동, 등과 같은 프로세스 레시피들을 제어하도록 사용될 수도 있다.
하부 챔버부 (102b) 내의 중심 칼럼은 페데스탈 (140) 로부터 웨이퍼를 수용, 홀딩 및 상승시키기 위한 리프팅 메커니즘을 포함한다. 일 실시예에서, 리프팅 메커니즘은 리프트 핀 제어부 (122) 에 의해 제어되는 리프팅 핀들 (120) 을 포함한다. 리프트 핀 제어부 (122) 는 웨이퍼 (101) 가 제거되어야 할 때 페데스탈 (140) 로부터 웨이퍼 (101) 를 상승시키고, 웨이퍼 (101) 가 엔드 이펙터에 의해 프로세스 챔버 (102) 내로 이동되어야 할 때 웨이퍼 (101) 를 수용, 하강 및 홀딩하게 리프트 핀들 (120) 을 제어하도록 동작한다. 실리콘 링 리프트 및 회전 제어 모듈 (124) 은 페데스탈 (140) 로부터 웨이퍼 (101) 의 리프팅 및 동일한 챔버 또는 상이한 챔버 내에서 상이한 프로세싱 스테이션으로 웨이퍼의 이동을 제어하도록 사용될 수도 있다. 일 실시예에서, 실리콘 링 리프트 및 회전 제어 모듈 (124) 은, 일 프로세싱 스테이션의 페데스탈 (140) (즉, 웨이퍼 수용 메커니즘) 로부터 상이한 프로세싱 스테이션의 웨이퍼 수용 메커니즘으로 웨이퍼를 이동시키도록 사용된 포크 리프트 메커니즘과 같은 이동 메커니즘을 조작하도록 사용될 수도 있다.
프로세스 가스 공급 모듈 (114), 예를 들어, 설비로부터 공급된 가스 화학물질을 유지하는 가스 화학물질 저장부에 연결된 가스 공급 매니폴드 (112) 는 웨이퍼 프로세싱 시스템 (100) 에 동작가능하게 연결된다. 수행될 프로세싱에 따라, 제어 모듈 (110) 은 가스 공급 매니폴드 (112) 를 통한 프로세스 가스(들)(즉, 가스 화학물질들) 의 전달을 제어하도록 동작가능하다. 선택된 가스(들)는 샤워헤드 (150) 내로 흐르고 반도체 웨이퍼 (101) 를 대면하는 샤워헤드 (150) 의 면과 페데스탈 (140) 위에 놓인 웨이퍼 (101) 의 상단 표면 사이에 규정된 공간 볼륨 내에 분배된다. 샤워헤드 (150) 는 상부 전극의 일부이다.
2 이상의 프로세스 가스가 사용될 때, 프로세스 가스들은 미리 혼합되거나 구별되게 유지될 수도 있다. 구별되게 유지될 때, 프로세스 가스들은 미리 규정된 시퀀스로 공급될 수도 있다. 적절한 밸브들 및 질량 유량 제어 메커니즘들이 증착 및 프로세스의 플라즈마 처리 페이즈들 동안 올바른 프로세스 가스들이 전달되는 것을 보장하도록 채용될 수도 있다. 프로세스 가스들은 유출부 (미도시) 를 통해 챔버를 나간다. 진공 펌프는 챔버 내에 적합한 압력을 유지하도록 챔버로부터 프로세스 가스들을 유출하도록 채용될 수도 있다. 진공 펌프는 쓰로틀 밸브 또는 펜둘럼 밸브 (미도시) 와 같은 폐루프 제어된 플로우 제한 디바이스에 의해 동작될 수도 있다.
일 실시예에서, 하부 챔버부 (102b) 내에 배치된 페데스탈 (140) 의 표면은 적어도 반도체 웨이퍼 (101) 및 실리콘 링 (132) 을 수용하도록 충분히 크도록 설계된다. 이 실시예에서, 페데스탈 (140) 의 표면은 증착 프로세스 동안 반도체 웨이퍼 (101) 를 지지하는 구별된 웨이퍼 지지 영역 (도 1에 참조문자 'B'로 나타냄) 및 웨이퍼 지지 영역 ('B') 을 둘러싸는 캐리어 지지 영역 (참조문자 'A'로 나타냄) 을 포함한다. 캐리어 지지 영역 ('A') 은 중심에 규정된 웨이퍼 지지 영역에 바로 인접하고 웨이퍼 지지 영역을 둘러싸는 페데스탈 (140) 의 영역을 커버하도록 규정된다. 실리콘 링 (132) 은 존재한다면 반도체 웨이퍼 (101) 를 둘러싸도록 페데스탈 (140) 의 캐리어 지지 영역 상에 배치된다. 일부 실시예들에서, 실리콘 링 (132) 은 반도체 웨이퍼 (101) (예를 들어, 실리콘) 와 동일한 재료로 이루어진다. 다른 실시예들에서, 실리콘 링 (132) 은 실리콘-유사 재료들 (예를 들어, 게르마늄, ZTA (Zirconium toughened Alumina), 이트리아 도핑된 알루미나, YSZ (Yttria stabilized Zirconia), 사파이어, 등) 로 이루어질 수도 있다. 실리콘 링 (132) 및 웨이퍼 (101) 로 사용된 재료의 유사성으로 인해, 실리콘 링 (132) 은 웨이퍼 지지 영역 상에 배치된 웨이퍼 (101) 와 실질적으로 유사한 전기적 특성들을 나타낸다.
일 실시예에서, 실리콘 링 (132) (또는 실리콘-유사 링) 은 웨이퍼 (101) 의 에지를 넘어 증착을 확장시키도록 작용하고 플라즈마에 대해 웨이퍼 표면으로부터 실리콘 링 표면으로 증착 표면의 매끄러운 전이를 가능하게 한다. 일 실시예에서, 페데스탈 상에 배치된 웨이퍼와 실리콘 링 사이에 규정된 갭은 실질적으로 플라즈마를 방해하지 않도록 설정되어, 플라즈마의 매끄러운 전이를 인에이블한다.
일 실시예에서, 웨이퍼 지지 영역 및 캐리어 지지 영역에 부가하여, 페데스탈 표면은 한정 링 (미도시) 이 배치되는 한정 영역 (미도시) 을 포함할 수도 있다. 한정 영역은 캐리어 지지 영역을 둘러싸도록 규정된다. 페데스탈 (140) 의 한정 영역 상에 수용된 한정 링은 환형 형상이고 페데스탈 (140) 상에 수용된 실리콘 링 (132) 의 외측 에지가 한정 링의 내측 에지에 인접하도록 실리콘 링 (132) 을 둘러싼다. 일 실시예에서, 한정 링은 유전체 재료로 이루어진다. 한정 링은 실리콘 링 (132) 의 외측 에지에 대해 챔버 (102) 내에서 생성된 플라즈마를 한정하는 것을 돕도록 구성된다.
도 2a는 일 실시예에서 증착 프로세스에서 사용된 챔버 (102) 를 갖는 예시적인 프로세싱 시스템의 측면도의 단순화된 블록도를 예시한다. 챔버 (102) 는 페데스탈 (140), 및 반도체 웨이퍼 표면을 넘어 실리콘 링 표면으로 증착 표면을 확장하도록 설계된 샤워헤드 (150) 를 포함한다. 페데스탈 (140) 의 구조는 도 1에 예시된 페데스탈과 유사하고, 웨이퍼 (101) 를 수용하고 홀딩하기 위한 구별된 웨이퍼 지지 영역 (참조문자 'B'로 식별됨) 및 실리콘 링 (132) 을 수용하기 위한 캐리어 지지 영역 (참조문자 'A'로 식별됨) 을 포함한다. 일 실시예에서, 웨이퍼 지지 영역은 증착 프로세스 동안 웨이퍼 (101) 를 수용하고 홀딩하도록 동작가능하게 구성된 복수의 지지 구조체들 (138) 을 포함한다. 상기 주지된 바와 같이, 실리콘 링 (132) 은 환형 형상이고 반도체 웨이퍼 (101) 를 둘러싸도록 구성된다. 실리콘 링 (132) 은 웨이퍼 재료와 유사한 재료로 이루어지고 일 실시예에서 웨이퍼 (101) 와 거의 동일한 두께로 설계된다. 다른 실시예들에서, 실리콘 링 (132) 은 웨이퍼보다 두꺼울 수도 있고 또는 웨이퍼보다 얇을 수도 있다. 일 실시예에서, 웨이퍼 (101) 의 상단 표면 및 실리콘 링 (132) 의 상단 표면은 대략 동일 평면 상에 있다.
일 실시예에서, 페데스탈 (140) 은 또한 유전체 또는 세라믹 재료로 이루어진 절연체 블록 (134) 을 포함한다. 절연체 블록 (134) 은 실리콘 링 (132) 아래의 영역에서 페데스탈 (140) 상에 배치된다. 이 영역은 외측 단차 벽 (136) 을 포함하는 외측 단차에 의해 규정되고 절연체 블록 (134) 은 외측 단차 벽 (136) 에 인접하게 배치된다. 절연체 블록 (134) 은 단일 블록일 수도 있고 또는 2 이상의 블록들을 포함할 수도 있다. 도 2a에 예시된 실시예에서, 영역은 절연체 블록 (134) 과 실리콘 링 (132) 사이에 배치된 제 2 절연체 블록 (134a) 을 갖는 2 블록 절연체 구조를 포함한다. 일 실시예에서, 절연체 블록 (134) 또는 절연체 블록 (134a) 은 챔버 (102) 내에서 프로세스 조건들을 견딜 수 있는 세라믹, 유전체 또는 임의의 다른 절연 재료로 이루어질 수도 있다.
챔버 (102) 내에 배치된 샤워헤드 (150) 는 플라즈마를 생성하기 위해 필요한 프로세스 가스들을 제공한다. 일 실시예에서, 샤워헤드 (150) 는 적어도 웨이퍼 지지 영역 및 캐리어 지지 영역을 포함하는 페데스탈 (140) 의 표면 영역을 커버하도록 충분히 크다. 예를 들어, 일 실시예에서, 샤워헤드 (150) 는 웨이퍼 (101) 위에 규정된 프로세스 영역 (152) 뿐만 아니라 실리콘 링 (132) 위에 규정된 영역을 커버하는 확장된 프로세스 영역 (154) 을 커버한다. 도 2a에 예시된 실시예에서, 샤워헤드 (150) 는 매칭 네트워크 (106) 를 통해 RF 전력 소스 (104) 에 커플링되고 페데스탈 (140) 은 전기적으로 접지된다.
도 2b는 일 실시예에서 실리콘 링 (132) 이 페데스탈 (140) 상에 배치되는 챔버 (102) 의 하부 부분을 예시한다. 페데스탈 (140) 의 구조는 도 2a에 예시된 페데스탈과 유사하다. 페데스탈 (140) 은 증착 프로세스 동안 복수의 웨이퍼 (101) 및 실리콘 링 (132) 을 수용하고 지지하기 위한 최소 콘택트를 규정하도록 웨이퍼 지지 영역 ('B') 및 캐리어 지지 영역 ('A') 에 걸쳐 배치된 복수의 지지 구조체들 (138) 을 포함하도록 설계된다. 단순함을 위해, 단차 벽 (136) 에 의해 규정된 외측 단차는 절연체 블록 (134) 을 갖지 않는 것으로 도시되었지만, 사용되면 절연체 블록 (134) 은 도 2a를 참조하여 예시되고 기술된 바와 유사한 방식으로 실리콘 링의 측면 또는 실리콘 링 아래에 배치될 수도 있다.
실리콘 링 (132) 과 반도체 웨이퍼 (101) 사이에 규정된 갭 (44) 은 플라즈마에 부정적으로 영향을 주지 않도록 최소값으로 설정된다. 일 실시예에서, 실리콘 링 (132) 의 두께 (45) 는 웨이퍼 (101) 의 상단 표면이 실리콘 링 (132) 의 상단 표면과 거의 동일한 레벨이도록 웨이퍼 (101) 두께와 근접하게 된다. 실리콘 링 (132) 두께를 웨이퍼 (101) 두께와 근접하게 되도록 설계하는 것은 웨이퍼 표면으로부터 실리콘 링 표면으로 플라즈마의 매끄러운 전이를 더 돕는다. 일 실시예에서, 실리콘 링 (132) 의 두께는 약 1.75 ㎜이다. 또 다른 예에서, 실리콘 링 (132) 의 두께는 약 1.5 ㎜ 내지 약 2 ㎜이다. 다른 실시예들에서, 실리콘 링 (132) 은 실리콘 링 (132) 의 상단 표면 및 웨이퍼의 상단 표면이 적어도 거의 동일 평면 상인 한, 보다 얇거나 보다 두꺼울 수도 있다. 이 예에서, 갭은 갭 (44) 으로 식별된다. 일 실시예에서, 갭 (44) 은 약 1.0 ㎜ 미만이고, 또 다른 실시예에서, 약 0.5 ㎜ 미만일 수 있고, 일 예에서, 갭은 약 0.25 ㎜이다. 여전히 또 다른 실시예에서, 증착이 실질적으로 갭을 폐쇄하지 않는 한 갭은 약 0.25 ㎜ 미만일 수 있다.
도 2c는 실리콘 링 (132) 대신 실리콘 캐리어 링 (133) 이 페데스탈 (140) 상에 배치된 대안적인 실시예를 예시한다. 실리콘 캐리어 링 (133) 은 스텝 다운으로 규정된 선반을 포함하는 것으로 도시된다. 단차는 상단 표면 (133a), 단차 측벽 (133b) 및 하단 표면 (133c) 으로 규정된다. 페데스탈 (140) 은 웨이퍼 (101) 를 지지할 뿐만 아니라 실리콘 캐리어 링 (133) 을 홀딩하도록 지지 구조체들 (138) 을 포함한다. 실리콘 캐리어 링 (133) 의 단차의 하단 표면 (133c) 은 웨이퍼 (101) 가 챔버 (102) 내에서 일 프로세스 스테이션으로부터 또 다른 프로세싱 스테이션으로 이동될 때 웨이퍼 (101) 를 지지하도록 설계된다. 일 실시예에서, 단차의 측벽 (133b) 의 높이 (45') 는, 실리콘 캐리어 링 (133) 이 디스인게이지 모드 (disengaged mode) 에 있을 때, 실리콘 캐리어 링 (133) 의 상단 표면 (133a) 이 웨이퍼 (101) 의 상단 표면과 동일한 레벨이도록 규정된다. 결과적으로, 일 실시예에서, 실리콘 캐리어 링 (133) 에서 단차의 하단 표면 (133c) 은 디스인게이지 모드에 있을 때 웨이퍼의 하측 표면을 터치하지 않을 것이지만, 인게이지 모드에 있을 때 웨이퍼의 하측 표면을 터치하도록 위치될 것이다. 디스인게이지 모드에서, 웨이퍼 (101) 는 지지 구조체들 (138) 에 의해 페데스탈 (140) 상에 지지된다. 실리콘 캐리어 링 (133) 이 인게이지 모드에 있을 때, 실리콘 캐리어 링 (133) 은 웨이퍼 (101) 를 지지하도록 이동된다. 일 실시예에서, 실리콘 캐리어 링 (133) 은 증착 프로세스 동안 디스인게이지 모드로 설정된다. 일 실시예에서, 페데스탈 (140) 상에 수용된 웨이퍼 (101) 의 두께는 단차의 측벽 (133b) 의 높이 (45') 미만이다. 이 실시예에서, 측벽 (133b) 의 높이 (45') 는 약 0.79 ㎜이고 웨이퍼 (101) 의 두께는 약 0.76 ㎜이다.
웨이퍼 (101) 의 에지와 단차 측벽 (133b) 에 의해 규정된 실리콘 캐리어 링 (133) 의 에지 사이에 규정된 갭은 플라즈마에 부정적 영향을 주지 않도록 최소로 설계된다. 상기 주지된 바와 같이, 챔버의 상이한 컴포넌트들과 연관된 몇몇 인자들 및 플라즈마 관련 파라미터들은 갭의 크기에 영향을 준다. 도 2a 내지 도 2c를 참조하면, 일 실시예에서, 페데스탈 (140) 의 표면은 약 300 ㎜ 직경의 웨이퍼 (101) 및 실리콘 링 (132) 또는 실리콘 캐리어 링 (133) 을 수용하도록 충분히 큰 직경을 갖는다. 이 실시예에서, 페데스탈 상에 배치된 실리콘 링 (132) 또는 실리콘 캐리어 링 (133) 의 환형 폭은 약 75 ㎜이고 샤워헤드는 직경이 약 450 ㎜이다. 예를 들어, 샤워헤드는 적어도 웨이퍼 표면 및 실리콘 링 (132) 또는 실리콘 캐리어 링 (133) 위에 놓이기에 충분히 크다. 물론, 웨이퍼 표면, 실리콘 링 (132) 표면 또는 실리콘 캐리어 링 (133) 표면 및 샤워헤드 (150) 의 전술한 치수들은 단순한 예시들이고 제한하는 것으로 간주되지 않아야 한다. 예를 들어, 일부 실시예들에서, 300 ㎜보다 크거나 보다 작은 웨이퍼들 (101) 이 프로세싱될 때, 실리콘 링 (132) 또는 실리콘 캐리어 링 (133) 은 반도체 웨이퍼 (101) 와 실리콘 링 (132) 또는 실리콘 캐리어 링 (133) 의 합 직경을 보다 큰 표준 크기로 증가시키기 위해 선택되고 크기가 정해진다. 예를 들어, 200 ㎜ 웨이퍼가 프로세싱될 때, 실리콘 링 (132) 또는 실리콘 캐리어 링 (133) 은 웨이퍼와 실리콘 링 (132) 또는 실리콘 캐리어 링 (133) 의 결합된 직경을 300 ㎜로 확장할 수 있다. 일부 실시예들에서, 실리콘 링 (132) 또는 실리콘 캐리어 링 (133) 의 크기를 정하는 것은 결합된 직경을 차세대 표준 크기로 확장할 필요는 없다. 대신, 실리콘 링 (132) 또는 실리콘 캐리어 링 (133) 크기는, 에지 효과들이 실제 웨이퍼 (101) 에지에서 사라지고 실리콘 링 (132) 또는 실리콘 캐리어 링 (133) 으로 이동하는 한 임의의 규정된 증분만큼 결합된 직경을 증가시키도록 규정될 수도 있다.
도 3aa는 일 실시예에서, 증착 시스템 내에 인게이지된 예시적인 페데스탈 (140) 의 측면도의 단순화된 블록도를 예시한다. 페데스탈 (140) 은 웨이퍼 지지 영역 ('B') 상에 반도체 웨이퍼 (101), 캐리어 지지 영역 ('A') 위에 실리콘 링 (132) 그리고 한정 영역 (참조문자 'C'로 나타냄) 위에 한정 링 (144) 을 수용하도록 설계된다. 페데스탈 (140) 의 상단 표면 상에 분포된 복수의 지지 구조체들 (138) 은 웨이퍼 (101), 실리콘 링 (132) 및 한정 링 (144) 을 수용하도록 MCA들을 규정한다. 이 실시예에서, 페데스탈 (140) 은 전기적으로 접지된다.
샤워헤드 (150) 는 챔버 (102) 내에서 플라즈마를 생성하기 위해 프로세스 가스를 제공하도록 구성된다. 샤워헤드 (150) 는 페데스탈 (140) 위의 영역을 커버하도록 설계되고 매칭 네트워크 (106) 를 통해 RF 전력 소스 (104) 에 연결된다. 일 실시예에서, 샤워헤드 (150) 는 중앙 샤워헤드 영역 (150-1) 및 확장된 샤워헤드 영역 (150-2) 을 포함한다. 중앙 샤워헤드 영역 (150-1) 은 웨이퍼 (101) 위에 규정된 프로세스 존 (152) 및 웨이퍼 (101) 와 실리콘 링 (132) 양자 위에 규정된 확장된 프로세스 존 (154) 위로 확장한다. 확장된 샤워헤드 영역 (150-2) 은 한정 링 (144) 위에 규정된 영역을 더 커버하도록 샤워헤드를 확장한다. 복수의 유출부들은 프로세스 가스를 제공하기 위해 페데스탈 (140) 과 대면하는 샤워헤드 (150) 의 표면 상에 규정된다. 일 실시예에서, 확장된 프로세스 존 (154) 위에 규정된 유출부들은 서로 보다 가깝게 배치될 수도 있지만, 한정 링 영역 위에 규정된 샤워헤드의 유출부들이 보다 멀리 이격되게 분산될 수도 있다. 이러한 설계는 확장된 프로세스 존 (154) 위에 보다 집중된 플라즈마 인가를 제공하는 한편, 한정 링 영역 위에서 플라즈마를 유지하는 것을 계속하는 것을 도울 수도 있다. 또 다른 실시예에서, 유출부들은 150-1 및 150-2를 포괄하는 영역 각각에 고르게 분포된다.
일 실시예에서, 한정 링 (144) 의 높이는 실리콘 링 (132) 또는 캐리어 웨이퍼의 높이와 실질적으로 동일하게 설계된다. 다른 실시예들에서, 한정 링은, 한정 링 표면이 캐리어 웨이퍼 표면 및 웨이퍼 (101) 표면과 실질적으로 동일 평면 상에 배치되는 한 실리콘 링 (132) 및 캐리어 웨이퍼보다 두껍거나 보다 얇을 수도 있다.
도 3ab는 대안적인 실시예에서, 증착 시스템의 예시적인 페데스탈 (140) 의 측면도의 단순화된 블록도를 예시한다. 도 3ab에 예시된 실시예는 페데스탈 (140) 이 매칭 네트워크 (106) 를 통해 RF 전력 소스 (104) 에 연결되고 샤워헤드 (150) 가 전기적으로 접지되는 것이 도 3aa에 예시된 실시예와 상이하다. 도 3aa 및 도 3ab에 예시된 실시예들에서, 페데스탈 (140) 의 크기는 웨이퍼 (101), 실리콘 링 (132) 및 한정 링 (144) 을 수용하도록 충분히 크다.
도 3b는 실리콘 링 (132) 대신 캐리어 웨이퍼 (142) 가 페데스탈 (140) 상에 배치된 페데스탈 (140) 의 측면도의 단순화된 블록도를 도시한다. 도 3c는 도 3b에서 식별된 캐리어 웨이퍼 (142) 의 일부의 확대도를 예시한다. 도 3b에 예시된 바와 같이, 페데스탈 (140) 은 캐리어 웨이퍼 (142) 및 한정 링 (144) 을 수용하도록 구성된다. 페데스탈 (140) 의 상단 표면은 캐리어 웨이퍼 (142) 및 한정 링 (144) 을 수용하고 지지하기 위한 복수의 지지 구조체들 (138) (즉, MCA들) 을 포함한다. 캐리어 웨이퍼 (142) 는 환형 링 표면 (143) 및 웨이퍼 (101) 를 수용하도록 중심에 규정된 포켓 (232) 을 포함한다. 캐리어 웨이퍼 (142) 는 웨이퍼 (101) 와 유사한 전기적 특성들을 나타내는 실리콘 또는 실리콘-유사 재료로 이루어진다. 외측 단차 (136) 는 한정 링 (144) 의 외측 에지에 인접하게 페데스탈 상에 규정된다. 외측 단차 (136) 는 하나 이상의 절연체 블록들 (미도시) 을 포함할 수도 있다. 페데스탈 (140) 은 매칭 네트워크 (106) 를 통해 RF 전력 소스 (104) 에 연결된다. RF 전력 소스 (104) 는 챔버 (102) 내에서 플라즈마를 생성하는데 필요한 전력을 제공한다.
일 실시예에서, 한정 링 (144) 은 환형 형상이고 캐리어 웨이퍼 (142) 를 둘러싸도록 설계된다. 일 실시예에서, 한정 링 (144) 은 섀도우 링일 수도 있다. 일부 실시예들에서, 한정 링은 유전체 재료 또는 다른 한정 재료로 이루어질 수도 있다. 다른 실시예들에서, 한정 링 (144) 은 알루미나, 이트리아 도핑된 알루미나, YSZ (Yttria stabilized Zirconia), 사파이어, 등과 같은 재료들로 이루어질 수도 있다.
샤워헤드 (150) 는 챔버 내에 배치되고 웨이퍼 (101) 위에 규정된 프로세스 영역 (152), 캐리어 웨이퍼 (142) 위에 규정된 프로세스 영역 (154) 및 한정 링 (144) 위에 규정된 영역을 커버하는 확장된 프로세스 영역 (156) 을 커버하도록 충분히 크게 설계된다. 이 실시예에서, 샤워헤드 (150) 는 전기적으로 접지된다. 또 다른 실시예에서, 목표된 프로세스 및 시스템 구성에 따라, 샤워헤드 (150) 는 전력이 공급될 수도 있고 페데스탈 (140) 은 접지될 수도 있다.
도 3c는 웨이퍼 (101) 를 수용하도록 사용된 캐리어 웨이퍼 (142) 의 중심에 규정된 포켓 (232) 의 다양한 컴포넌트들을 예시한다. 앞서 주지된 바와 같이, 캐리어 웨이퍼 (142) 는 포켓 (232) 을 둘러싸는 환형 링 표면 (143) 을 포함한다. 포켓 (232) 은 아래로 연장하는 내측 단차 (242) 에 의해 규정된다. 내측 단차 (242) 는 상단 표면 (236) (환형 링 표면 (143) 에 대응), 측벽 (234), 및 하단 표면 (240) 을 포함한다. 일부 실시예들에서, 포켓 (232) 을 규정하는 내측 단차 (242) 의 높이 (Hstep) 는 웨이퍼 (101) 의 두께 이상이다. 일부 실시예들에서, 캐리어 웨이퍼의 하단 표면의 두께 (45") 는 약 0.97 ㎜로 규정되고 내측 단차 (242) 의 높이 (Hstep) 는 약 0.79 ㎜이다. 일부 실시예들에서, 포켓의 하단 표면 (240) 은 증착 프로세스 동안 웨이퍼 (101) 를 지지하기 위한 복수의 지지 구조체들 (138) 을 포함할 수도 있다. 일 실시예에서, 지지 구조체들 (138) 의 높이는, 웨이퍼가 포켓 (232) 내의 지지 구조체들 (138) 위에 배치될 때, 웨이퍼 (101) 의 상단 표면이 캐리어 웨이퍼 (142) 내에 규정된 내측 단차 (242) 의 상단 표면 (236) 과 거의 같은 레벨이도록 규정된다. 환형 링 표면 (143) 은 캐리어 웨이퍼 (142) 의 외측 에지로부터 단차의 상단 에지 (242a) 로 연장한다.
환형 링 표면 (143) 및 포켓 (232) 의 기하구조 및 치수는 웨이퍼 에지를 넘어 캐리어 웨이퍼 (142) 의 환형 링 표면 (143) 까지 증착 표면이 연속되게 한다. 캐리어 웨이퍼 (142) 는 페데스탈 (140) 상에 수용된다. 일 실시예에서, 캐리어 웨이퍼 (142) 는 단결정 실리콘으로 이루어진다. 다른 실시예들에서, 캐리어 웨이퍼 (142) 는 실리콘-유사 재료들, 예컨대 게르마늄, ZTA, 등으로 이루어진다. 일 실시예에서, 페데스탈 (140) 의 상단 표면은 캐리어 웨이퍼 (142) 및 한정 링 (144) 을 수용하기 위한 복수의 지지 구조체들 (138) 을 포함한다.
일 실시예에서, 캐리어 웨이퍼는 외측 에지 (238) 를 포함한다. 환형 형상의 한정 링 (144) 은 한정 링 (144) 의 내측 에지가 캐리어 웨이퍼 (142) 의 외측 에지 (238) 에 인접하도록 캐리어 웨이퍼 (142) 를 둘러싸기 위해 페데스탈 (140) 상에 배치된다. 일 실시예에서, 한정 링 (144)의 높이는 존재한다면, 한정 링 (144) 의 상단 표면 (144a) 이 대략 캐리어 웨이퍼 (142) 의 환형 링 표면 (143) 과 동일 평면 상에 있도록, 캐리어 웨이퍼 (142) 과 동일한 거의 높이로 설계된다. 다른 실시예들에서, 상기 주지된 바와 같이, 한정 링 (144) 은 캐리어 웨이퍼 (142) 보다 두껍거나 보다 얇을 수도 있고 한정 링 (144) 은 한정 링 (144) 의 표면이 캐리어 웨이퍼 (142) 표면과 실질적으로 동일 평면 상에 있도록 배치된다. 한정 링 (144) 은 유전체 재료 또는 다른 한정 재료, 예컨대 알루미나, 등으로 이루어질 수도 있고, 캐리어 웨이퍼 (142) 의 외측 에지 (238) 에서 플라즈마를 한정하거나 한정하는 것을 돕도록 작용한다.
도 3d 내지 도 3g는 증착 챔버 내에 배치된 페데스탈 (140) 상에 수용된 캐리어 웨이퍼 (142) 의 포켓 (232) 내에 규정된 단차 측벽 (234) 의 다양한 구성들을 예시한다. 도 3d 내지 도 3g에 예시된 단차 측벽의 다양한 구성들은 단순히 예시이고 다른 구성의 단차 측벽이 캐리어 웨이퍼 (142) 내에 인게이지될 수도 있다는 것을 주의해야 한다. 예를 들어, 일부 실시예들에서, 내측 단차 (242) 의 측벽 (234) 은 도 3d에 도시된 바와 같이, 수직 방식으로 하향으로 연장될 수도 있다. 상단 에지 (242a) 는 측벽 (234) 과 내측 단차 (242) 의 상단 표면 (236) 의 교차점에 규정된다. 하단 에지 (242b) 는 측벽 (234) 과 내측 단차 (242) 의 하단 표면 (240) 의 교차점에 규정된다. 이 예에서, 에지들 (242a, 242b) 은 날카로울 수도 있고 또는 머시닝된 에지들일 수도 있다.
갭 (44) 은 웨이퍼의 외측 에지와 내측 단차 (242) 의 측벽 (234) 사이에 규정된다. 캐리어 웨이퍼의 외측 에지 (238) 는 페데스탈 (140) (미도시) 상에 배치된 한정 링 (미도시) 에 인접한다.
도 3e는 또 다른 실시예에서, 내측 단차 (242) 의 측벽 (234) 이 수직선 (도 3e에서 대시선 (247) 으로 나타냄) 으로부터 외측으로 연장하는 각도 β°로 배치된 포켓 (232) 의 측벽 (234) 의 변형을 예시한다. 도 3d에 예시된 실시예와 유사하게, 상단 에지 (242a) 및 하단 에지 (242b) 는 날카롭거나 머시닝된 에지들을 갖지만, 에지들 (242a, 242b) 은 또한 라운딩되거나 테이퍼될 수도 있다. 이 실시예에서, 갭 (44a) 은 웨이퍼의 외측 에지와 내측 단차 (242) 의 상단 에지 (242a) 사이에 규정된다.
도 3f는 여전히 또 다른 실시예에서, 포켓 (232) 을 규정하는 내측 단차 (242) 의 측벽 (234) 의 또 다른 변형을 예시하고, 포켓 (232) 의 측벽 (234) 이 수직선 (247) 으로부터 내측으로 연장하는 각도 θ°로 배치되는 한편 상단 에지 (242a) 및 하단 에지 (242b) 는 계속해서 날카롭거나 머시닝된 에지들이지만, 이들 에지들 (242a, 242b) 은 라운딩되거나 테이퍼될 수도 있다. 이 실시예에서, 갭 (44b) 은 웨이퍼의 외측 에지와 내측 단차 (242) 의 상단 에지 (242a) 사이에 규정된다.
도 3g는 도 3b 내지 도 3d에 예시된 내측 단차 (242) 의 상단 에지 (242a) 및 하단 에지 (242b) 의 변형을 예시한다. 여기서, 내측 단차 (242) 의 상단 에지 (242a) 및 하단 에지 (242b) 는 라운딩된다. 상단 에지 및 하단 에지 (242a, 242b) 양자가 라운딩된 것으로 예시되지만, 이 실시예의 변형들은 상단 에지 (242a) 만이 라운딩되거나 하단 에지 (242b) 만이 라운딩된 것을 포함할 수도 있다. 이 실시예에서, 갭 (44) 은 웨이퍼 (101) 의 외측 에지와 내측 단차 (242) 의 측벽 (234) 사이에 규정된다. 도 3d 내지 도 3g에 예시된 캐리어 웨이퍼 (142) 의 포켓 (232) 을 규정하는 내측 단차 (242) 의 측벽 (234) 의 변형들은 예시들이고, 내측 단차 (242) 의 측벽의 다른 변형들이 채용될 수도 있다.
다양한 실시예들에서 주지된 바와 같이, 챔버 내에서 생성된 플라즈마는 (a) (다양한 실시예들을 참조하여 논의된 바와 같이) 페데스탈 상의 반도체 웨이퍼와 가까운 실리콘 또는 실리콘-유사 재료로 이루어진 실리콘 링 (또는 실리콘 캐리어 링 또는 캐리어 웨이퍼) 을 제공함으로써; (b) 챔버 내에서 생성된 플라즈마가 부정적인 영향을 받는 것을 방지하기 위해 반도체 웨이퍼의 외측 에지와 실리콘 링의 내측 에지 (또는 실리콘 캐리어 링 또는 캐리어 웨이퍼의 단차 벽) 사이의 갭을 최소로 유지함으로써; (c) 적어도 반도체 웨이퍼 및 실리콘 링 (또는 실리콘 캐리어 링 또는 캐리어 웨이퍼) 을 포함하는 페데스탈 위에 규정된 확장된 프로세스 존을 커버하도록 샤워헤드를 확장함으로써; 그리고 선택가능하게 (d) 실리콘 링 (또는 실리콘 캐리어 링 또는 캐리어 웨이퍼) 을 둘러싸도록 한정 링을 제공함으로써 웨이퍼 에지를 넘어 실리콘 링 에지로 확장될 수도 있다. 한정 링이 존재한다면, 샤워헤드는, 반도체 웨이퍼 및 실리콘 링 (또는 실리콘 캐리어 링 또는 캐리어 웨이퍼) 위의 영역을 커버하는 것에 부가하여, 한정 링 위에 규정된 페데스탈의 영역을 커버하도록 확장될 수도 있다.
따라서 다양한 실시예들은 반도체 웨이퍼 에지로부터 실리콘 링 에지로 증착된 막의 불균일도 효과들의 시프트를 인에이블한다. 시프팅은 웨이퍼 에지로부터 이격된 웨이퍼의 다른 영역들에서 발견된 막 두께 균일도와 실질적으로 비슷하도록 웨이퍼 에지에서의 막 두께 균일도를 발생시켜, 웨이퍼 수율을 개선한다.
도 4a 및 도 4b는 본 명세서에 기술된 실리콘 링 또는 캐리어 웨이퍼의 실시예들을 사용하는 막 증착의 효과를 식별하는 X-선형 스캔 그래프 및 Y-선형 스캔 그래프를 예시한다. 도 4a는 캐리어 웨이퍼 또는 실리콘 링을 사용하지 않는 챔버가 채용된 증착 프로세스에 대해 플롯팅된 X-선형 스캔 (404) 및 캐리어 웨이퍼가 포함된 챔버를 사용한 증착 프로세스에 대해 플롯팅된 X-선형 스캔 (402) 을 예시한다. 캐리어 웨이퍼 또는 실리콘 링을 포함하지 않는 챔버에 대해 X-선형 스캔 (404) 에 예시된 바와 같이, 에지 윙들 (지점들 404a, 404c로 규정됨) 은 그래프의 중간 (404b) 과 비교하여 보다 강조된다. 강조된 에지 윙들은 웨이퍼의 중간과 비교하여 웨이퍼 에지에서의 불균일도 효과를 나타낸다. 한편, 캐리어 웨이퍼의 실시예를 사용하여 챔버를 채용한 증착 프로세스에 대해 플롯팅된 X-선형 스캔 (402) 이 본 명세서에서 논의되고, 예를 들어, 에지 윙들 (지점들 402a, 402c로 규정됨) 은 상당히 억제되고 그래프의 중간 (402b) 에 보다 가깝다. 억제된 에지 윙들은 웨이퍼 에지 (예를 들어, 웨이퍼의 중간) 로부터 이격된 웨이퍼의 다른 영역들과 비교하여 웨이퍼 에지에서 증착된 막의 균일도의 상당한 개선을 나타낸다.
도 4b에 예시된 바와 같이, 캐리어 웨이퍼 또는 실리콘 링을 사용하지 않는 챔버가 채용된 증착 프로세스에 대해 플롯팅된 Y-선형 스캔 그래프 (414) 는 중간 (414b) 과 비교하여 강조된 에지 윙들 (지점들 414a, 414c로 나타냄) 로 유사한 효과를 나타낸다. 이는 웨이퍼의 중간과 비교하여 웨이퍼 에지에서 불균일도 효과를 나타낸다. 유사하게, Y-선형 스캔 그래프 (412) 에서 알 수 있는 바와 같이, 본 명세서에 논의된 캐리어 웨이퍼를 사용한 챔버의 실시예를 채용한 증착 프로세스 실시예에 대해, 웨이퍼 에지에서의 불균일도 효과는 그래프 (412) 에서 중간 (412b) 과 비교하여 억제된 에지 윙들 (지점들 412a, 412c로 나타냄) 로 알 수 있는 바와 같이 상당히 감소된다.
일 실시예에서, 챔버 내에 채용된 샤워헤드는 직경이 약 450 ㎜로 크기가 정해지고, 캐리어 웨이퍼 상에 배치된 웨이퍼 (101) 는 직경이 약 300 ㎜였다. 또 다른 실시예에서, 캐리어 웨이퍼의 외측 직경은 약 450 ㎜이고 웨이퍼 (101) 를 수용하기 위한 포켓을 규정하는 캐리어 웨이퍼의 내측 직경은 약 300 ㎜였다. 이 실시예에서, 샤워헤드는 적어도 캐리어 웨이퍼를 커버하도록 크기가 정해진다. 또 다른 실시예에서, 한정 링은 캐리어 웨이퍼에 인접하게 배치되고 샤워헤드는 캐리어 웨이퍼 및 한정 링 위에 놓이도록 크기가 정해진다. 앞서 주지된 바와 같이, 전술한 치수들은 단지 예시들이고 제한하는 것으로 간주되지 않아야 한다. 웨이퍼의 직경에 따라, 실리콘 링은 웨이퍼와 실리콘 링 (또는 실리콘 캐리어 링 또는 캐리어 웨이퍼) 의 합 직경을 보다 큰 표준 크기로 증가시키도록 선택되고 크기가 정해진다. 일부 실시예들에서, 실리콘 링 (또는 실리콘 캐리어 링 또는 캐리어 웨이퍼) 은 에지 효과들이 웨이퍼 에지로부터 실리콘 링 (또는 실리콘 캐리어 링 또는 캐리어 웨이퍼) 의 에지로 이동하게 하는 임의의 규정된 증분만큼 웨이퍼와 실리콘 링 (또는 실리콘 캐리어 링 또는 캐리어 웨이퍼) 의 합 직경을 증가시키도록 크기가 정해질 수도 있다. 본 명세서에 기술된 실시예들은 웨이퍼 에지로부터 이격된 웨이퍼 상에서 균일한 만큼 웨이퍼 에지에서 막 두께 균일도를 상당히 개선하도록 웨이퍼 에지로부터 플라즈마 한정의 분리되게 한다.
도 1에 예시된 제어 모듈 (110) 은 챔버 내에서 플라즈마의 생성 및 증착 조건들의 유지를 관리하도록 사용된다. 예를 들어, 제어 모듈 (110) 은 증착 프로세스에서 사용된 플라즈마를 생성하도록 증착 챔버의 프로세싱 파라미터들을 제어하도록 사용될 수도 있다. 제어 모듈 (110) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 일부 실시예들에서, 제어 모듈 (110) 은 센싱된 값들에 부분적으로 기초하여 웨이퍼 프로세싱 시스템 (100) 내의 하나 이상의 디바이스들을 제어하도록 채용된다. 예를 들어, 제어 모듈 (110) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 웨이퍼 프로세싱 시스템 (100) 에 통합된 밸브들, 필터 히터들, 펌프들, 및 다른 디바이스들 중 하나 이상을 제어하도록 사용될 수도 있다. 제어 모듈은 챔버 (102) 도처에 배치된 다양한 센서들, 예컨대 압력 마노미터들, 유량계들, 온도 센서들, 및/또는 다른 센서들을 통해 센싱된 값들을 수신한다. 제어 모듈은 또한 막의 전달 및 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈은 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈은 프로세스 타이밍, 전달 시스템 온도, 필터들에 걸친 압력 차들, 밸브 위치들, 가스들의 혼합, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치, (상부 및 하부) 전극들 간의 갭 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈은 또한 압력 차를 모니터링할 수도 있고 제 1 경로(들)로부터 제 2 경로(들)로의 기체 전달을 자동으로 스위칭할 수도 있다. 제어 모듈과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
통상적으로 제어 모듈과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (예를 들어 디스플레이 스크린 및/또는 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들), 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
프로세스 시퀀스에서 증착의 전달 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 프로세스 조건들, 예컨대 예를 들어, 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 플라즈마 조건들 예컨대 RF 전력 레벨들 및 저 주파수 RF 주파수, 냉각 가스 압력, 및 챔버 벽 온도와 관련된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 웨이퍼 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 플라즈마 제어 코드를 포함한다.
웨이퍼 포지셔닝 프로그램은 페데스탈 또는 척 상에 웨이퍼를 로딩하고 웨이퍼와 챔버의 다른 부분들 예컨대 가스 유입부 및/또는 타깃 사이의 간격을 제어하도록 사용된 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 챔버 내의 압력을 안정화시키기 위해 증착 전에 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택가능하게 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 미리 결정된 값(들)과 측정된 차(들)를 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브를 조정함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 전달 시스템 내의 컴포넌트들, 웨이퍼 및/또는 시스템의 다른 부분들을 히팅하기 위해 히팅 유닛들로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로의 헬륨과 같은 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은 이로 제한되는 것은 아니지만, 질량 유량 제어 모듈들, 압력 마노미터들과 같은 압력 센서들, 및 전달 시스템, 페데스탈 또는 척에 위치된 써모커플들 (예를 들어 온도 센서들) 을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다. 전술한 바는 단일 챔버 또는 멀티 챔버 반도체 프로세싱 툴에서 본 발명의 실시예들의 구현예를 기술한다.
실시예들의 전술한 기술은 예시 및 기술을 목적으로 제공되었다. 이는 본 발명을 포괄하거나 제한하는 것으로 의도되지 않았다. 특정한 실시예의 개별 엘리먼트들 또는 피처들은 특정한 실시예로 제한되지 않지만, 적용가능하다면, 상호교환가능하고 구체적으로 도시되거나 기술되지 않았더라도 선택된 실시예에서 사용될 수 있다. 동일하게 많은 방식들로 또한 가변될 수도 있다. 이러한 변형들은 본 발명으로부터 벗어나는 것으로 간주되지 않고, 모든 이러한 수정들은 본 발명의 범위 내에 포함되는 것으로 의도된다.
전술한 실시예들은 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 따라서, 본 실시예들은 예시적이고 제한적이지 않은 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되지 않지만, 청구항들의 범위 및 등가물 내에서 수정될 수도 있다.

Claims (19)

  1. 직경 (D) 및 두께 (T) 를 갖는 웨이퍼를 수용하고 그리고 반도체 프로세싱 동작들 동안 상기 웨이퍼를 지지하기 위한 캐리어 웨이퍼에 있어서,
    캐리어 웨이퍼는 환형 링 표면 및 포켓을 포함하고, 상기 포켓은 상기 캐리어 웨이퍼의 중심에 규정되고 단차를 포함하고, 상기 환형 링 표면은 상기 포켓을 둘러싸고 상기 단차의 상단 에지로부터 상기 캐리어 웨이퍼의 외측 에지로 연장하도록 규정되고, 상기 단차는 상기 환형 링 표면에 대응하는 상단 표면, 상기 포켓의 상단 표면에 대응하는 하단 표면, 및 상기 단차의 상기 상단 표면과 상기 하단 표면 사이에서 연장하는 측벽을 포함하고, 상기 포켓의 상기 상단 표면은 상기 측벽에 의해 경계되는 원형 영역을 가로질러 연장하고 그리고 반도체 웨이퍼를 수용하기 위한 지지 표면을 제공하고, 상기 포켓의 직경은 직경 (D) 보다 더 큰 크기이고, 그리고 상기 캐리어 웨이퍼의 상기 환형 링 표면, 및 상기 캐리어 웨이퍼는 연속적인 단일인 구조인, 캐리어 웨이퍼.
  2. 제 1 항에 있어서,
    상기 환형 링 표면의 내경은 상기 포켓의 상기 상단 표면의 직경보다 더 작고, 그리고
    상기 측벽은 상기 포켓의 상기 상단 표면에 대해 비스듬한 각도에 있는, 캐리어 웨이퍼.
  3. 제 2 항에 있어서,
    상기 측벽과 상기 환형 링 표면 사이의 교차점은 라운딩되는, 캐리어 웨이퍼.
  4. 제 2 항에 있어서,
    상기 측벽과 상기 포켓의 상기 상단 표면 사이의 교차점은 라운딩되는, 캐리어 웨이퍼.
  5. 제 2 항에 있어서,
    상기 측벽과 상기 환형 링 표면 사이의 교차점은 라운딩되고, 그리고
    상기 측벽과 상기 포켓의 상기 상단 표면 사이의 교차점은 라운딩되는, 캐리어 웨이퍼.
  6. 제 2 항에 있어서,
    상기 캐리어 웨이퍼는 상기 캐리어 웨이퍼의 상단 표면에 걸쳐 분포된 복수의 지지 구조체들을 더 포함하고, 지지 구조체 각각은 상기 캐리어 웨이퍼의 상기 상단 표면으로부터 돌출하는, 캐리어 웨이퍼.
  7. 제 6 항에 있어서,
    상기 환형 링 표면과 일치하는 기준 평면과 상기 기준 평면에 가장 가까운 상기 지지 구조체들의 표면들 사이의 법선 거리 (normal distance) 는 상기 웨이퍼의 상기 두께 (T) 와 실질적으로 동일한, 캐리어 웨이퍼.
  8. 제 1 항에 있어서,
    상기 환형 링 표면의 내경은 상기 포켓의 상기 상단 표면의 직경보다 더 크고, 그리고
    상기 측벽은 상기 포켓의 상기 상단 표면에 대해 비스듬한 각도에 있는, 캐리어 웨이퍼.
  9. 제 8 항에 있어서,
    상기 측벽과 상기 환형 링 표면 사이의 교차점은 라운딩되는, 캐리어 웨이퍼.
  10. 제 8 항에 있어서,
    상기 측벽과 상기 포켓의 상기 상단 표면 사이의 교차점은 라운딩되는, 캐리어 웨이퍼.
  11. 제 8 항에 있어서,
    상기 측벽과 상기 환형 링 표면 사이의 교차점은 라운딩되고, 그리고
    상기 측벽과 상기 포켓의 상기 상단 표면 사이의 교차점은 라운딩되는, 캐리어 웨이퍼.
  12. 제 8 항에 있어서,
    상기 캐리어 웨이퍼는 상기 캐리어 웨이퍼의 상기 상단 표면에 걸쳐 분포된 복수의 지지 구조체들을 더 포함하고, 지지 구조체 각각은 상기 캐리어 웨이퍼의 상기 상단 표면으로부터 돌출하는, 캐리어 웨이퍼.
  13. 제 12 항에 있어서,
    상기 환형 링 표면과 일치하는 기준 평면과 상기 기준 평면에 가장 가까운 상기 지지 구조체들의 표면들 사이의 법선 거리는 상기 웨이퍼의 상기 두께 (T) 와 실질적으로 동일한, 캐리어 웨이퍼.
  14. 제 1 항에 있어서,
    상기 측벽은 상기 포켓의 상기 상단 표면에 수직인, 캐리어 웨이퍼.
  15. 제 14 항에 있어서,
    상기 측벽과 상기 환형 링 표면 사이의 교차점은 라운딩되는, 캐리어 웨이퍼.
  16. 제 14 항에 있어서,
    상기 측벽과 상기 포켓의 상기 상단 표면 사이의 교차점은 라운딩되는, 캐리어 웨이퍼.
  17. 제 14 항에 있어서,
    상기 측벽과 상기 환형 링 표면 사이의 교차점은 라운딩되고, 그리고
    상기 측벽과 상기 포켓의 상기 상단 표면 사이의 교차점은 라운딩되는, 캐리어 웨이퍼.
  18. 제 14 항에 있어서,
    상기 캐리어 웨이퍼는 상기 캐리어 웨이퍼의 상기 상단 표면에 걸쳐 분포된 복수의 지지 구조체들을 더 포함하고, 지지 구조체 각각은 상기 캐리어 웨이퍼의 상기 상단 표면으로부터 돌출하는, 캐리어 웨이퍼.
  19. 제 18 항에 있어서,
    상기 환형 링 표면과 일치하는 기준 평면과 상기 기준 평면에 가장 가까운 상기 지지 구조체들의 표면들 사이의 법선 거리는 상기 웨이퍼의 상기 두께 (T) 와 실질적으로 동일한, 캐리어 웨이퍼.
KR1020240031783A 2015-06-30 2024-03-06 에지 막 두께 균일도를 개선하기 위해 웨이퍼 에지와 플라즈마 억제부의 분리 KR20240037207A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/788,621 2015-06-30
US14/788,621 US20170002465A1 (en) 2015-06-30 2015-06-30 Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
KR1020160072266A KR102646072B1 (ko) 2015-06-30 2016-06-10 에지 막 두께 균일도를 개선하기 위해 웨이퍼 에지와 플라즈마 억제부의 분리

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020160072266A Division KR102646072B1 (ko) 2015-06-30 2016-06-10 에지 막 두께 균일도를 개선하기 위해 웨이퍼 에지와 플라즈마 억제부의 분리

Publications (1)

Publication Number Publication Date
KR20240037207A true KR20240037207A (ko) 2024-03-21

Family

ID=57683418

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160072266A KR102646072B1 (ko) 2015-06-30 2016-06-10 에지 막 두께 균일도를 개선하기 위해 웨이퍼 에지와 플라즈마 억제부의 분리
KR1020240031783A KR20240037207A (ko) 2015-06-30 2024-03-06 에지 막 두께 균일도를 개선하기 위해 웨이퍼 에지와 플라즈마 억제부의 분리

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020160072266A KR102646072B1 (ko) 2015-06-30 2016-06-10 에지 막 두께 균일도를 개선하기 위해 웨이퍼 에지와 플라즈마 억제부의 분리

Country Status (4)

Country Link
US (3) US20170002465A1 (ko)
JP (1) JP6812142B2 (ko)
KR (2) KR102646072B1 (ko)
TW (1) TWI719990B (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11702748B2 (en) 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
CN110249416B (zh) * 2017-04-07 2023-09-12 应用材料公司 在基板边缘上的等离子体密度控制
US20180334746A1 (en) * 2017-05-22 2018-11-22 Lam Research Corporation Wafer Edge Contact Hardware and Methods to Eliminate Deposition at Wafer Backside Edge and Notch
US11056325B2 (en) * 2017-12-20 2021-07-06 Applied Materials, Inc. Methods and apparatus for substrate edge uniformity
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
KR102077975B1 (ko) * 2019-10-15 2020-02-14 주식회사 기가레인 플라즈마 처리 수직도가 향상된 플라즈마 처리 장치
CN112981372B (zh) * 2019-12-12 2024-02-13 Asm Ip私人控股有限公司 衬底支撑板、包括它的衬底处理设备以及衬底处理方法
KR20220012999A (ko) 2020-02-11 2022-02-04 램 리써치 코포레이션 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
US20220199373A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Methods to eliminate of deposition on wafer bevel and backside
US12014910B2 (en) * 2021-03-19 2024-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for adjusting location of a wafer and a top plate in a thin-film deposition process
CN114318305B (zh) * 2021-12-28 2023-06-30 拓荆科技股份有限公司 晶圆薄膜沉积装置
CN116904953A (zh) * 2023-09-14 2023-10-20 上海陛通半导体能源科技股份有限公司 一种气相沉积设备

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5195729A (en) * 1991-05-17 1993-03-23 National Semiconductor Corporation Wafer carrier
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5440707A (en) 1992-04-29 1995-08-08 Sun Microsystems, Inc. Instruction and data cache with a shared TLB for split accesses and snooping in the same clock cycle
JP2593282Y2 (ja) * 1992-11-10 1999-04-05 株式会社島津製作所 プラズマcvd装置
JP3290777B2 (ja) * 1993-09-10 2002-06-10 株式会社東芝 誘導結合型高周波放電方法および誘導結合型高周波放電装置
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
JP3162955B2 (ja) * 1995-06-13 2001-05-08 東京エレクトロン株式会社 プラズマ処理装置
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
FR2783970B1 (fr) * 1998-09-25 2000-11-03 Commissariat Energie Atomique Dispositif autorisant le traitement d'un substrat dans une machine prevue pour traiter de plus grands substrats et systeme de montage d'un substrat dans ce dispositif
JP4417574B2 (ja) * 2000-02-14 2010-02-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR100502268B1 (ko) 2000-03-01 2005-07-22 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 방법
JP4655385B2 (ja) * 2000-03-01 2011-03-23 株式会社日立製作所 プラズマ処理装置および処理方法
JP2002110646A (ja) * 2000-09-29 2002-04-12 Tokyo Electron Ltd プラズマ処理装置
WO2003054947A1 (en) 2001-12-13 2003-07-03 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
JP4209618B2 (ja) * 2002-02-05 2009-01-14 東京エレクトロン株式会社 プラズマ処理装置及びリング部材
US20030217693A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4421305B2 (ja) * 2003-01-07 2010-02-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2004311972A (ja) * 2003-03-27 2004-11-04 Matsushita Electric Ind Co Ltd ドライエッチング装置及びドライエッチング方法
US20040241995A1 (en) * 2003-03-27 2004-12-02 Matsushita Electric Industrial Co., Ltd. Etching apparatus and etching method
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US20060151116A1 (en) * 2005-01-12 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focus rings, apparatus in chamber, contact hole and method of forming contact hole
JP4361045B2 (ja) * 2005-10-12 2009-11-11 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
JP2007251078A (ja) 2006-03-20 2007-09-27 Nuflare Technology Inc 気相成長装置
JP2008251866A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマ処理装置
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
KR101380861B1 (ko) * 2007-11-09 2014-04-03 참엔지니어링(주) 플라즈마 에칭 챔버
JP5204721B2 (ja) * 2008-06-16 2013-06-05 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
JP5657262B2 (ja) * 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
JP2013033940A (ja) * 2011-07-07 2013-02-14 Tokyo Electron Ltd プラズマ処理装置
US10316412B2 (en) * 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
JP6044138B2 (ja) 2012-07-05 2016-12-14 カシオ計算機株式会社 画像領域分割装置、方法、およびプログラム
TWI480417B (zh) * 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
WO2016052291A1 (ja) * 2014-09-30 2016-04-07 住友大阪セメント株式会社 静電チャック装置
US9478697B2 (en) * 2014-11-11 2016-10-25 Applied Materials, Inc. Reusable substrate carrier
US9428833B1 (en) * 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
US10923385B2 (en) * 2016-11-03 2021-02-16 Lam Research Corporation Carrier plate for use in plasma processing systems

Also Published As

Publication number Publication date
JP6812142B2 (ja) 2021-01-13
TW201724198A (zh) 2017-07-01
US20170002465A1 (en) 2017-01-05
US20230323535A1 (en) 2023-10-12
TWI719990B (zh) 2021-03-01
KR102646072B1 (ko) 2024-03-08
JP2017017316A (ja) 2017-01-19
US11674226B2 (en) 2023-06-13
KR20170003390A (ko) 2017-01-09
US20200190667A1 (en) 2020-06-18

Similar Documents

Publication Publication Date Title
KR102646072B1 (ko) 에지 막 두께 균일도를 개선하기 위해 웨이퍼 에지와 플라즈마 억제부의 분리
KR102514303B1 (ko) 웨이퍼 에지에서의 후면 증착을 감소시키는 방법
KR102490237B1 (ko) 기울어진 한정 링들을 갖는 플라즈마 프로세싱 시스템들 및 구조체들
JP7320563B2 (ja) 高温基板台座モジュール及びその構成要素
KR102483870B1 (ko) 증착 불균일성을 보상하기 위한 전극간 갭 변동 방법들
KR102641003B1 (ko) 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법
TWI670783B (zh) 增進製程均勻性的方法及系統
EP3032925B1 (en) Plasma processing device and plasma processing method
US9460893B2 (en) Substrate processing apparatus
KR102514879B1 (ko) 반도체 프로세싱을 위해 원뿔형 웨이퍼 센터링 및 홀딩 디바이스
US8236380B2 (en) Gas supply system, substrate processing apparatus and gas supply method
KR20200022414A (ko) 캐리어 링 구조체 및 이를 포함하는 챔버 시스템들
US10550469B2 (en) Plasma excitation for spatial atomic layer deposition (ALD) reactors
JP2020521330A (ja) ウエハ縁部接触ハードウェア、ならびにウエハの裏面縁部およびノッチで堆積物を除去する方法
US10301718B2 (en) Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
JP7487171B2 (ja) 堆積用のデュアルガス供給シャワーヘッド
US20170053781A1 (en) Multi-Station Chamber Having Symmetric Grounding Plate

Legal Events

Date Code Title Description
A107 Divisional application of patent