KR20240018550A - 앵커 포인트를 갖는 라이너-프리 도전성 구조체 - Google Patents

앵커 포인트를 갖는 라이너-프리 도전성 구조체 Download PDF

Info

Publication number
KR20240018550A
KR20240018550A KR1020240013481A KR20240013481A KR20240018550A KR 20240018550 A KR20240018550 A KR 20240018550A KR 1020240013481 A KR1020240013481 A KR 1020240013481A KR 20240013481 A KR20240013481 A KR 20240013481A KR 20240018550 A KR20240018550 A KR 20240018550A
Authority
KR
South Korea
Prior art keywords
metal
ruthenium
cobalt
annealing
ruthenium metal
Prior art date
Application number
KR1020240013481A
Other languages
English (en)
Inventor
수-카이 창
숭-리 왕
치아-훙 추
슈엔-신 리앙
켕-추 린
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/936,335 external-priority patent/US11929327B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20240018550A publication Critical patent/KR20240018550A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 개시는 라이너-프리 또는 배리어-프리 도전성 구조체들을 형성하는 방법을 설명한다. 방법은, 기판 상에 배치된 코발트 접촉부 상에 에칭 정지층을 성막하는 단계, 에칭 정지층 상에 유전체를 성막하는 단계, 코발트 접촉부의 상단 표면을 노출시키는 개구부를 형성하도록 유전체 및 에칭 정지층을 에칭하는 단계, 및 코발트 접촉부에, 에칭 정지층 아래에서 측 방향으로 연장되는 리세스를 형성하도록, 코발트 접촉부의 노출된 상단 표면을 에칭하는 단계를 포함한다. 방법은, 리세스 및 개구부를 실질적으로 충전하도록, 루테늄 금속을 성막하는 단계, 및 루테늄 금속과 유전체 사이에 산화물층을 형성하도록, 루테늄 금속을 어닐링하는 단계를 더 포함한다.

Description

앵커 포인트를 갖는 라이너-프리 도전성 구조체{LINER-FREE CONDUCTIVE STRUCTURES WITH ANCHOR POINTS}
[관련 출원에 대한 상호 참조]
본 출원은 2020년 1월 29일에 출원되었으며 그 전체가 본원에 참조로 포함된 "LINER-FREE CONDUCTIVE STRUCTURES WITH ANCHOR POINTS"라는 제목의 미국 가특허 출원 62/967,278의 이익을 주장한다.
집적 회로에서, 도전성 구조체(예를 들어, 금속 접촉부, 비아 및 라인)는 게이트 전극 및 소스/드레인 영역과 같은 트랜지스터 영역에 전기적으로 커플링되고, 트랜지스터로 전기 신호를 전파하도록 구성된다. 집적 회로의 복잡성에 따라, 도전성 구조체는 하나 이상의 금속 배선층을 형성할 수 있다.
본 개시의 양태는 첨부 도면과 함께 다음의 상세한 설명을 읽으면 가장 잘 이해할 수 있다. 본 산업계에서의 표준 관행에 따라, 다양한 피처부는 일정한 비율로 도시되지 않았다는 점에 유의한다. 실제로, 다양한 피처부의 치수는 설명의 명료성을 위해 임의로 증가 또는 감소될 수 있다.
도 1a는, 일부 실시예에 따른, 코발트 도전성 구조체들 상에 형성된 배리어-프리 또는 라이너-프리 도전성 구조체들의 등각도이다.
도 1b 및 도 1c는, 일부 실시예에 따른, 코발트 도전성 구조체들 상에 형성된 배리어-프리 또는 라이너-프리 도전성 구조체들의 단면도이다.
도 2a 및 도 2b는, 일부 실시예에 따른, 배리어-프리 또는 라이너-프리 도전성 구조체들을 형성하는 방법을 설명하는 순서도이다.
도 3a/b 내지 도 7a/b는, 일부 실시예에 따른, 코발트 도전성 구조체들 상에 배리어-프리 또는 라이너-프리 도전성 구조체들을 형성하는 동안의 다양한 제조 작업들의 단면도이다.
도 8a는, 일부 실시예에 따른, 코발트 도전성 구조체 상의 접촉 개구부의 확대 단면도이다.
도 8b는, 일부 실시예에 따른, 코발트 도전성 구조체 상의 접촉 개구부의 확대 평면도이다.
도 9 내지 도 11은, 일부 실시예에 따른, 코발트 도전성 구조체 상에 배리어-프리 또는 라이너-프리 도전성 구조체를 형성하는 동안의 다양한 제조 작업들의 확대된 단면도이다.
도 12a 및 12b는, 일부 실시예에 따른, 코발트 도전성 구조체들 상에 형성된 배리어-프리 또는 라이너-프리 도전성 구조체들 상에 형성된 추가적인 층들의 단면도이다.
도 13은, 일부 실시예에 따른, 어닐링 온도 및/또는 어닐링 시간의 함수로서 루테늄 비저항(resistivity) 및 코발트 삼출(out-diffusion)의 경향을 도시한다.
도 14는, 일부 실시예에 따른, 라이너-프리 도전성 구조체들을 위한 어닐링 공정의 그래픽 표현이다.
아래의 개시는 본 개시의 다양한 피처부를 구현하기 위한 많은 다양한 실시예 또는 예를 제공한다. 본 개시를 간단히 하도록, 구성 요소 및 배치에 있어서 특정 예가 이하에 설명한이다. 물론, 이는 단지 예일 뿐이며, 한정하려는 의도가 아니다. 예를 들어, 다음의 설명에서 제2 피처부 위의 또는 그 상의 제1 피처부의 형성은, 제1 및 제2 피처부가 직접 접촉부하여 형성되는 실시예를 포함할 수도 있고, 또한 부가적인 피처부가 제1 및 제2 피처부들 사이에 형성되어 제1 및 제2 피처부가 직접 접촉부하지 않는 실시예를 포함할 수도 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 간결성과 명료성을 위한 것이며 그 자체가 논의된 다양한 실시예 및/또는 구성 간의 관계를 지시하는 것은 아니다.
또한, "하에(beneath)", "아래에(below)", "하부의(lower)", "위에(above)", "상부의(upper)" 등과 같은 공간적으로 상대적인 용어가, 도면에 도시된 하나의 요소 또는 피처부와 다른 요소(들) 또는 피처부(들) 간의 관계를 설명하는 데 있어서, 설명의 편의를 위해 사용될 수도 있다. 공간적으로 상대적인 용어는, 도면에 나타난 방향 외에도, 사용 또는 작동 중인 디바이스의 다른 방향을 망라한다. 장치는 다른 방향으로(90도 회전되거나 다른 방향으로 회전되어) 배치될 수 있고, 본 개시에서 사용된 공간적으로 상대적인 서술어는 이에 따라 마찬가지로 해석될 수 있다.
일부 실시예에서, 용어 "약" 및 "실질적으로"는 값의 5 % 내에서 변하는 소정의 양(quantity)의 값(예를 들어, 값의 ± 1 %, ± 2 %, ± 3 %, ± 4 %, 및 ± 5 %)을 나타낼 수 있다. 이러한 값은 예시일 뿐이며 제한하려는 의도가 아니다. 용어 "약" 및 "실질적으로"는 본 명세서의 교시에 비추어 관련 기술(들)의 숙련자에 의해 해석되는 값의 백분율을 말할 수 있음을 이해할 것이다.
집적 회로(Integrated Circuit, IC)의 능동 및 수동 장치들은, 로컬 레벨(예를 들어, IC의 동일한 영역 내) 및 글로벌 레벨(예를 들어, IC의 서로 다른 영역 사이)에서 금속 접촉부, 금속 비아 및 금속 라인과 같은 다수의 도전성 구조체를 통해 상호 연결된다. 도전성 구조체들은 수직으로 적층된 금속화층 또는 상호 연결층에서 배열된다. 도전성 물질 사이의 바람직하지 않은 상호 작용 - 예를 들어, 확산 - 으로 인한 성능 저하를 방지하기 위해, 서로 다른 도전성 물질을 갖는 금속화층들을 서로의 위에 적층할 때 설계 고려 사항을 고려한다. 이것은 배리어층 또는 라이너층을 사용하지 않는 도전성 구조체들에 있어서 매우 중요하다.
배리어층 또는 라이너층이 없는 도전성 구조체들(본 명세서에서 "라이너-프리 또는 배리어-프리 도전성 구조체들"이라고도 함)은 배리어층 또는 라이너층을 갖는 도전성 구조체들에 비해 낮은 전기 저항을 나타내기 때문에 매력적이다. 이는, 라이너층 또는 배리어층 - 이들은 도전성 구조체의 금속 충전층보다 저항성이 더 강함 - 이, 도전성 구조체 내에서, 라이너층 또는 배리어층이 없었더라면 금속 충전층이 차지할 수 있는 공간을 소비하기 때문이다. 따라서, 도전성 구조체들에서 라이너층 또는 배리어층을 제거함으로써, 낮은 저항의 금속 충전물이 도전성 구조체의 전체 부피를 차지할 수 있고, 도전성 구조체의 접촉 저항을 더욱 감소시킬 수 있다.
그러나, 라이너-프리 또는 배리어-프리 도전성 구조체들이 확산 배리어들로 작용할 수 있는 라이너층 또는 배리어층을 포함하지 않기 때문에, 다른 금속으로 충전된 도전성 구조체들 상에 형성된 라이너-프리 또는 배리어-프리 도전성 구조체들이, 특정 조건에서, 아래에 놓인 금속의 삼출(out-diffusion)을 방지하거나 억제할 수 없다. 예를 들어, 코발트 도전성 구조체들(예를 들어, 코발트 접촉부들) 위에 놓인, 루테늄 충전된 라이너-프리 또는 배리어-프리 도전성 구조체들은, 두 구조체 모두가 어닐링되거나 열 사이클을 겪을 때 루테늄 금속 결정립계들을 통한 코발트 삼출을 방지하거나 억제할 수 없다. 전술한 거동은 루테늄 라이너-프리 또는 배리어-프리 도전성 구조체들의 구현에 제한을 가하고, 코발트 도전성 구조체들과 루테늄 라이너-프리 또는 배리어-프리 도전성 구조체들을 통합하기 어렵게 만든다.
전술한 단점을 해결하기 위해, 본 개시는, 아래에 놓인 코발트 도전성 구조체들(예를 들어, 코발트 접촉부들)로부터 코발트 원자들의 삼출을 경감할 수 있는 라이너-프리 또는 배리어-프리 루테늄 도전성 구조체들을 형성하는 방법에 관한 것이다. 일부 실시예에서, 라이너-프리 또는 배리어-프리 루테늄 도전성 구조체들은, 루테늄 결정립들(grains)이 성장할 수 있게 하고 루테늄 금속 결정립계들을 통한 코발트 확산을 최소화하는 저온 어닐링 공정(예를 들어, 약 360℃ 미만)을 겪는다. 일부 실시예에서, 평탄화 작업 동안 루테늄 금속 "인발(pull-out)"을 방지하기 위해, 라이너-프리 또는 배리어-프리 루테늄 도전성 구조체들은 코발트 도전성 구조체들에 위치된 앵커 포인트들을 갖도록 형성된다.
일부 실시예에 따르면, 도 1a는, 루테늄 금속(110)으로 충전되고 코발트 도전성 구조체들(105) 상에 형성된 라이너-프리 또는 배리어-프리 도전성 구조체들(100)(라이너-프리 도전성 구조체들(100))의 부분 등각도이다. 코발트 도전성 구조체들(105)이 소스/드레인(Source/Drain, S/D) 에피택시 구조체들(115) 상에 형성되고, S/D 에피택시 구조체들(115)은 결과적으로 기판(125) 상에 배치된 핀 구조체들(120)의 리세싱된 부분들 상에서 성장된다. 핀 구조체(120) 및 S/D 에피택시 구조체들(115)의 하단 부분들은 제1 유전체층(130)에 의해 둘러싸이고, 일부 실시예에 따르면 제1 유전체층(130)은 격리 구조체(예를 들어, 얕은 트렌치 격리 구조체)를 형성한다.
또한, 도 1a는 코발트 도전성 구조체들(105) 사이에 배치된 트랜지스터 게이트 구조체(140)를 포함한다. 게이트 구조체(140)는 스페이서(145) 및 도 1a에 도시되지 않은 유전체층에 의해 코발트 도전성 구조체들(105)로부터 전기적으로 격리된다. 일부 실시예에서, 트랜지스터 게이트 구조체(140), 핀 구조체들(120), 및 S/D 에피택시 구조체들(115)은 상응하는 핀-기반 트랜지스터들을 형성한다. 일부 실시예에서, 코발트 도전성 구조체들(105)은 도 1a에 도시된 핀-기반 트랜지스터들을 위한 S/D 접촉부들을 형성한다. 일부 실시예에서, 코발트 도전성 구조체들(105)은 긴 직사각형 형상을 가지며, 두 개 이상의 S/D 에피택시 구조체들(115)로 연장될 수 있다. 결과적으로, 하나 이상의 라이너-프리 도전성 구조체들(100)이 각각의 코발트 도전성 구조체들(105) 상에 형성될 수 있다.
도 1a에 도시된 바와 같이, 라이너-프리 도전성 구조체들(100)의 하단 부분들은"앵커 포인트"(160)를 포함하고, 앵커 포인트(160)는 코발트 도전성 구조체들(105)의 상단 부분 내에 형성된다. 일부 실시예에서, 루테늄 평탄화 공정 동안 루테늄 금속(110)이 "인발"되는 것을 방지하도록, 앵커 포인트들(160)은 아치형 또는 반구 형상을 갖는다. 또한, 앵커 포인트들(160)은 루테늄 금속(110)과 코발트 도전성 구조체들(105) 사이의 표면적을 증가시키고, 두 구조체 사이의 접촉 저항을 감소시킨다. 일부 실시예에서, 추가 접촉 저항 감소를 제공하도록, 실리사이드층(165)이 코발트 도전성 구조체들(105)과 S/D 에피택시 구조체(115) 사이에 개재된다.
일부 실시예에서, 도 1b 및 도 1c는 절단 선(AB 및 CD)을 따른 도 1a의 부분 단면도이다. 예를 들어, 도 1b는 평면(z-x)을 따른(예를 들어, 핀 구조체(120)를 따른) 도 1a의 일부를 도시하고, 도 1c는 평면(z-y)을 따른(예를 들어, 코발트 구조체(105)를 따른) 도 1a의 일부를 도시한다. 도 1b 및 1c는 도 1a에 도시되지 않은 추가적인 층들을 도시한다. 예를 들어, 이러한 추가적인 층들은, (예를 들어, 코발트 도전성 구조체들(105) 위의) 라이너-프리 도전성 구조체들(100)의 상단 및 중간-섹션들을 둘러싸는 에칭 정지층(150) 및 층간 유전체(Interlayer Dielectric, ILD)(155), 및 S/D 에피택시 구조체들(115)의 상단 부분들 및 코발트 도전성 구조체들(105)을 둘러싸는, 제1 유전체(130) 상에 배치된 제2 유전체(135)를 포함한다.
도 1b 및 1c는 전술한 절단 위치에서 앵커 포인트들(160)의 세부 사항을 도시한다. 도 1b에 도시된 바와 같이, 앵커 포인트(160)의 아치형 또는 반구형 형상은 코발트 도전성 구조체들(105)의 폭에 의해 제한된다. 이는, x-방향을 따른 코발트 도전성 구조체들(105)의 폭이 앵커 포인트(160)의 폭보다 좁기 때문이다. 대조적으로, 도 1b에서, 앵커 포인트(160)의 아치형 또는 반구형 형상은 코발트 도전성 구조체들(105)의 길이에 의해 제한되지 않는다. 전술한 바에 기초하면, 절단 방향에 따라, 앵커 포인트(160)는 다른 형상을 갖는 것으로 보일 수 있다. 앵커 포인트(160)의 폭보다 더 큰 폭을 갖는 코발트 도전성 구조체들(105)의 경우, 임의의 절단 방향에 대해 앵커 포인트(160)의 형상은 도 1c에 도시된 것과 유사하게 보일 것이다.
도 1a, 도 1b 및 도 1c에 도시된 구조체들은 예시적이며, 이러한 구조체들의 변형예도 본 개시의 사상 및 범위 내에 있다. 예를 들어, 코발트 도전성 구조체들(105)의 형상 및 S/D 에피택시 구조체들(115)의 형상은 도 1a, 도 1b 및 도 1c에 도시된 것과 다를 수 있다. 일부 실시예에서, 도전성 구조체들(105)은, 도 1a, 도 1b 및 도 1c에 도시된 것보다 더 짧거나, 더 길거나, 더 넓거나, 더 좁다. 도전성 구조체들(105)은, 원형 접촉부들, 타원형 접촉부들, 직사각형 접촉부들 또는 이들의 조합일 수 있다. 일부 실시예에서, 다수의 라이너-프리 도전성 구조체들(100)이 코발트 도전성 구조체들(105) 상에 형성될 수 있다. 일부 실시예에서, 일부 또는 모든 라이너-프리 도전성 구조체들(100)에는 앵커 포인트(160)가 없다. 일부 실시예에서, 라이너-프리 도전성 구조체들은 도 1a, 도 1b 및 도 1c에 도시되지 않은 게이트 접촉부들 위로 연장될 수 있다. S/D 에피택시 구조체(115)는 추가적인 패싯들을 가질 수 있다. 일부 실시예에서, 인접한 핀 구조체들(120)로부터의 S/D 에피택시 구조체(115)가 병합되어, 코발트 도전성 구조체(105) 및 하나 이상의 라이너-프리 도전성 구조체들(100)이 위에 형성될 수 있는 병합된 S/D 에피택시 구조체들을 형성한다. 또한, 설명의 명료성 및 간결성을 위해, 일부 층들 또는 구조체들은 도 1a, 도 1b 및 도 1c에 도시되지 않는다. 예를 들어, 게이트 구조체(140)를 위한 게이트 유전체 스택, 일함수층, 배리어층 및 금속 충전물은 도시되지 않는다. 또한, 코발트 도전성 구조체들(105)을 위한 배리어층 및 제1 유전체층(130) 위의 S/D 에피택시 구조체들(115)의 하단 측벽 표면들 상의 스페이서도 도시되지 않는다. 도 1a, 도 1b 및 도 1c에 도시되지 않은 전술한 층들 및 구조체들도 본 개시의 사상 및 범위 내에 있다.
일부 실시예에서, 라이너-프리 도전성 구조체들(100)이, 층들을 개재하지 않고 코발트 도전성 구조체들(105) 상에 직접 형성된다. 예를 들어, 라이너-프리 도전성 구조체들(100)의 루테늄 금속(110)과 코발트 도전성 구조체들(105) 사이에는 배리어층, 라이너층 또는 접착층이 없다. 비제한적인 예로서, 라이너-프리 도전성 구조체들(100)은, 도 1a, 도 1b 및 도 1c에 도시되지 않은 상부 금속화 레벨들(예를 들어, 구리 금속화 레벨들)에 코발트 구조체들(105)을 전기적으로 연결하는 비아들의 네트워크를 형성한다. 일부 실시예에 따르면, 라이너-프리 도전성 구조체들(100)을 통해 상부 금속화 레벨들을 향한 코발트 삼출을 경감하는 방법으로 라이너-프리 도전성 구조체들(100)이 형성된다. 코발트 삼출은 코발트 도전성 구조체들(105)에 보이드들을 형성할 수 있고, 보이드들은 접촉 저항에 불리하다. 예를 들어, 도전성 구조체들(105) 내의 보이드들은 접촉 저항을 약 15 %만큼 증가시킬 수 있다. 과도한 코발트 삼출은, 코발트 도전성 구조체들(105) 내에서 전기적 개방을 초래할 수 있다.
일부 실시예에서, 도 2a 및 2b는 도 1a, 도 1b 및 도 1c에 도시된 라이너-프리 도전성 구조체들(100)의 형성을 위한 제조 방법(200)의 순서도이다. 방법(200)의 다양한 작업들 사이에 다른 제조 작업들이 수행될 수 있고, 이들은 설명의 명료성과 간결성을 위해 생략될 수 있다. 이러한 다양한 작업도 본 개시의 사상 및 범위 내에 있다. 추가적으로, 본 명세서에 제공된 개시를 수행하도록 모든 작업이 요구되는 것은 아니다. 일부 작업은 동시에 수행되거나 도 2a 및 도 2b에 도시된 것과 다른 순서로 수행될 수 있다. 일부 실시예에서, 하나 이상의 다른 작업이 현재 설명한 작업에 추가하여 또는 대신에 수행될 수 있다. 방법(200)은, 도 3a/b 내지 도 12a/b - 도 1b 및 1c와 같이, 도 3a 내지 도 12a는 도 1a에 도시된 절단 선들(AB 및 CD)을 따른 단면도임 - 를 참조하여 설명될 것이다.
일부 실시예에서, 도 3a 및 3b는 방법(200)에 있어서 중간 구조체들이다. 도 3a 및 도 3b에서, 방법(200)에 도시되지 않은 작업들에서, 핀 구조체(120), 소스/드레인 에피택시 구조체들(115), 제1 유전체층(130), 제2 유전체층(135), 게이트 구조체(140), 스페이서들(145), 실리사이드층(165) 및 코발트 도전성 구조체들(105)이 이전에 형성되었다. 일부 실시예에서, 도 3a 및 3b는, 소스/드레인 에피택시 구조체들(115) 위의 실리사이드층(165) 상에 코발트 도전성 구조체들(105)을 형성한 후의 도 1a 및 1b의 구조체를 나타낸다. 도 3a 및 도 3b에 도시된 제조 단계에서, 코발트 도전성 구조체들(105)의 상단 표면은 제2 유전체층(135)의 상단 표면과 실질적으로 동일 평면에 있다. 이것은, 예를 들어 코발트 금속의 성막 후에 평탄화 공정으로 달성될 수 있다.
도 2a를 참조하면, 방법(200)은, 아래에 놓인 도전성 구조체(예를 들어, 코발트 도전성 구조체들(105)) 상에 (예를 들어, 도 1c 및 도 1d에 도시된 에칭 정지층(150)과 같은) 에칭 정지층을 성막하는 작업(210) 및 공정으로 시작한다. 비제한적인 예로서, 에칭 정지층(150)은, 도 4a 및 도 4b에 도시된 바와 같이, 코발트 도전성 구조체들(105) 및 제2 유전체층(135)의 상단 표면을 덮도록 블랭킷 성막될 수 있다. 일부 실시예에서, 에칭 정지층(150)은 라이너-프리 도전성 구조체들(100)의 형성을 용이하게 한다. 비제한적인 예로서, 에칭 정지층(150)은 실리콘 질화물(Si3N4), 실리콘 산질화물(SiON), 실리콘 탄화물(SiC), 실리콘 탄질화물(SiCN) 또는 이들의 조합을 포함할 수 있다. 또한, 에칭 정지층(150)은, 약 1 nm 내지 약 3 nm의 두께로 저압 화학적 기상 증착(Low Pressure Chemical Vapor Deposition, LPCVD), 플라즈마 강화 화학적 기상 증착(Plasma Enhanced Chemical Vapor Deposition, PECVD), 화학적 기상 증착(Chemical Vapor Deposition, CVD), 원자층 성막(Atomic Layer Deposition, ALD), 또는 다른 적절한 성막 공정에 의해 성막될 수 있다.
도 2a를 참조하면, 방법(200)은, 도 5a 및 5b에 도시된 바와 같이 에칭 정지층(150) 상에 ILD(155)를 성막하는 작업(220) 및 공정으로 계속된다. 비제한적인 예로서, ILD(155)는, 탄소-함유 실리콘 산화물층과 같이, 약 3.9보다 낮은 유전 상수를 갖는 저-k 유전체 물질을 포함할 수 있다. 일부 실시예에서, ILD(155)는 수소 및/또는 질소를 함유한다. 예로서, ILD(155)는 CVD 공정, 플라즈마-강화 CVD(Plasma-Enhanced CVD, PECVD) 공정, 또는 임의의 다른 적절한 성막 방법으로 성막될 수 있다. 비제한적인 예로서, 라이너-프리 도전성 구조체들(100)의 바람직한(desired) 종횡비에 따라 ILD(155)는 약 50 nm 내지 70 nm의 두께로 성막된다.
도 2a를 참조하면, 방법(200)은, 코발트 도전성 구조체들(105)을 노출시키도록 ILD(155) 및 에칭 정지층(150)에 개구부들을 형성하는 작업(230) 및 공정으로 계속된다. 일부 실시예에서, 코발트 도전성 구조체들(105)의 상단 부분들을 노출시키도록 개구부들이 동시에 형성될 수 있다. 비제한적인 예로서, 도 6a 및 6b는 작업(230)에 따른 개구부들(600)의 형성 후에 도 5a 및 5b의 구조체들을 도시한다. 일부 실시예에서, 개구부들(600)은 포토 리소그래피 및 에칭 작업들로 형성된다. 예를 들어, 포토 레지스트층이 ILD(155) 상에 성막될 수 있고, 이어서 에칭 마스크를 형성하도록 패터닝될 수 있다. 도 6a 및 도 6b에 도시된 개구부들(600)을 형성하도록, 건식 에칭 공정이 포토 레지스트 에칭 마스크에 의해 덮이지 않은 ILD(155)의 부분들 및 에칭 정지층(150)을 에칭한다.
ILD(155) 및 에칭 정지층(150)은 서로 다른 물질을 포함하기 때문에, 각각의 에칭된 층에 대해 상이한 에칭 선택성을 갖는 건식 에칭 케미스트리가 사용될 수 있다. 일부 실시예에서, 건식 에칭 공정은 두 개 이상의 서브-작업을 포함할 수 있고, 각각의 서브-작업은 에칭된 물질(예를 들어, ILD(155) 또는 에칭 정지층(150))에 기초한 상이한 에천트를 사용한다. 예를 들어, 제1 서브-작업은 ILD(155)를 에칭하고, 에칭 정지층(140) 상에서 종료한다. 제2 서브-작업은 에칭 정지층(150)을 에칭하고, 코발트 도전성 구조체(105) 상에서 종료한다. 코발트 도전성 구조체(105)를 오버-에칭하고 에칭 작업들 동안 형성된 폴리머 물질을 제거하기 위해, 추가 서브-작업들이 사용될 수 있다.
도 6a 및 6b에 도시된 바와 같이, 개구부들(600)은 x-축을 따른 측벽 각도(θ1) 및 y-축을 따른 측벽 각도(θ2)를 갖는다. 측벽 각도들(θ1 및 θ2)은 에칭 공정 조건을 통해 변조(modulate)될 수 있다. 독립적으로 조정될 수 있는 측벽 각도들(θ1 및 θ2)은 개구부(600)의 측벽 표면들과 수평 평면(x-y) 사이에 형성된다. 또한, 각각의 각도들(θ1 및 θ2)은 약 85° 내지 약 90°의 범위를 가질 수 있다. 일부 실시예에서, 측벽 각도들(θ1 및 θ2는)은 실질적으로 동일하다. 일부 실시예에서, (예를 들어, x- 또는 y-방향으로) 개구부들(600)의 상단 폭(600tw)은 (예를 들어, x- 또는 y-방향으로) 하단 폭(600bw)과 실질적으로 동일하거나 더 클 수 있다. 일부 실시예에서, 개구부들(600)의 종횡비(예를 들어, 상단 폭(600tw)에 대한 높이(600h)의 비)는 약 3 내지 약 4의 범위일 수 있다. 그러나 이는 제한적이지 않으며, 약 3 미만 또는 약 4 초과의 종횡비도 본 개시의 사상 및 범위 내에 있다.
도 2a를 참조하면, 방법(200)은, (예를 들어, 각각의 도전성 구조체(105)에서) 앵커 리세스를 형성하도록, 습식 에칭 공정으로 노출된 코발트 도전성 구조체들(105)을 에칭하는 작업(240) 및 공정으로 계속된다. 비제한적인 예로서, 도 7a 및 7b는, 작업(240)에 따른 습식 에칭 공정 후의 도 6a 및 6b의 구조체를 도시한다. 일부 실시예에서, 에칭 케미스트리는 부톡시에탄올(C6H14O2), 히드록실아민(H3NO), 및 디에틸렌트리아민펜타 아세트산(C14H23N3O10)의 수용액을 포함하며, 여기서 주 에천트는 물이고, C6H14O2, H3NO, 및 C14H23N3O10는 코발트 표면 보호제로 작용한다. 코발트에 선택적인 습식 에칭 케미스트리는 노출된 코발트 금속을 모든 방향으로 등방성으로 에칭한다. 결과적으로, 아치형 또는 반구형 앵커 리세스가, 도 7a 및 도 7b에 도시된 바와 같이 코발트 도전성 구조체들(105)의 상단 부분 상에 형성된다.
1a, 도 1b 및 도 1c에 대해 전술한 바와 같이, 앵커 리세스는, 도 7a에 도시된 바와 같이, x-방향으로 코발트 도전성 구조체들(105)의 폭에 의해 제한된다. 코발트 도전성 구조체들(105)의 폭이 앵커 리세스의 폭 이상이면, 코발트 도전성 구조체들(105)의 앵커 리세스는 도 7a와 도 7b에서 동일하게 보일 것이다.
일부 실시예에서, 반구형 또는 아치형 앵커 리세스의 크기를 제어하도록, 습식 에칭 케미스트리에의 코발트 도전성 구조체들(105)의 노출 시간이 조절된다. 예를 들어, 노출 시간은, 에칭 케미스트리에 의해 코발트 금속이 소비되는 에칭 속도에 따라 약 50초 내지 약 100초 이상의 범위일 수 있다. 도 8a는, 도 7b에 도시된 반구형 또는 아치형 앵커 리세스의 확대도이다. 일부 실시예에서, 반구형 또는 아치형 앵커 리세스는 약 21 nm 내지 약 39 nm 범위의 y-방향을 따른 폭(A)를 갖는다. 일부 실시예에서, 반구형 또는 아치형 앵커 리세스는 약 7 nm 내지 약 13 nm의 높이(H)를 갖는다. 일부 실시예에서, 비(A/H)는 약 3이다. 전술한 범위는 제한적이지 않으며, 더 크거나 더 작은 리세스 크기도 본 발명의 사상 및 범위 내에 있다. 일부 실시예에서, 큰 앵커 리세스는 충전하기가 더 어려울 수 있는 반면, 더 작은 앵커 리세스는 평탄화 공정 동안 금속 인발을 방지하지 못할 수 있다. 일부 실시예에 따르면, 반구형 또는 아치형 앵커 리세스의 폭(A)은, 개구부(600)의 하단 폭(600bw)보다 크고(예를 들어, A > 600bw), 이는 약 13 nm 내지 약 15 nm의 범위이다. 일부 실시예에서, 비(A/600bw)는 약 1.7 내지 약 2.6의 범위이고, 비(600bw/H)는 약 1 내지 약 2의 범위이다. 결과적으로, 약(A-600bw)/2의 y-방향을 따른 폭을 갖는 언더컷이 에칭 정지층(150) 아래의 앵커 리세스의 각각의 측 상에 형성된다. 일부 실시예에서, 언더컷은 약 4 nm 내지 약 12 nm의 범위이다.
전술한 바와 같이, 도 7a에 도시된 x-방향을 따른 반구형 또는 아치형 앵커 리세스의 폭은, x-방향을 따른 코발트 도전성 구조체들(105)의 더 작은 폭으로 인해, y-방향을 따른 폭(A)과 다르다. 이것은, 또한, 개구부(600)를 통한 앵커 리세스의 평면도인 도 8b에 도시되어 있다. 도 8b에서, x-방향을 따른 앵커 리세스의 폭(C)은, 코발트 도전성 구조체(105)의 물리적 폭에 의해 제한되고, 따라서, x-방향을 따른 폭(C)은 y-방향을 따른 앵커 리세스의 폭(A)보다 작게 형성된다. 다시 말해서, 앵커 리세스는 도 7b에 도시된 바와 같이 y-방향을 따라 볼 때 반구형 또는 아치형 형상을 갖고, 도 7a에 도시된 바와 같이 x-방향을 따라 볼 때 "트리밍된"반구형 또는 아치형 형상을 갖는 것으로 보인다.
일부 실시예에 따르면, 앵커 리세스는 두 가지 목적 - (1) 후속 평탄화 공정 동안 개구부(600)에서 금속 충전물의 인발을 방지하도록 금속 충전물(110)을 위한 앵커 포인트를 제공하고, (2) 전체 접촉 저항을 개선하도록 아래에 놓인 코발트 도전성 구조체와 금속 충전물 사이의 접촉 면적을 증가시킴 - 에 적합하다.
도 2b를 참조하면, 방법(200)은, 개구부들(600)을 충전하도록 금속을 성막하는 작업(250) 및 공정으로 계속된다. 일부 실시예에서, 작업(250)에서 금속은, 라이너층 또는 배리어층의 형성 없이 코발트 구조체(105) 상에 직접 성막된다. 일부 실시예에서, 그리고 금속 성막 전에, 코발트 도전성 구조체들(105)의 노출된 표면들 상에 형성된 자연 산화물층들(예를 들어, 코발트 산화물)을 제거하고 금속 성막을 위한 개구부(600)의 표면들을 준비하도록 사전-세정이 수행된다. 일부 실시예에서, 사전-세정은 아르곤(Ar) 플라즈마 처리, 수소(H2) 플라즈마 처리, 또는 이들의 조합을 포함한다. 일부 실시예에서, 수소 사전-세정 처리는, Ar 사전-세정 처리의 온도 이상의 온도에서 수행된다. 일부 실시예에서, 각각의 처리 동안 기판에 바이어스가 인가되며, Ar 사전-세정 처리 동안의 바이어스는 H2 플라즈마 사전-세정 처리의 바이어스보다 더 크다.
일부 실시예에서, 작업(250)에서, 루테늄 금속은, 트리루테늄 도데카카르보닐(Ru3(CO)12)과 같은 루테늄 카르보닐 전구체 케미스트리를 사용하여 약 200℃ 미만(예를 들어, 약 180℃)의 온도에서 열 CVD 공정으로 성막된다. 비제한적인 예로서, 루테늄 금속은 약 20 nm의 두께 또는 앵커 리세스를 포함하는 개구부들(600)을 충전하기에 충분한 두께로 성막된다. 일부 실시예에서, 루테늄 금속의 성장은 바텀-업 방식으로 진행된다. 예를 들어, 루테늄 핵 형성이 코발트 도전성 구조체(105)의 노출된 표면에서 먼저 발생하고, 개구부(600)가 실질적으로 충전될 때까지 z-방향을 따라 수직으로 진행한다. 일부 실시예에서, 200℃ 미만의 성막 온도는 루테늄 금속의 바텀-업 성장을 촉진한다.
일부 실시예에 따르면, 도 9는, 개구부들(600)에 루테늄 금속(110)을 성막하는 작업(250) 및 공정 후의 도 8a의 확대도이다. 일부 실시예에서, 애즈-성막된(as-deposited) 루테늄 금속(110)은 개구부(600) 외부에서 ILD(155) 위로 연장되고, 화학적 기계적 평탄화(Chemical Mechanical Planarization, CMP) 공정과 같은 평탄화 공정으로 제거되는 "오버 버든(overburden)"을 형성한다. 일부 실시예에서, 바텀-업 성장 공정 및 ILD(155) 상의 루테늄 금속(110)의 낮은 성막 속도로 인해 오버 버든의 두께는 약 20 nm 미만이다.
일부 실시예에서, 애즈-성막된 루테늄 금속(110)은 작은 결정립들(grains)을 갖는 다결정이며, 이는 도 9에서 점선들(900)으로 표시된 다수의 결정립계들을 집합적으로 형성한다. 일부 실시예에서, 다수의 결정립계들은 루테늄 금속(110)의 낮은 성막 온도(예를 들어, 약 200℃ 미만)에 기인한다. 다수의 결정립계들은 루테늄 금속(110)의 비저항(resistivity)을 증가시키기 때문에 바람직하지 않다. 예를 들어, 전류가 접촉부를 통해 흐를 때, 결정립계들은 전자를 위한 산란 중심의 역할을 한다. 더 높은 성막 온도(예를 들어, 약 200℃ 초과)는 더 적은 결정립계들을 초래할 수 있지만, 전술한 루테늄 금속(110)의 바텀-업 성장을 촉진하지는 않는다. 따라서, 더 높은 성막 온도(예를 들어, 약 200℃ 초과)는 이음새(seam) 또는 보이드를 불완전하게 충전할 수 있고, 이는 접촉 저항을 증가시키고 결함의 원인이 될 수 있다.
도 2b를 참조하면, 방법(200)은, 루테늄 금속(110)에 어닐링 공정을 적용하는 작업(260) 및 공정으로 계속된다. 일부 실시예에서, 어닐링 공정은 두 가지 목적 - (1) 루테늄 결정립들의 성장을 촉진하고, (2) 루테늄 금속(110)과 ILD(155) 사이에 루테늄 산화물 계면층의 형성을 촉진함 - 에 적합하다. 긴 어닐링 시간 및/또는 높은 열 예산으로 어닐링 공정을 수행함의 부작용은, 코발트 도전성 구조체들(105)로부터 코발트가 삼출되는 것이며, 이는 아래에서 논의된다.
더 큰 결정립들을 갖는 루테늄 금속은 더 작은 결정립들을 갖는 루테늄 금속에 비해 전기 저항이 낮기 때문에 결정립 성장이 바람직하다. 이는 결정립들이 큰 금속이, 결정립들이 작은 금속에 비해, 더 적은 결정립계들(예를 들어, 전자 산란 위치)를 갖기 때문이다.
루테늄 산화물 성장은 루테늄 금속(110)과 ILD(155) 사이의 접착을 촉진하기 때문에 바람직하다. 따라서, 루테늄은 후속 평탄화 작업 동안 "인발"될 가능성이 적다. 예를 들어, 루테늄 인발을 방지하는 앵커 포인트가 형성되지 않은 상황에서, 루테늄 산화물층의 존재는 추가 접착을 제공할 수 있다.
일부 실시예에서, 아래에 놓인 코발트 도전성 구조체들(105)로부터의 코발트 삼출을 경감하도록, 어닐링 공정은 약 200℃ 내지 약 360℃의 온도 범위에서 수행된다. 일부 실시예에서, 낮은 어닐링 온도(예를 들어, 약 200℃에 가까움)는 약 5분 내지 약 10분의 긴 어닐링 시간과 결합된다. 반대로, 높은 어닐링 온도(예를 들어, 약 360℃에 가까움)는 약 1분 내지 약 5분의 짧은 어닐링 시간과 결합된다. 일부 실시예에서, 어닐링 분위기는 질소(N2), 아르곤(Ar), 헬륨(He), 수소(H2), 성형 가스(forming gas)(예를 들어, 수소와 질소의 혼합물) 또는 이들의 임의의 조합을 포함한다. 산소 또는 산화 가스는, 루테늄 금속이 루테늄 금속보다 높은 전기 비저항을 갖는 루테늄 산화물로 변환되기 때문에 바람직하지 않다. 일부 실시예에서, 어닐링 공정은 약 2 Torr 내지 약 20 Torr의 공정 압력에서 수행된다. 더 높거나 더 낮은 어닐링 압력 값이 가능하며 본 개시의 사상 및 범위 내에 있다. 일부 실시예에 따르면, 어닐링 온도 및 어닐링 시간은, 이하에서 논의되는 바와 같이, 루테늄 결정립들 크기 및 코발트 삼출을 제어하기 위한 중요한 공정 파라미터이다.
코발트 삼출은, 코발트 도전성 구조체(105)에 보이드들을 생성하고 코발트 도전성 구조체들의 저항을 증가시키기 때문에 바람직하지 않다. 또한, 코발트 금속이 루테늄 금속보다 저항성이 높기 때문에, 코발트 삼출은 라이너-프리 도전성 구조체들의 비저항을 증가시킨다. 코발트 삼출의 주요 메커니즘은 피크(Fick)의 법칙에 의해 설명되는 열에 의한 확산이다. 따라서, 어닐링 온도(예를 들어, 열 예산)는 코발트 삼출 공정의 주요 원인이 될 수 있다. 코발트 확산은 루테늄 금속(110)의 결정립계들을 통해 발생한다. 따라서, 결정립계들이 적을수록, 코발트 원자들에 대한 확산 경로가 더 적을 수 있다. 코발트 원자들은, 확산되면, 루테늄 금속(110)의 상단 표면을 향해 계속 이동하고, 응집하여(agglomerate) 코발트 결절을 형성할 수 있다. 코발트 결절은, 억제되지 않으면, 인접한 도전성 구조체들을 전기적으로 단락시킬 수 있다. 또한, 전술한 바와 같이, 코발트 삼출은 보이드들을 생성하고 접촉 저항 저하를 초래하기 때문에 바람직하지 않다.
일부 실시예에서, 약 200℃ 미만의 어닐링 온도는 루테늄 결정립 성장을 희생시키면서 코발트 삼출을 지연시킬 수 있다. 이것은 온도 임계값이 존재하고, 그 이상에서는 루테늄 결정립 성장이 발생하고 눈에 띄는 저항 이점을 얻을 수 있음을 의미한다. 반대로, 약 360℃를 초과하는 어닐링 온도는 루테늄 결정립 성장을 촉진하기에 충분하지만, 루테늄 결정립계들을 통한 코발트 삼출을 실질적으로 증가시킬 수 있다. 예를 들어, 약 360℃를 초과하는 어닐링 온도(예를 들어, 380℃, 400℃ 이상)는, 가속화된 코발트 삼출 공정으로 인해, 코발트 도전성 구조체(105)에서 큰 보이드들을 유발할 수 있다. 예를 들어, 코발트 삼출에 의해 야기된 코발트 도전성 구조체(105)의 보이드들은 약 55 nm의 길이와 폭 및 약 26 nm의 높이를 가질 수 있다. 따라서, 가능하면, 약 360℃을 초과하는 어닐링 온도는 피해야 한다.
일부 실시예에서, 더 높은 어닐링 온도 및/또는 더 긴 어닐링 시간에서, 결정립 성장 공정으로 인해, 루테늄 전기 비저항이 감소한다. 동시에, 동일한 어닐링 조건에서 코발트 삼출이 증가한다. 루테늄 전기 비저항 및 코발트 삼출에 대한 이러한 거동은 도 13에서 포착되며, 도 13은 고정 어닐링 시간 동안 어닐링 온도의 함수로 또는 고정 어닐링 온도에 대한 어닐링 시간의 함수로 루테늄 전기 비저항 및 코발트 삼출 경향을 나타낸다. 따라서, 루테늄 충전에 대한 바람직한 전기적 특성과 "바람직하지 않은"코발트 삼출 사이의 균형을 달성하기 위해 어닐링 온도 및 어닐링 시간을 제어하는 것이 매우 중요하다. 일부 실시예에서, 높은 어닐링 온도(예를 들어, 약 360℃ 초과) 또는 긴 어닐링 시간(예를 들어, 10분 초과)은, 코발트 삼출 공정으로 인해, 결정립 성장 공정으로 달성되는 비저항의 이점을 경감시킨다. 일부 실시예에서, 약 200℃의 낮은 어닐링 온도에서도, 어닐링 시간이 약 10분보다 길면, 추가적인 접촉 저항의 이점 없이, 코발트 삼출이 초래될 수 있다. 전술한 바에 더하여, 어닐링 시간이 더 길면(예를 들어, 10분 초과), 어닐링 공정의 지속 시간이 불필요하게 증가되고, 결과적으로 제조 비용이 증가된다. 반대로, 어닐링 시간이 약 1분보다 짧으면, 루테늄 결정립들이 성장하지 못하고 루테늄 금속(110)의 전기 비저항을 감소시키지 못할 수 있다. 일부 실시예에서, 어닐링 조건은 약 200℃ 내지 약 360℃의 어닐링 온도를 포함한다. 전술한 바와 같이 적절한 어닐링 시간은 루테늄 금속(110) 내의 코발트 농도가 약 6원자 퍼센트(at.%) 미만이 되도록 한다.
일부 실시예에서, 어닐링 온도의 선택은 어닐링될 루테늄 금속(110)의 부피에 따라 달라진다. 예를 들어, 더 많은 루테늄 금속을 함유하는 높은 종횡비 접촉부(예를 들어, 약 5 또는 6의 종횡비)는 높은 어닐링 온도(예를 들어, 약 360℃에 더 가까움) 및 짧은 어닐링 시간(예를 들어, 약 1분 내지 약 5분)을 필요로 할 수 있다. 반대로, 더 적은 루테늄 금속을 함유하는 낮은 종횡비 비아(예를 들어, 약 3의 종횡비)는 낮은 어닐링 온도(예를 들어, 약 200℃에 더 가까움) 및 긴 어닐링 시간(예를 들어, 약 5분 내지 약 10분)을 필요로 할 수 있다. 높은 그리고 낮은 종횡비의 라이너-프리 도전성 구조체들이 동일한 기판에 공존할 수 있기 때문에, 적절한 어닐링 온도와 어닐링 시간 조합을 선택하면, 높은 그리고 낮은 종횡비를 갖는 라이너-프리 도전성 구조체들에 유리한 접촉 저항이 제공된다.
일부 실시예에 따르면, 도 14는 전술한 어닐링 공정의 그래픽 표현이다. 일부 실시예에서, 루테늄 금속(110)의 성막 후에, 웨이퍼(예를 들어, 기판)는 어닐링 반응기로 이송된다. 비제한적인 예로서, 어닐링 반응기는 성막 반응기와 동일한 메인 프레임 또는 다른 메인 프레임 상에 위치할 수 있다. 일부 실시예에서, 어닐링 반응기는 단일 웨이퍼 반응기 또는 배치(batch) 반응기(예를 들어, 퍼니스)이다. 루테늄 금속 성막 온도보다 낮고(예를 들어, 약 200℃ 미만) 실온보다 높은(예를 들어, 약 24℃ 초과) 온도에 상응하는 온도(T1)에서, 웨이퍼가 어닐링 반응기로 들어간다. 다음으로, 웨이퍼는 어닐링 온도(T2)로 가열된다. 가열 공정은, 어닐링 반응기 설계 및 성능에 따라 약 30초 내지 약 60초 범위의 지속 시간(t1)을 갖는다. 그런 다음, 웨이퍼는 어닐링 시간(t2) 동안 목표 어닐링 온도(T2)(예를 들어, 약 200℃ 내지 약 360℃)에서 어닐링되며, 어닐링 시간(t2)은 전술한 바와 같이 어닐링 온도(T2)에 따라 약 1분 내지 약 10분의 범위이다. 어닐링 공정이 종료되면, 웨이퍼는 실온(예를 들어, 약 24℃)에 상응하는 온도(T3)로 냉각된다. 냉각 공정의 지속 시간(t3)은 어닐링 반응기 설계 및 성능에 따라 약 30초 내지 약 60초의 범위이다. 이 시점에서, 웨이퍼는 어닐링 반응기에서 제거된다. 일부 실시예에서, 어닐링 온도(T2)는, 어닐링 공정의 정확성 및 반복성을 보장하도록 5℃ 이하 내로 제어된다. 전술한 바와 같이, 어닐링 공정은, 약 2 Torr 내지 약 20 Torr의 압력에서, N2, Ar, He, H2, 성형 가스 또는 이들의 임의의 조합을 포함하는 비-산화 분위기에서 수행된다.
도 14에 설명한 어닐링 공정은 제한적이지 않다. 예를 들어, 가열(램프(ramp)-업) 및/또는 냉각(램프-다운) 공정은 선형이 아닐 수 있다. 따라서, 비-선형 가열 및/또는 냉각 공정도 본 개시의 사상 및 범위 내에 있다.
전술한 바와 같이, 어닐링 공정의 또 다른 이유는 루테늄 금속(110)과 ILD(155) 사이에 계면 루테늄 산화물층을 성장시키기 위한 것이다. 일부 실시예에서, 어닐링 공정 동안, ILD(155)로부터의 산소는 루테늄 금속 원자들과 결합(bond)하여 계면 루테늄 산화물층을 형성한다. 일부 실시예에서, 계면 루테늄 산화물층의 두께는 약 1 nm 미만이다. 일부 실시예에서, 루테늄 금속(110)과 루테늄 산화물층 사이의 열악한 계면 분리로 인해, 루테늄 산화물층의 두께는 주사 전자 현미경(Scanning Electron Microscopy, SEM) 및 투과 전자 현미경(Transmission Electron Microscopy, TEM)과 같은 전자 현미경 기반 기술에 의해 측정하기 어려울 수 있다.
도 10은 작업(260)과 관련하여 전술한 어닐링 공정 동안의 도 9의 구조체를 도시한다. 도 10에 도시된 바와 같이, 루테늄 금속(110)의 결정립들 크기가 증가할 때 점선들(900)로 표시된 결정립계들이 결합한다(coalesce). 코발트 원자들은, 루테늄 금속(110)과 코발트 도전성 구조체(105) 사이의 계면에서 화살표로 표시된 바와 같이, 코발트 도전성 구조체(105)로부터 루테늄 금속(110)으로 확산된다. 또한, 루테늄 금속(110)과 ILD(155) 사이의 계면에 루테늄 산화물층(1000)이 형성된다. 일부 실시예에서, 에칭 정지층(150)이 산소를 함유하지 않기 때문에, 루테늄 산화물은 루테늄 금속(110)과 에칭 정지층(150) 사이의 계면에 형성되지 않는다.
산화물이 도전성이 아닌 다른 금속 - 예컨대, 텅스텐, 알루미늄 및 구리 등 - 과 달리, 루테늄 금속의 산화물은 도전성이다. 예를 들어, 루테늄 산화물의 전기 비저항이 루테늄의 전기 비저항보다 높더라도, 루테늄 산화물은 티타늄 질화물 또는 탄탈륨 질화물과 같은 라이너층 또는 배리어층에 비해 도전성이 높다. 또한, 루테늄 산화물층(1000)은 루테늄 금속(110)의 총 부피의 작은 부분을 차지하기 때문에, 루테늄 산화물층이 전체 저항에 미치는 영향은 무시할 수 있을 정도이다. 전술한 바와 같이, 루테늄 산화물층(1000)의 이점은 루테늄 금속(110)과 ILD(155) 사이의 접착력을 향상시키는 것이며, 이는 루테늄 평탄화 공정 동안에 유리할 수 있다. 예를 들어, ILD(155) 위의 루테늄 금속 오버 버든을 제거하도록 사용되는 CMP 공정과 같은 평탄화 공정은 접촉 개구부로부터 루테늄 금속(110)을 인발하기에 충분한 크기의 기계적 또는 모세관 힘을 생성할 수 있다. 반구형 또는 아치형 앵커 포인트 및 루테늄 산화물층(1000)은 평탄화 공정 동안 루테늄 금속(110)의 인발을 방지할 수 있다.
도 2b를 참조하면, 방법(200)은, 도 11에 도시된 바와 같이 루테늄 금속(110)의 상단 표면과 ILD(155)의 상단 표면이 실질적으로 동일 평면에 있도록, 루테늄 금속(110)을 평탄화하는 작업(270) 및 공정으로 계속된다. 일부 실시예에 따르면, 도 11은 최종 라이너-프리 도전성 구조체(100)를 도시한다. 일부 실시예에서, 평탄화 공정은, ILD(155) 상에 성막된 과잉 루테늄 금속을 제거하고 결과적인 구조체의 상단 표면을 평탄화하는 CMP 공정이다. 일부 실시예에서, 작업(270)의 평탄화 공정은 ILD(155)의 높이를 원래 높이의 약 절반 또는 절반 미만으로 감소시킨다. 예를 들어, ILD(155)의 원래 높이가 약 50 nm이면, 작업(270)의 평탄화 공정 후에 ILD(155)의 높이는 약 30 nm 이하(예를 들어, 약 20 nm)로 감소될 수 있다. 이러한 높이 감소는, 도 1a, 도 1b 및 도 1c에 도시된 결과적인 라이너-프리 도전성 구조체(100)의 종횡비를 변경할 수 있다.
도 11을 참조하면, 점선들(900)로 표시된 결정립계들이, 도 9에 도시된, 어닐링 전의 루테늄 금속(110)에 비해 감소되었다. 이러한 감소는, 작업(260)에서 설명한 어닐링 공정 동안 발생한 결정립 성장의 결과이다. 또한, 전술한 바와 같이, 작업(260)에서 설명한 어닐링 조건에서 일부 코발트 확산이 발생한다. 결과적으로, 도 11에 도시된 바와 같이, 코발트 원자들의 포켓들(1100)이 루테늄 금속(110)과 코발트 도전성 구조체(105) 사이의 계면을 따라, 라이너-프리 도전성 구조체(100)의 측벽 및 상단 표면들을 따라, 그리고 루테늄 금속(900)의 결정립계들을 따라 형성될 수 있다. 일부 실시예에서, 코발트의 흔적은, 에너지-분산 X-선 분광법(Energy-Dispersive X-ray Spectrometry, EDS, EDX, EDXS 또는 XEDS)에 의해 전술한 위치에서 루테늄 금속(110) 내에서 검출될 수 있다. 일부 실시예에서, 약 1 내지 약 3개의 코발트 단층이 루테늄 결정립계들을 따라 형성된다.
일부 실시예에서, 작업들(260 및 270)은 역순으로 수행될 수 있다. 예를 들어, 작업(260)의 어닐링 공정은 작업(270)의 평탄화 공정 후에 수행될 수 있다. 일부 실시예에서, 평탄화 공정이 어닐링 공정 전에 수행되는 경우, 루테늄 금속(110)은, 루테늄 금속의 인발을 방지하는 접착층과 같은 기능을 하는 루테늄 산화물층(1000)이 결여(lack)될 것이다.
일부 실시예에서, 작업(270)의 평탄화 공정 후에, 도 12a 및 12b에 도시된 바와 같이, 금속 산화물 에칭 정지층(1200)이 라이너-프리 도전성 구조체들(100)을 덮도록 ILD(155) 상에 블랭킷 성막된다. 비제한적인 예로서, 금속 산화물 에칭 정지층(1200)은 약 3 nm의 두께를 갖는 알루미늄 산화물(Al2O3)층을 포함할 수 있다. 일부 실시예에서, 금속 산화물 에칭 정지층(1200)은, 라이너-프리 도전성 구조체들(100)의 상단 표면들 상의 코발트 응집 및 루테늄 금속(110)의 자유 표면에서 코발트 결절의 형성을 억제하는 캐핑층의 역할을 한다. 이는, 금속 산화물 에칭 정지층(1200)이, 라이너-프리 도전성 구조체들(100)이 추가적인 어닐링 사이클을 겪을 때 - 예를 들어, 후속 공정 동안 - 에도, 라이너-프리 도전성 구조체들(100)의 상단 표면들을 통해 코발트 원자들이 확산되는 것을 차단하도록 구성되기 때문이다. 일부 실시예에서, 후속 어닐링 사이클들이 약 400℃를 초과하는 온도에서 수행되는 경우에도 코발트 응집이 방지된다. 일부 실시예에서, 확산된 코발트 원자들이 라이너-프리 도전성 구조체(110) 내에 갇혀 있기 때문에, 코발트 확산 공정은 포화점에 도달하여 중단된다.
일부 실시예에서, 도 12a 및 12b에 도시된 금속-간 유전체(Inter-Metal Dielectric, IMD)(1210)와 같은 추가적인 층들이 금속 산화물 에칭 정지층(1200) 상에 형성될 수 있다. IMD(1210)는, 실리콘 산화물을 함유하는 탄소와 같은 저-k 유전체(예를 들어, 약 3.9 미만의 유전 상수를 갖는 유전체)를 포함할 수 있다. 도 12a 및 12b에 도시되지 않은 상부 금속화 레벨들에 라이너-프리 도전성 구조체들(100)을 연결하도록 추가적인 도전성 구조체들이 IMD(1210) 내에 형성될 수 있다.
도 1b 및 도 1c와 관련하여 전술한 바와 같이, 도 12a 및 도 12b는 다른 절단 위치들을 따른 앵커 포인트(160)의 세부 사항을 도시한다. 도 12a에 도시된 바와 같이, 앵커 포인트(160)의 아치형 또는 반구형 형상은 x-방향을 따른 코발트 도전성 구조체들(105)의 폭에 의해 제한된다. 이는, x-방향을 따른 코발트 도전성 구조체들(105)의 폭이 앵커 포인트(160)의 폭보다 좁을 수 있기 때문이다. 반면에, 도 12b에서, 앵커 포인트(160)의 아치형 또는 반구형 형상은 코발트 도전성 구조체들(105)의 길이에 의해 제한되지 않는다. 전술한 바에 기초하여, 그리고 절단 방향에 따라, 앵커 포인트(160)는 다른 형상을 갖는 것으로 보일 수 있다. 앵커 포인트(160)의 폭보다 더 큰 폭을 갖는 코발트 도전성 구조체들(105)의 경우, 임의의 절단 방향(예를 들어, x 또는 y)에 대해 앵커 포인트(160)의 형상은 도 12b에 도시된 것과 유사하게 나타날 것이다.
일부 실시예에 따르면, 도 12a 및 도 12b에 도시된 앵커 포인트(160)의 치수들은 도 8a 및 도 8b의 앵커 리세스에 대해 논의된 것과 유사하다. 예를 들어, y-방향을 따른 폭(Wy)은 도 8a에 도시된 앵커 리세스 폭(A)(예를 들어, 약 21 nm 내지 약 39 nm)에 상응하고, x-방향을 따른 폭(Wx)은 도 8b에 도시된 앵커 리세스 폭(C)에 상응한다. 일부 실시예에서, Wx 및 C는 도 12a에 도시된 바와 같은 코발트 도전성 구조체(105)의 상단 폭과 실질적으로 동일하다. 또한, 앵커 포인트(160)의 높이(H')는 도 8a에 도시된 앵커 리세스 높이(H)(예를 들어, 7 nm 내지 약 13 nm)에 상응하고, 도 8a의 앵커 리세스 폭(600bw)은 동일한 위치에서 앵커 포인트(160)의 폭(L)(예를 들어, 13 nm 내지 약 15 nm)에 상응한다. 일부 실시예에서, 비(Wy/H')는 약 3이다. 일부 실시예에서, 약 3을 초과하는 비(Wy/H')는 더 넓고 더 얕은 앵커 포인트(160)를 초래하며, 이는 에칭 공정의 등방성 특성으로 인해 형성하기 어려울 수 있다. 반면에, 약 3 미만의 비(Wy/H')는 더 좁고 더 깊은 앵커 포인트(160)를 초래하며, 이는 루테늄 CMP 동안 라이너-프리 도전성 구조체(110)를 더 인발되기 쉽게 만들 수 있다. 일부 실시예에서, 비(Wy/L)는 약 1.7 내지 약 2.6의 범위이다. 일부 실시예에서, 약 1.7 미만의 비(Wy/L)는 더 좁은 앵커 포인트(160)를 초래하며, 이는 루테늄 CMP 동안 라이너-프리 도전성 구조체(110)를 더 인발되기 쉽게 만들 수 있다. 반면에 비(Wy/L)가 약 2.6을 초과하면, 확대된 앵커 포인트가 초래되며, 이는 충전하기 더 어려울 수 있다. 일부 실시예에서, 비(L/H')는 약 1 내지 약 2의 범위이다.
일부 실시예에서, 약 (Wy-L)/2의 y-방향을 따른 폭을 갖는 언더컷이 에칭 정지층(150) 아래의 앵커 포인트(160)의 각각의 측 상에 형성된다. 일부 실시예에서, x- 및 y-방향들의 언더컷은 약 4 nm 내지 약 12 nm의 범위이다. 일부 실시예에서, 약 4 nm 미만의 언더컷은 CMP 공정 동안 라이너-프리 도전성 구조체(110)가 인발되는 것을 방지하지 못할 수 있고, 반면에 약 12 nm를 초과하는 언더컷은, 등방성 에칭으로 형성하는 것이 그리고 이후에 루테늄 금속으로 충전하는 것이 더 어려울 수 있다.
일부 실시예에서, 라이너-프리 도전성 구조체들(100)은 하나 이상의 라이너층 또는 배리어층을 필요로 하는 다른 금속들(예를 들어, 텅스텐)으로 충전된 도전성 구조체들보다 낮은 저항을 제공한다. 비제한적인 예로서, 단일 라이너-프리 도전성 구조체(100)의 저항은 라이너-프리 도전성 구조체의 종횡비에 따라 약 80 Ω(예를 들어, 약 60Ω) 미만일 수 있다.
방법(200)으로 제조된 라이너-프리 도전성 구조체들(100)은 코발트 소스/드레인 접촉부들로 제한되지 않는다. 예를 들어, 라이너-프리 도전성 구조체들(100)은, 집적 회로들에 사용되는 코발트 게이트 접촉부들 또는 임의의 다른 유형의 코발트 도전성 구조체 상에 방법(200)을 사용하여 형성될 수 있다.
본 개시에 따른 다양한 실시예는 라이너-프리 또는 배리어-프리 도전성 구조체들을 제조하는 방법을 설명한다. 일부 실시예에서, 라이너-프리 또는 배리어-프리 도전성 구조체들은, 접착층, 라이너층 또는 배리어층과 같은 개재층을 사용하지 않고 코발트 도전성 구조체들 상에 직접 성막된 루테늄 금속으로 충전된다. 일부 실시예에서, 루테늄 금속 충전물은, 루테늄 금속의 전기 비저항을 감소시키고 루테늄 금속과 주변 ILD 사이에 루테늄 산화물층을 형성하도록 약 5분 동안 약 200℃ 내지 약 360℃의 어닐링 온도에서 어닐링된다. 일부 실시예에서, 루테늄 산화물층은 주변 ILD에 대한 루테늄 금속의 접착력을 향상시킨다. 일부 실시예에 따르면, 약 200℃ 내지 약 360℃의 어닐링 온도는 결정립 성장을 촉진시키면서도, 동시에, 아래에 놓인 코발트 도전성 구조체들로부터의 코발트 삼출을 경감시킬 수 있다. 라이너-프리 또는 배리어-프리 도전성 구조체들은 앵커 포인트들을 포함하며, 이는 후속 루테늄 금속 평탄화 공정 동안 루테늄 금속 인발을 경감시키는 데 도움이 된다.
일부 실시예에서, 방법은, 기판 상에 배치된 코발트 접촉부 상에 에칭 정지층을 성막하는 단계, 에칭 정지층 상에 유전체를 성막하는 단계, 코발트 접촉부의 상단 표면을 노출시키는 개구부를 형성하도록 유전체 및 에칭 정지층을 에칭하는 단계, 및 코발트 접촉부에, 에칭 정지층 아래에서 측 방향으로 연장되는 리세스를 형성하도록, 코발트 접촉부의 노출된 상단 표면을 에칭하는 단계를 포함한다. 방법은, 리세스 및 개구부를 실질적으로 충전하도록, 루테늄 금속을 성막하는 단계, 및 루테늄 금속과 유전체 사이에 산화물층을 형성하도록, 루테늄 금속을 어닐링하는 단계를 더 포함한다.
일부 실시예에서, 방법은, 기판 상에 제1 금속을 갖는 도전성 구조체를 형성하는 단계 및 도전성 구조체 상에 라이너-프리 도전성 구조체를 형성하는 단계 - 라이너-프리 도전성 구조체는 제1 금속과 다른 제2 금속을 포함함 - 를 포함한다. 또한, 라이너-프리 도전성 구조체를 형성하는 단계는, 도전성 구조체 상에 에칭 정지층을 성막하는 단계, 에칭 정지층 상에 유전체층을 성막하는 단계, 유전체층 및 에칭 정지층에 제1 금속을 노출시키는 비아 개구부를 형성하도록 제1 에칭을 수행하는 단계, 및 도전성 구조체에 리세스를 형성하도록 비아 개구부를 통해 제2 에칭을 수행하는 단계를 포함한다. 방법은, 리세스 및 비아 개구부 모두를 실질적으로 충전하도록, 제2 금속을 성막하는 단계 - 제2 금속은 제1 금속, 에칭 정지층 및 유전체층과 물리적으로 접촉하여 성막됨 - 를 더 포함한다. 방법은, 또한, 제2 금속의 저항을 낮추도록 제2 금속을 어닐링하는 단계를 더 포함한다.
일부 실시예에서, 구조체는, 기판 상에 배치된, 제1 금속을 갖는 접촉부 및 접촉부 내에 형성된 라이너-프리 비아를 포함한다. 또한, 라이너-프리 비아는, 제1 금속과 물리적으로 접촉하는 제2 금속, 및 제1 금속과 접촉하지 않는 제2 금속의 부분들을 둘러싸는 제1 금속 산화물층을 포함한다. 구조체는 제2 금속의 상단 표면 상에 배치된 제2 금속 산화물을 더 포함한다.
개시의 요약이 아닌, 상세한 상세한 설명 섹션은 청구 범위를 해석하는 데 사용되는 것으로 이해되어야 한다. 이하의 개시의 요약 섹션은 고려되는 모든 예시적인 실시예가 아닌 하나 이상의 실시예를 제시할 수 있으며, 따라서 첨부된 청구 범위로 제한하려는 의도가 아니다.
전술한 내용은 당업자가 본 개시의 양태를 보다 잘 이해할 수 있도록 몇몇 실시예의 피처부를 개략적으로 설명한다. 당업자는 본 개시가 동일한 목적을 수행하고/수행하거나 본 명세서에 도입된 실시예의 동일한 장점을 달성하기 위한 다른 공정 및 구조체를 설계 또는 수정하기 위한 기초로서 본 개시를 용이하게 사용할 수 있음을 이해할 것이다. 당업자는 이러한 등가의 구성이 본 개시의 사상 및 범위를 벗어나지 않으며, 본 개시의 사상 및 범위를 벗어나지 않으면서 본 명세서에서 다양한 변경, 대체 및 변경을 행할 수 있음을 인식해야 한다.
[실시예 1]
방법에 있어서,
기판 상에 배치된 코발트 접촉부 상에 에칭 정지층을 성막하는 단계;
상기 에칭 정지층 상에 유전체층을 성막하는 단계;
상기 코발트 접촉부의 상단 표면을 노출시키는 개구부를 형성하도록 상기 유전체층 및 상기 에칭 정지층을 에칭하는 단계;
상기 코발트 접촉부 내에 리세스를 형성하도록, 상기 코발트 접촉부의 노출된 상단 표면을 에칭하는 단계 - 상기 리세스는 상기 에칭 정지층 아래에서 측 방향으로 연장됨 - ;
상기 리세스 및 상기 개구부를 실질적으로 충전하도록, 루테늄 금속을 성막하는 단계; 및
상기 루테늄 금속과 상기 유전체층 사이에 산화물층을 형성하도록, 상기 루테늄 금속을 어닐링하는 단계
를 포함하는, 방법.
[실시예 2]
실시예 1에 있어서,
상기 루테늄 금속과 상기 유전체층의 표면들을 실질적으로 동일 평면에 위치시키도록(coplanarize) 상기 루테늄 금속을 평탄화하는 단계; 및
상기 평탄화된 루테늄 금속 상에 금속 산화물을 성막하는 단계
를 더 포함하는, 방법.
[실시예 3]
실시예 1에 있어서, 상기 루테늄 금속을 어닐링하는 단계는 비-산화성 분위기에서 상기 루테늄 금속을 어닐링하는 단계를 포함하는 것인, 방법.
[실시예 4]
실시예 1에 있어서, 상기 루테늄 금속을 어닐링하는 단계는, 질소(N2), 아르곤(Ar), 헬륨(He), 수소(H2), 성형 가스, 또는 이들의 조합으로 상기 루테늄 금속을 어닐링하는 단계를 포함하는 것인, 방법.
[실시예 5]
실시예 1에 있어서, 상기 루테늄 금속을 어닐링하는 단계는, 약 200℃ 내지 약 360℃의 어닐링 온도에서 비-산화성 분위기에서 어닐링 공정을 수행하는 단계를 포함하는 것인, 방법.
[실시예 6]
실시예 1에 있어서, 상기 루테늄 금속을 어닐링하는 단계는 약 1분 내지 10분의 지속 시간으로 어닐링 공정을 수행하는 단계를 포함하는 것인, 방법.
[실시예 7]
실시예 1에 있어서, 상기 루테늄 금속을 성막하는 단계는, 상기 코발트 접촉부와 물리적으로 접촉하는 상기 루테늄 금속을 성막하는 단계를 포함하는 것인, 방법.
[실시예 8]
실시예 1에 있어서, 상기 루테늄 금속을 성막하는 단계는, 상기 에칭 정지층 및 상기 유전체층과 물리적으로 접촉하는 상기 루테늄 금속을 성막하는 단계를 포함하는 것인, 방법.
[실시예 9]
실시예 1에 있어서, 상기 코발트 접촉부의 노출된 상단 표면을 에칭하는 단계는 수용액을 포함하는 습식 에칭 케미스트리로 상기 코발트 접촉부를 에칭하는 단계를 포함하는 것인, 방법.
[실시예 10]
방법에 있어서,
기판 상에 도전성 구조체를 형성하는 단계 - 상기 도전성 구조체는 제1 금속을 포함함 -; 및
상기 도전성 구조체 상에 라이너-프리(liner-free) 도전성 구조체를 형성하는 단계 - 상기 라이너-프리 도전성 구조체는 상기 제1 금속과 다른 제2 금속을 포함함 -;
를 포함하고,
상기 라이너-프리 도전성 구조체를 형성하는 단계는,
상기 도전성 구조체 상에 에칭 정지층을 성막하는 단계;
상기 에칭 정지층 상에 유전체층을 성막하는 단계;
상기 유전체층 및 상기 에칭 정지층에 상기 제1 금속을 노출시키는 비아 개구부를 형성하도록 제1 에칭을 수행하는 단계;
상기 도전성 구조체 내에 리세스를 형성하도록 상기 비아 개구부를 통해 제2 에칭을 수행하는 단계;
상기 리세스 및 상기 비아 개구부 모두를 실질적으로 충전하도록, 상기 제2 금속을 성막하는 단계 - 상기 제2 금속은 상기 제1 금속, 상기 에칭 정지층 및 상기 유전체층과 물리적으로 접촉하여 성막됨 -; 및
상기 제2 금속의 저항을 낮추도록 상기 제2 금속을 어닐링하는 단계
를 포함하는 것인, 방법.
[실시예 11]
실시예 10에 있어서, 상기 도전성 구조체를 형성하는 단계는 코발트로 상기 도전성 구조체를 형성하는 단계를 포함하는 것인, 방법.
[실시예 12]
실시예 10에 있어서, 상기 제2 금속을 성막하는 단계는 루테늄 금속을 성막하는 단계를 포함하는 것인, 방법.
[실시예 13]
실시예 10에 있어서, 상기 제1 에칭을 수행하는 단계는 건식 에칭 공정으로 에칭하는 단계를 포함하고, 상기 제2 에칭을 수행하는 단계는 습식 에칭 공정으로 에칭하는 단계를 포함하는 것인, 방법.
[실시예 14]
실시예 10에 있어서, 상기 제2 금속을 어닐링하는 단계는, 약 5분의 지속 시간 동안 약 200℃ 내지 360℃에서 상기 제2 금속을 어닐링하는 단계를 포함하는 것인, 방법.
[실시예 15]
실시예 10에 있어서, 상기 제2 금속을 어닐링하는 단계는, 상기 제1 금속과 상기 유전체층 사이의 상기 라이너-프리 도전성 구조체의 측벽 표면들 상에 금속 산화물을 성장시키는 단계를 포함하는 것인, 방법.
[실시예 16]
실시예 10에 있어서, 상기 제2 금속을 어닐링하는 단계는, 상기 제1 금속과 상기 유전체층 사이의 상기 라이너-프리 도전성 구조체의 측벽 표면들 상에 루테늄 산화물을 성장시키는 단계를 포함하는 것인, 방법.
[실시예 17]
실시예 10에 있어서, 상기 제2 금속을 어닐링하는 단계는, 상기 제2 금속에서 다수의 결정립계를 감소시키는 단계를 포함하는 것인, 방법.
[실시예 18]
구조체에 있어서,
기판 상에 배치된, 제1 금속을 포함하는 접촉부;
상기 접촉부 내에 형성된 라이너-프리 비아 - 상기 라이너-프리 비아는,
상기 제1 금속과 물리적으로 접촉하는 제2 금속; 및
상기 제1 금속과 접촉하지 않는 상기 제2 금속의 부분들을 둘러싸는 제1 금속 산화물층
을 포함함 -; 및
상기 제2 금속의 상단 표면 상에 배치된 제2 금속 산화물
을 포함하는, 구조체.
[실시예 19]
실시예 18에 있어서, 상기 제1 금속은 코발트를 포함하고, 상기 제2 금속은 루테늄을 포함하는 것인, 구조체.
[실시예 20]
실시예 18에 있어서, 상기 제1 금속 산화물은 루테늄 산화물을 포함하고, 상기 제2 금속 산화물은 알루미늄 산화물을 포함하는 것인, 구조체.

Claims (10)

  1. 방법에 있어서,
    기판 상에 배치된 코발트 접촉부 상에 에칭 정지층을 성막하는 단계;
    상기 에칭 정지층 상에 유전체층을 성막하는 단계;
    상기 코발트 접촉부의 상단 표면을 노출시키는 개구부를 형성하도록 상기 유전체층 및 상기 에칭 정지층을 에칭하는 단계;
    상기 코발트 접촉부 내에 리세스를 형성하도록, 상기 코발트 접촉부의 노출된 상단 표면을 에칭하는 단계 - 상기 리세스는 상기 에칭 정지층 아래에서 측 방향으로 연장됨 - ;
    상기 리세스 및 상기 개구부를 충전하도록, 루테늄 금속을 성막하는 단계; 및
    상기 루테늄 금속과 상기 유전체층 사이의 계면을 따라 상기 유전체층과 물리적으로 접촉하는 상기 루테늄 금속의 일부를 루테늄 산화물층으로 변환하도록, 그리고 상기 루테늄 금속 내의 결정립계들(grain boundaries)을 따라 코발트 농도를 6원자 퍼센트 미만으로 제한하도록, 상기 루테늄 금속을 어닐링하는 단계 - 상기 루테늄 산화물층은 상기 루테늄 금속 및 상기 유전체층과 직접적으로 접촉함 -
    를 포함하며,
    상기 루테늄 금속을 어닐링하는 단계는 1분 내지 10분의 지속 시간으로 어닐링 공정을 수행하는 단계를 포함하는 것인, 방법.
  2. 제1항에 있어서,
    상기 루테늄 금속과 상기 유전체층의 표면들을 동일 평면에 위치시키도록(coplanarize) 상기 루테늄 금속을 평탄화하는 단계; 및
    상기 평탄화된 루테늄 금속 상에 금속 산화물을 성막하는 단계
    를 더 포함하는, 방법.
  3. 제1항에 있어서, 상기 루테늄 금속을 어닐링하는 단계는 비-산화성 분위기에서 상기 루테늄 금속을 어닐링하는 단계를 포함하는 것인, 방법.
  4. 제1항에 있어서, 상기 루테늄 금속을 어닐링하는 단계는, 질소(N2), 아르곤(Ar), 헬륨(He), 수소(H2), 성형 가스, 또는 이들의 조합으로 상기 루테늄 금속을 어닐링하는 단계를 포함하는 것인, 방법.
  5. 제1항에 있어서, 상기 루테늄 금속을 어닐링하는 단계는, 200℃ 내지 360℃의 어닐링 온도에서 비-산화성 분위기에서 어닐링 공정을 수행하는 단계를 포함하는 것인, 방법.
  6. 제1항에 있어서, 상기 루테늄 금속을 성막하는 단계는, 상기 코발트 접촉부와 물리적으로 접촉하는 상기 루테늄 금속을 성막하는 단계를 포함하는 것인, 방법.
  7. 제1항에 있어서, 상기 루테늄 금속을 성막하는 단계는, 상기 에칭 정지층 및 상기 유전체층과 물리적으로 접촉하는 상기 루테늄 금속을 성막하는 단계를 포함하는 것인, 방법.
  8. 제1항에 있어서, 상기 코발트 접촉부의 노출된 상단 표면을 에칭하는 단계는 수용액을 포함하는 습식 에칭 케미스트리로 상기 코발트 접촉부를 에칭하는 단계를 포함하는 것인, 방법.
  9. 방법에 있어서,
    기판 상에 제1 도전성 구조체를 형성하는 단계 - 상기 제1 도전성 구조체는 제1 비합금(non-alloy) 금속을 포함함 -; 및
    상기 제1 도전성 구조체 상에 제2 도전성 구조체를 형성하는 단계 - 상기 제2 도전성 구조체는 상기 제1 비합금 금속과 다른 제2 비합금 금속을 포함함 -;
    를 포함하고,
    상기 제2 도전성 구조체를 형성하는 단계는,
    상기 제1 도전성 구조체 상에 에칭 정지층을 성막하는 단계;
    상기 에칭 정지층 상에 유전체층을 성막하는 단계;
    상기 유전체층 및 상기 에칭 정지층에 상기 제1 비합금 금속을 노출시키는 비아 개구부를 형성하도록 제1 에칭을 수행하는 단계;
    상기 제1 도전성 구조체 내에 리세스를 형성하도록 상기 비아 개구부를 통해 제2 에칭을 수행하는 단계;
    상기 리세스 및 상기 비아 개구부 모두를 충전하도록, 상기 제2 비합금 금속을 성막하는 단계 - 상기 제2 비합금 금속은 상기 제1 비합금 금속, 상기 에칭 정지층 및 상기 유전체층과 물리적으로 접촉하여 성막됨 -; 및
    상기 제2 비합금 금속과 상기 유전체층 사이의 계면을 따라 상기 제2 비합금 금속의 일부를 비합금 금속의 산화물로 변환하고 상기 제2 비합금 금속의 저항을 낮추도록, 그리고 상기 제2 비합금 금속 내의 결정립계들을 따라 상기 제1 비합금 금속으로부터 확산된 코발트 농도를 6원자 퍼센트 미만으로 제한하도록, 상기 제2 비합금 금속을 어닐링하는 단계 - 상기 비합금 금속의 산화물은 상기 제2 비합금 금속 및 상기 유전체층과 직접적으로 접촉함 -
    를 포함하며,
    상기 제2 비합금 금속을 어닐링하는 단계는 1분 내지 10분의 지속 시간으로 어닐링 공정을 수행하는 단계를 포함하는 것인, 방법.
  10. 제9항에 있어서, 상기 제2 비합금 금속을 성막하는 단계는 루테늄 금속을 성막하는 단계를 포함하는 것인, 방법.
KR1020240013481A 2020-01-29 2024-01-29 앵커 포인트를 갖는 라이너-프리 도전성 구조체 KR20240018550A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202062967278P 2020-01-29 2020-01-29
US62/967,278 2020-01-29
US16/936,335 US11929327B2 (en) 2020-01-29 2020-07-22 Liner-free conductive structures with anchor points
US16/936,335 2020-07-22
KR1020200138237A KR20210097607A (ko) 2020-01-29 2020-10-23 앵커 포인트를 갖는 라이너-프리 도전성 구조체

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020200138237A Division KR20210097607A (ko) 2020-01-29 2020-10-23 앵커 포인트를 갖는 라이너-프리 도전성 구조체

Publications (1)

Publication Number Publication Date
KR20240018550A true KR20240018550A (ko) 2024-02-13

Family

ID=76753633

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020240013481A KR20240018550A (ko) 2020-01-29 2024-01-29 앵커 포인트를 갖는 라이너-프리 도전성 구조체

Country Status (3)

Country Link
US (2) US11837544B2 (ko)
KR (1) KR20240018550A (ko)
DE (1) DE102020119831A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11929327B2 (en) 2020-01-29 2024-03-12 Taiwan Semiconductor Manufacturing Co., Inc. Liner-free conductive structures with anchor points

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5930669A (en) 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6037257A (en) 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US6249055B1 (en) 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6806192B2 (en) 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US7651943B2 (en) 2008-02-18 2010-01-26 Taiwan Semicondcutor Manufacturing Company, Ltd. Forming diffusion barriers by annealing copper alloy layers
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9659857B2 (en) * 2013-12-13 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9543248B2 (en) * 2015-01-21 2017-01-10 Qualcomm Incorporated Integrated circuit devices and methods
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10629480B2 (en) 2017-11-27 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10475702B2 (en) * 2018-03-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure using bottom-up filling deposition
US10290535B1 (en) 2018-03-22 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication with a passivation agent
US11929327B2 (en) 2020-01-29 2024-03-12 Taiwan Semiconductor Manufacturing Co., Inc. Liner-free conductive structures with anchor points

Also Published As

Publication number Publication date
DE102020119831A1 (de) 2021-07-29
US20230387017A1 (en) 2023-11-30
US20230016100A1 (en) 2023-01-19
US11837544B2 (en) 2023-12-05

Similar Documents

Publication Publication Date Title
TWI761814B (zh) 積體電路裝置及其製造方法
US9159610B2 (en) Hybrid manganese and manganese nitride barriers for back-end-of-line metallization and methods for fabricating the same
CN117423678A (zh) 纳米尺度的半导体器件的气隙间隔物构造
US9553189B2 (en) Self-aligned silicide formation on source/drain through contact via
US9576897B2 (en) Semiconductor interconnect device
KR20240018550A (ko) 앵커 포인트를 갖는 라이너-프리 도전성 구조체
US6650017B1 (en) Electrical wiring of semiconductor device enabling increase in electromigration (EM) lifetime
JP5141761B2 (ja) 半導体装置及びその製造方法
TW202114066A (zh) 半導體裝置及其形成方法
US11901229B2 (en) Barrier-free approach for forming contact plugs
US20220367662A1 (en) Liner-free conductive structures
KR20210097607A (ko) 앵커 포인트를 갖는 라이너-프리 도전성 구조체
JP2010232676A (ja) 信頼性向上のためのケイ化銅パッシベーション
US9853025B1 (en) Thin film metallic resistors formed by surface treatment of insulating layer
JP4173393B2 (ja) 半導体装置の製造方法
KR100558037B1 (ko) 실리콘나노와이어를 이용한 반도체 소자의 콘택 형성 방법
JP3998937B2 (ja) 銅金属化プロセスにおけるTaCNバリア層の製造方法
US20230386915A1 (en) Method for forming a contact plug by bottom-up metal growth
US20240136227A1 (en) Barrier-Free Approach for Forming Contact Plugs
US20230420297A1 (en) Method for forming a contact plug with improved contact metal sealing
US20230008496A1 (en) Contact structure for semiconductor device
TW202327005A (zh) 半導體結構
TW202129980A (zh) 互連結構
KR100571386B1 (ko) 반도체 소자의 구리 배선 및 그의 제조 방법
CN114975239A (zh) 半导体装置

Legal Events

Date Code Title Description
A107 Divisional application of patent