KR20230060463A - 성막 방법 및 성막 시스템 - Google Patents

성막 방법 및 성막 시스템 Download PDF

Info

Publication number
KR20230060463A
KR20230060463A KR1020220137179A KR20220137179A KR20230060463A KR 20230060463 A KR20230060463 A KR 20230060463A KR 1020220137179 A KR1020220137179 A KR 1020220137179A KR 20220137179 A KR20220137179 A KR 20220137179A KR 20230060463 A KR20230060463 A KR 20230060463A
Authority
KR
South Korea
Prior art keywords
silicon
film
containing film
gas
concave portion
Prior art date
Application number
KR1020220137179A
Other languages
English (en)
Inventor
이사오 군지
마사히로 오카
미노루 혼다
다카시 고바야시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2022150161A external-priority patent/JP2023065305A/ja
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20230060463A publication Critical patent/KR20230060463A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은, 기판에 형성된 오목부에의 실리콘 함유막의 매립 성능을 높인다. (a) 처리 용기 내에 오목부를 갖는 기판을 준비하는 공정과, (b) 실리콘을 포함하는 가스를 플라스마에 의해 활성화시켜서 기판에 공급하여, 실리콘 함유막을 상기 기판에 형성하는 공정과, (c) 상기 실리콘 함유막이 상기 오목부의 개구부를 폐색한 후, 상기 실리콘 함유막을 부분적으로 개질하는 공정과, (d) 개질한 상기 실리콘 함유막을 선택적으로 에칭하는 공정을 포함하는 성막 방법이 제공된다.

Description

성막 방법 및 성막 시스템{FILM FORMING METHOD AND FILM FORMING SYSTEM}
본 개시는, 성막 방법 및 성막 시스템에 관한 것이다.
반도체 디바이스의 미세화에 수반하여, 고애스펙트비를 갖는 오목부에의 보이드나 심의 발생이 없는 고품질의 막의 매립이 요구되고 있다.
예를 들어, 특허문헌 1은, 실리콘 함유 가스 및 산소 함유 가스를 공급함으로써, 기판 상에 산화실리콘막을 성막하는 공정과, 불산 가스 및 암모니아 가스를 공급함으로써, 산화실리콘막을 에칭하는 에칭 공정을 포함하고, 성막 공정과 에칭 공정이 교대로 반복되는 성막 방법이 개시된다.
예를 들어, 특허문헌 2는, 제1 공정에서 기판과 배선에 대하여 절연막을 퇴적시키기 위한 성막 처리와, Ar 및 이온에 의해 스퍼터 에칭하는 에칭 처리를 동시에 행하여, 배선간에 보이드가 형성된 후, 제2 공정에서 배선 상부의 절연막과 배선간의 절연막을 선택적으로 에칭하여, 배선 상부의 절연막을 평탄상으로 함과 함께 배선간에 개구를 형성하고, 제1 공정과 제2 공정을 반복하는 성막 방법이 개시된다.
일본 특허 공개 제2012-199306호 공보 일본 특허 공개 제2003-37103호 공보 일본 특허 공개 제2007-180418호 공보
본 개시는, 기판에 형성된 오목부에의 실리콘 함유막의 매립 성능을 높일 수 있는 기술을 제공한다.
본 개시의 일 양태에 의하면, (a) 처리 용기 내에 오목부를 갖는 기판을 준비하는 공정과, (b) 실리콘을 포함하는 가스를 플라스마에 의해 활성화시켜서 기판에 공급하여, 실리콘 함유막을 상기 기판에 형성하는 공정과, (c) 상기 실리콘 함유막이 상기 오목부의 개구부를 폐색한 후, 상기 실리콘 함유막을 부분적으로 개질하는 공정과, (d) 개질한 상기 실리콘 함유막을 선택적으로 에칭하는 공정을 포함하는 성막 방법이 제공된다.
일 측면에 의하면, 기판에 형성된 오목부에의 실리콘 함유막의 매립 성능을 높일 수 있다.
도 1은 실시 형태에 관한 성막 방법(ST)을 나타내는 흐름도이다.
도 2는 실시 형태에 관한 성막 방법의 설명도이다.
도 3은 실시 형태에 관한 성막 시간과 막 두께의 관계를 도시하는 도면이다.
도 4는 실시 형태에 관한 성막 시간과 압력의 관계를 도시하는 도면이다.
도 5는 실시 형태에 관한 성막 시간과 가스 유량비의 관계를 도시하는 도면이다.
도 6은 실시 형태에 관한 성막 시스템의 구성예를 도시하는 도면이다.
도 7은 실시 형태에 관한 성막 장치의 구성예를 도시하는 도면이다.
도 8은 실시 형태에 관한 다른 처리 장치의 구성예를 도시하는 도면이다.
이하, 도면을 참조하여 본 개시를 실시하기 위한 형태에 대해서 설명한다. 각 도면에 있어서, 동일 구성 부분에는 동일 부호를 붙이고, 중복된 설명을 생략하는 경우가 있다.
[성막 방법(ST)]
반도체 제조 프로세스의 공정에서 반도체 디바이스의 미세화에 수반하여, 고애스펙트비를 갖는 오목부에의 보이드나 심의 발생이 없는 고품질의 막의 매립이 요구되고 있다. 종래 기술의 Atomic Layer Deposition(ALD)에서는, 저스루풋, 심이나 보이드의 발생, 후공정에서의 오목부의 형상 변형, 막의 전기 특성 열화 등의 과제를 들 수 있다.
또한, 종래 기술의 High-Density Plasma Chemical Vapor Deposition(HDP(고밀도 플라스마) CVD)에서는, CD(Critical Dimension)의 축소화, 고애스펙트비에 의한 오목부에의 막의 매립 성능의 열화, 이온 주입에 의한 기판 구조의 변형, 막질의 열화 등의 과제를 들 수 있다.
또한, 종래 기술의 Flowable Chemical Vapor Deposition(FCVD)에서는, 유동성 막의 성막 공정과 큐어·트리트먼트 공정의 복잡화, 성막의 깊이 방향의 막질 경사에 의한 전기 특성의 열화 등의 과제를 들 수 있다.
본 개시에서는, 이상의 과제를 해결하여, 기판에 형성된 오목부에의 실리콘 함유막의 매립 성능을 높일 수 있는 성막 방법을 제안한다.
도 1은, 실시 형태에 관한 성막 방법(ST)을 나타내는 흐름도이다. 도 2는, 실시 형태에 관한 성막 방법(ST)의 설명도이다. 본 개시의 성막 방법(ST)은, 웨이퍼(반도체 웨이퍼)를 일례로 하는 기판(W)에 형성된 오목부에 실리콘 함유막을 성막한다.
(기판의 준비 공정 S1)
먼저, 도 1의 기판의 준비 공정 S1에서, 처리 장치의 처리 용기 내에 기판(W)을 제공하는 공정을 실행한다. 도 2의 (a)는 오목부(114)를 갖는 기판(W)의 일례를 나타낸다. 기판(W)은, 실리콘 기판(110) 상에 복수의 오목부(114)를 갖는다. 기판(W)의 오목부(114)는 트렌치 구조를 갖고, 상면(112), 저면(116), 측면(118)을 갖는다. 오목부(114)는, 홀이어도 되고, 라인이어도 된다.
(성막 공정 S3)
이어서, 도 1의 성막 공정 S3에서, 기판(W)의 오목부(114)에 실리콘 함유막을 퇴적한다. 본 개시에서는, CVD법에 의해 실리콘 함유막을 형성한다. 구체적으로는, 실리콘을 포함하는 가스를 플라스마에 의해 활성화시켜서 기판(W)에 공급하여, 실리콘 함유막을 기판(W)에 형성한다.
여기에서는, 실리콘 함유막의 일례로서 실리콘 질화막(SiN)을 퇴적시킨다. 이 경우, 실리콘 함유 가스와 질소 함유 가스를 포함하는 처리 가스를 처리 장치의 처리 용기 내에 공급해서 플라스마를 생성하고, 그 플라스마로 활성화된 가스종을 화학적으로 반응시켜서 실리콘 질화막을 형성한다. 실리콘 함유 가스는 실란(SiH4) 가스, 질소 함유 가스는 암모니아(NH3) 가스이면 된다. 단, 실리콘 함유 가스 및 질소 함유 가스의 종류는 그에 한하지 않는다. 예를 들어 질소 함유 가스는 질소(N2) 가스이어도 된다. 성막 공정 S3에서는, 오목부(114)의 상면(112) 근방, 및 오목부(114)의 저면(116)에 우선적으로 실리콘 질화막을 형성시키는 조건에서 성막을 행하고, 오목부(114)의 상면(112) 근방에 성막된 실리콘 질화막으로 오목부(114)의 개구부를 폐색시킨다. 이하에 성막 공정 S3의 프로세스 조건을 나타낸다.
<성막 공정 S3의 프로세스 조건>
성막 가스 SiH4, N2 및 Ar
압력 5Pa 내지 50Pa
플라스마 전력 500W 내지 4500W
SiH4 가스, NH3 가스 및 Ar 가스는 처리 용기 내에 공급되어, 플라스마에 의해 활성화시켜서 기판(W)에 공급하고, 이에 의해, 실리콘 질화막을 기판(W)에 형성한다.
도 2의 (b)는, 실리콘 질화막(120)이, 오목부(114)의 저면(116) 및 상면(112)에 퇴적되어, 오목부(114)의 개구부가 실리콘 질화막(120)에 의해 폐색되어 있는 상태를 나타낸다. 성막 공정 S3에서는, 오목부의 상면(112)과 저면(116)에 우선적으로 실리콘 질화막(120)을 형성하는 프로세스 조건에서 성막하고, 측면(118)에는 가능한 한 실리콘 질화막(120)을 성막시키지 않는다. 즉, 성막 공정 S3에서는 컨포멀하게 막을 성막하지 않는다. 이러한 프로세스 조건으로서, 예를 들어 처리 용기 내의 압력을 높게 한다. 또한, 예를 들어 처리 용기 내에 공급하는 SiH4 가스, NH3 가스, 및 Ar 가스 등의 혼합 가스의 전체 유량에 대한 SiH4 가스, 및/또는, NH3 가스의 유량을 높게(크게) 한다.
도 2의 (b)는, 실리콘 질화막(120)이 오목부(114)의 저면(116) 및 상면(112)에 퇴적되고, 상면(112)에 퇴적된 실리콘 질화막(120)이 머시룸 형상으로 되어, 인접하는 실리콘 질화막(120)과 접촉해서 오목부(114)의 개구부를 폐색하고 있는 상태를 나타낸다. 오목부(114)의 개구부가 폐색될 때까지 우선적으로 실리콘 질화막(120)을 형성하면, 오목부(114)의 저면(116)에도 실리콘 질화막(120)이 형성되고, 그 상부에는 공극(115)이 형성된다.
(산화 공정 S5(개질 공정))
이어서, 도 1의 산화 공정 S5에서, 오목부(114)의 개구부를 폐색하고 있는 실리콘 질화막(120)을 포함하는 실리콘 질화막(120)을 부분적으로 산화한다. 산화 공정 S5는, 실리콘 질화막(120)을 부분적으로 개질하는 공정의 일례이다.
산화 공정 S5는, 실리콘 질화막(120)을 부분적으로 산화시켜도 된다. 이하에, 실리콘 질화막(120)을 산화시킬 때의 산화 공정 S5의 프로세스 조건을 나타낸다. 산화 공정 S5는 다음에 나타내는 바와 같이 플라스마를 사용해도 되고, 플라스마를 사용하지 않아도 된다.
<산화 공정 S5의 프로세스 조건>
산화 가스 O3, 또는 O2, 또는 아산화질소(N2O)
플라스마의 유무 O3의 경우, O3 가스를 기판에 폭로(플라스마를 사용하지 않음)
O2, 또는 N2O의 경우, 플라스마에 의해 활성화(플라스마를 사용함)
적재대(기판) 온도 200℃ 내지 500℃
압력 5Pa 내지 400Pa
플라스마 전력 500W 내지 4500W(플라스마를 사용하는 경우)
이에 의해, 상면(112)에 퇴적된 실리콘 질화막(120)이 부분적으로 산화되어 실리콘 산화(SiOx)막(121)으로 된다. 실리콘 질화막(120) 중 적어도 오목부(114)의 개구부를 폐색하고 있는 부분이 산화될 때까지 본 공정은 계속된다. 도 2의 (c)는, 실리콘 질화막(120)이 부분적으로 산화되어 실리콘 산화막(121)으로 개질(변질)된 상태를 나타낸다. 오목부(114)의 개구부를 폐색하고 있는 실리콘 질화막(120)이 부분적으로 산화되어 실리콘 산화막(121)으로 개질되어 있다. 상면(112) 근방에 형성된 실리콘 질화막은, 막 두께가 두꺼워지기 때문에, 산화 공정 S5는, 예를 들어 압력이 높고, 산화 가스의 유량이 큰 조건이 적합하다. 또한, 예를 들어 플라스마를 사용하는 경우는, 압력이 높고, 플라스마 전력이 큰 조건이 적합하다. 또한, 처리 온도는, 성막 공정 S3 이상의 온도가 적합하다.
산화 부분은 상면(112) 근방(예를 들어, 상면(112)보다도 상층)의 실리콘 질화막(120)이며, 오목부(114)의 저부에 형성된 실리콘 질화막(120)은 오목부(114)의 개구부가 폐색되어 있으므로, 산화 공정 S5에 의한 산화를 회피할 수 있다. 따라서, 본 공정에 의하면, 오목부(114)의 저부의 실리콘 질화막(120)을 보호하면서(개질하지 않고), 오목부(114)의 개구부를 폐색하고 있는 실리콘 질화막(120)을 실리콘 산화막(121)으로 개질할 수 있다. 이와 같이 하여 오목부(114)의 상면(112) 근방의 실리콘 질화막(120)만을 실리콘 산화막(121)으로 개질(산화)시킨다. 이에 의해, 후술하는 에칭 공정 S7에서 오목부(114)의 개구부를 폐색하고 있는 실리콘 산화막(121)을 선택적으로 에칭하기 쉽게 한다.
(에칭 공정 S7(제1 에칭 공정))
이어서, 도 1의 에칭 공정 S7에서, 개질시킨 실리콘 산화막(121)을 선택적으로 에칭한다. 실리콘 질화막(120)과 실리콘 산화막(121)은, 실리콘 산화막(121)이 하기의 프로세스 조건에서 에칭되기 쉽다. 이에 의해, 실리콘 질화막(120)에 대하여 실리콘 산화막(121)을 선택적으로 에칭하여, 실리콘 산화막(121)을 선택적으로 제거할 수 있다. 그 결과, 본 에칭 공정 S7에 의해서도 오목부(114)의 저면(116)의 실리콘 질화막(120)은 제거되지 않는다.
실리콘 산화막(121)을 제거함으로써 다시 오목부(114)의 개구부가 형성된다. 도 2의 (d)는, 실리콘 산화막(121)이 제거되어, 오목부(114)의 개구부가 다시 개구되고, 산화하지 않은 실리콘 질화막(120)이 남아 있는 상태를 나타낸다. 에칭 공정 S7은, 플라스마를 사용하지 않고 실리콘 산화막(121)을 제거한다. 이하에, 에칭 공정 S7의 프로세스 조건을 나타낸다. 이러한 에칭 공정은 특허문헌 3에도 상세가 기술되어 있다.
<에칭 공정 S7의 프로세스 조건>
COR 에칭 가스 NH3 및 불화(HF)수소
COR 공정 적재대(기판) 온도 20℃ 내지 90℃
COR 공정 압력 5Pa 내지 133Pa
이에 의해, 실리콘 질화막(120)에 대하여 실리콘 산화막(121)이 선택적으로 에칭된다. 에칭 공정 S7은, COR(Chemical Oxide Remover) 공정과 PHT(Post Heat Treatment) 공정을 포함한다. COR 공정에서는, 불소를 포함하는 가스와 질소를 포함하는 가스를 기판(W)에 공급하여, 불소를 포함하는 가스와 질소를 포함하는 가스와 실리콘 산화막(121)을 반응시켜서 기화하기 쉬운 물질을 형성한다. PHT 공정에서는, 형성된 기화하기 쉬운 물질을 가열해서 기화시켜 제거한다. 예를 들어 COR 공정 및 PHT 공정에서 NH3 가스 및 HF 가스를 반응 가스로서 사용함으로써, 이하의 화학 반응을 이용해서 실리콘 산화막(121)을 제거한다. 또한, 불소를 포함하는 가스의 일례로서 HF 가스를 들 수 있고, 질소를 포함하는 가스의 일례로서 NH3 가스를 들 수 있지만, 그에 한정되지 않는다.
COR 공정에서는, 다음의 화학 반응식에 나타내는 바와 같이 플라스마를 사용하지 않고 실리콘 산화막(121)을 기화하기 쉬운 물질로 변화시킨다. 플라스마를 사용하지 않음으로써, 오목부(114)에 형성된 실리콘 질화막(120)이 변질되거나, 대미지를 받거나 하는 것을 경감할 수 있다.
<COR 공정의 화학 반응식/기화하기 쉬운 생성물의 형성>
SiO2(Solid)+2NH3(gas)+6HF(gas)→(NH4)2SiF6(Solid, 기화하기 쉬운 생성물)+2H2O(gas)
실리콘 산화막(121)에 대하여 NH3 가스와 HF 가스를 폭로시키면, 기화하기 쉬운 생성물로서 규불화암모늄((NH4)2SiF6)으로 변한다.
PHT 공정에서는, COR 공정에 의해 형성한 규불화암모늄을 다음의 화학 반응식에 나타내는 바와 같이 열처리함으로써 규불화암모늄을 SiF4, HF, NH3로서 기화시켜서 제거한다. 규불화암모늄을 이와 같이 해서 기화시키기 위하여, PHT 공정에서는, 기판을 적재하는 적재대의 온도 또는 기판의 온도를 50℃ 이상으로 제어하는 것이 바람직하다. 혹은, 특허문헌 3에 있는 바와 같이 고온의 가열 가스를 공급함으로써 기화시켜도 된다.
<PHT 공정의 화학 반응식>
(NH4)2SiF6→SiF4↑+2NH3↑+2HF↑
이에 의해, 플라스마를 사용하지 않고, 오목부(114)의 개구부를 폐색하고 있는 실리콘 질화막(120)을 포함하는 상면(112)보다도 상층의 실리콘 산화막(121)을 제거할 수 있다. 이에 의해, 오목부(114)의 개구부가 다시 개구되어, 다음 성막 공정 S3에서 저면(116)으로부터 보텀 업으로 실리콘 질화막(120)을 성막시키는 것이 가능해진다.
(매립 판정 공정 S9)
이어서, 도 1의 매립 판정 공정 S9에서, 오목부(114)가 실리콘 질화막(120)으로 매립되었는지를 판정한다. 오목부(114)가 실리콘 질화막(120)으로 매립되었다고 판정될 때까지 공정 S3 내지 S7에 나타내는 처리를 행한다. 이에 의해, 공정 S3 내지 S7에 포함되는 성막 공정 S3, 산화 공정 S5, 에칭 공정 S7의 처리가 반복된다. 도 2의 (e)는, 공극(115)이 형성되고, 오목부(114)의 실리콘 질화막(120)에 의한 매립이 완료되지 않았다고 판정되어(공정 S9에서 「아니오」), 다음 성막 공정 S3이 실행된 후의 상태를 나타낸다. 에칭 공정 S7에서 오목부(114)의 개구부가 다시 개구되었기 때문에, 다음 성막 공정 S3에서 오목부(114)의 저면으로부터 보텀 업으로 실리콘 질화막(120)이 성막되어 있다.
즉, 성막 공정 S3, 산화 공정 S5, 에칭 공정 S7의 처리는, 오목부(114)에의 실리콘 질화막(120)이 매립될 때까지 이 순으로 반복해서 행하여진다.
또한, 성막 공정 S3에서 실리콘 질화막(120)이 형성된 후, 산화 공정 S5와 에칭 공정 S7을 1회 이상 반복해도 된다. 이에 의해, 오목부(114)의 개구부를 제어성 좋게 개구할 수 있다.
또한, 에칭 공정 S7(제1 에칭 공정) 후에 오목부(114)의 상부 및 측벽부에 잔존하는 실리콘 함유막을 에칭하는 공정(제2 에칭 공정)을 포함해도 된다. 예를 들어, 도 2의 (d)에 나타내는 바와 같이 에칭 공정 S7 후, 오목부(114)의 상부 및 측벽부에 실리콘 질화막(120)이 잔존하는 경우가 있다. 이 상태에서 오목부(114)의 저부에 실리콘 질화막(120)을 형성하는 경우, 오목부(114)의 개구부가 좁아져, 매립성이 악화될 우려가 있다. 그래서, 에칭 공정 S7 후에 오목부(114)의 상부 및 측벽부에 잔존하는 실리콘 질화막(120)을 선택적으로 에칭한다. 구체적으로는, 에칭 공정 S7과 동일하게 COR 공정과, PHT 공정을 사용하여 에칭한다. COR 공정에서는, 실리콘 질화막(120)을 선택적으로 에칭할 수 있도록 압력, 가스의 유량비, 처리 시간 등을 조정한다. 이에 의해, 도 2의 (f)와 같이 오목부(114)의 상부 및 측벽부의 실리콘 질화막(120)을 제거하여, 제어성 좋게 실리콘 질화막(120)을 보텀 업 성막할 수 있다.
또한, 제2 에칭 공정의 COR 공정과 PHT 공정은, 1회 이상 반복해도 된다. 이에 의해, 오목부(114)의 개구부를 제어성 좋게 개구할 수 있다.
에칭 공정 S7 후, 오목부(114)의 개구부가 다시 개구되어, 저면(116)에 형성한 실리콘 질화막(120)이 노출된 상태에서 오목부(114)에 형성한 실리콘 질화막(120)을 플라스마로 활성화시킨 질소를 포함하는 가스에 의해 개질하는 공정(제2 개질)을 또한 행해도 된다. 에칭 공정 S7 후에 오목부(114)의 상부 및 측벽부에 잔존하는 실리콘 질화막(120)을 선택적으로 에칭한 후, 제2 개질을 또한 행해도 된다. 이에 의해, 형성한 실리콘 질화막(120)의 막질을 개선할 수 있다. 또한, 열처리에 의해 막을 고밀도화하는 공정을 또한 행해도 된다. 이에 의해 치밀한 실리콘 질화막(120)으로 되어, 막질이 개선된다.
또한, 도 1의 성막 공정 S3에서, 실리콘 질화막(120)에 의해 복수의 오목부(114)의 개구부 모두가 폐색되었는지를 판정하고, 오목부(114)의 개구부 모두가 폐색되었다고 판정될 때까지 성막 공정 S3을 계속하는 판정 공정을 포함해도 된다.
오목부(114)의 개구부가 폐색되었는지를 판정하는 방법으로서는, 상면(112)에 퇴적된 실리콘 질화막(120)의 단면 형상을 광학적 방법으로 측정해서 판정해도 된다. 상면(112)의 실리콘 질화막(120)이 완전히 폐색되어 있는지, 그렇지 않으면 완전히는 폐색되어 있지 않은지에 따라, 상면(112)에 퇴적된 실리콘 질화막(120)에 조사한 광의 반사 상태가 바뀐다. 광의 반사 상태의 어느 변화 점으로 실리콘 질화막(120)에 의한 개구부의 폐색 유무를 판정해도 된다. 단, 판정 방법은 이에 한정하지 않고, 다른 방법을 사용할 수 있다. 예를 들어 상면(112)에 퇴적된 실리콘 질화막(120)이 폐색될 때까지의 시간을 성막 제어 시간으로서 미리 측정해서 기억부에 기억해 둔다. 성막 공정 S3을 개시하고 나서 성막 제어 시간이 경과하면 다음 산화 공정 S5를 개시해도 된다.
또한, 도 1의 산화 공정 S5에서, 오목부(114)의 개구부를 폐색하고 있는 실리콘 질화막(120)을 산화했는지를 판정하는 판정 공정을 포함해도 된다. 오목부(114)의 개구부를 폐색하고 있는 실리콘 질화막(120)이 실리콘 산화막(121)으로 개질되었다고 판정될 때까지, 산화 공정 S5가 실행된다. 이에 의해, 산화 공정 S5에서는, 오목부(114)의 개구부를 폐색하고 있는 실리콘 질화막(120)이 실리콘 산화막(121)으로 산화될 때까지 행하여진다.
오목부(114)의 개구부를 폐색하고 있는 실리콘 질화막(120)이 실리콘 산화막(121)으로 개질되었는지를 판정하는 방법으로서는, 상술한 실리콘 질화막(120)의 단면 형상을 광학적 방법으로 측정해서 판정해도 된다. 오목부(114)의 개구부를 폐색하고 있는 실리콘 질화막(120)이 산화하고 있는지, 그렇지 않으면 완전히 산화하지 않고 실리콘 질화막(120)의 부분이 있는지에 따라, 조사한 광의 반사 상태가 바뀐다. 광의 반사 상태의 어느 변화 점으로 오목부(114)의 개구부를 폐색하고 있는 실리콘 질화막(120)이 실리콘 산화막(121)으로 개질되었다고 판정해도 된다. 단, 이 판정 방법에 한하지 않고, 다른 방법을 사용할 수 있다. 예를 들어 오목부(114)의 개구부를 폐색하고 있는 실리콘 질화막(120)이 실리콘 산화막(121)으로 개질될 때까지의 시간을 개질 제어 시간으로서 미리 측정하여 기억부에 기억해 둔다. 산화 공정 S5를 개시하고 나서 개질 제어 시간이 경과하면 다음 에칭 공정 S7을 개시해도 된다. 개질 제어 시간은, 적재대(기판)의 온도에 따라 바뀐다. 따라서, 적재대(기판)의 제어 온도에 따른 개질 제어 시간을 미리 측정해서 기억부에 기억해도 된다.
또한, 매립 판정 공정 S9에서, 오목부(114)의 실리콘 질화막(120)에 의한 매립이 완료되었는지를 판정하는 판정 공정을 포함해도 된다. 판정 방법으로서는, 오목부(114)가 실리콘 질화막(120)으로 매립될 때까지의 시간을 미리 측정해서 기억하여, 그 시간을 매립 판정 시간으로서 사용해도 된다. 또한, 오목부(114)의 실리콘 질화막(120)의 단면을 광학적으로 판정해서 실리콘 질화막(120)에 의한 매립 완료를 나타내는 엔드 포인트를 검출해도 되고, 그 밖의 방법이어도 된다.
[효과]
이상으로 설명한 성막 방법(ST)의 특징과 효과는 이하이다.
<특징>
1. 성막 공정 시에 오목부(114)의 개구부가 폐색되도록 실리콘 질화막을 성막한다.
2. 폐색되어 있는 실리콘 질화막을 포함하는 상면보다도 상층을 선택적으로 산화한다.
3. 산화된 실리콘 산화막을 실리콘 질화막에 대하여 선택적으로 에칭한다.
4. 1. 내지 3.의 공정(성막 공정 S3, 산화 공정 S5, 에칭 공정 S7)을 트렌치 구조(오목부)가 매립될 때까지 반복한다.
이에 의해, 고애스펙트비를 갖는 오목부에 대하여, 심이나 보이드의 발생을 억제해서 고품질의 실리콘 질화막(120)의 매립을 행할 수 있다.
또한, 도 1의 성막 방법(ST)에서는, 실리콘 함유막의 일례로서 실리콘 질화막을 트렌치 구조의 오목부(114)에 매립하는 예를 들었지만, 실리콘 함유막은, 실리콘과 질소를 포함하는 막이면 된다. 실리콘과 질소를 포함하는 막은, SiN막, SiCN막, SiBN막, SiON막, SiOCN막 중 어느 것의 막이면 된다. 또한, 실리콘 함유막은, Si막이어도 된다. 어느 막의 경우든, 산화 공정 S5에서 산화된다. 예를 들어, 실리콘 함유막이 Si막일 경우, Si막은, 산화 공정 S5에서 산화되어 SiO막으로 된다. 이 경우에도, 에칭 공정 S7에서 Si막에 대하여 SiO막의 선택비를 취할 수 있어, SiO막을 선택적으로 에칭할 수 있다.
실리콘 함유막이 SiON막일 경우, SiON막을 산화시키면 SiO막으로 된다. 또한, SiO막의 일부에 SiON으로서 N이 남는 부분이 있다고 해도, 개질이 충분히 행하여졌으면 막 중의 N 성분은 소량이기 때문에, SiON막에 대하여 SiO막의 선택비를 취할 수 있어, SiO막을 선택적으로 에칭할 수 있다.
[성막 시간과 막 두께]
도 3은, 성막 공정 S3의 시간(성막 시간)과 막 두께의 관계를 도시하는 도면이며, 성막 방법(ST)에 의해 실리콘 질화막을 성막한 실험 결과이다. 도 3의 횡축은 성막 공정 S3의 개시부터의 경과 시간을 「성막 시간(sec)」으로서 나타내고, 종축은 오목부(114)에 성막한 실리콘 질화막(120)의 막 두께를 「Thickness(Å)」로서 나타낸다. ○으로 나타내는 「Top」은 오목부(114)의 상면(112)으로부터 상층에 퇴적된 실리콘 질화막(120)의 가장 두꺼운 부분의 상면(112)으로부터의 두께(막 두께)를 나타낸다. ●으로 나타내는 「Bottom」은 오목부(114)의 저면(116) 상에 퇴적된 실리콘 질화막(120)의 가장 두꺼운 부분의 저면(116)으로부터의 두께(막 두께)를 나타낸다. 본 실험의 프로세스 조건은 이하와 같다.
<프로세스 조건>
성막 가스(유량비) SiH4, NH3 및, Ar(유량비 SiH4:NH3=20:10 내지 20:20)
압력 5Pa 내지 50Pa
온도 200℃ 내지 600℃
전력 1500W 내지 4500W
도 3에 나타내는 실험 결과에 의하면, 성막 시간에 의존하지 않고 「Top」의 막 두께는 「Bottom」의 막 두께보다도 두꺼웠다. 또한, 성막 시간이 길어질수록, 「Top」의 막 두께와 「Bottom」의 막 두께의 차가 커지고, 「Bottom」의 실리콘 질화막에 대한 「Top」의 실리콘 질화막의 성장 속도가 빨라졌다.
[성막 시간과 압력]
도 3에 나타낸 「Top」의 막 두께를 단시간에 두껍게 할 수 있으면, 실리콘 함유막에 의해 오목부(114)의 개구부를 단시간에 폐색할 수 있다. 이에 의해, 성막 공정 S3을 실행하는 처리 장치의 가동률을 높여서, 스루풋을 향상시킬 수 있어, 유리하다.
그래서, 「Top」의 막 두께가 단시간에 두꺼워져, 실리콘 함유막에 의해 오목부(114)의 개구부를 단시간에 폐색할 수 있도록, 압력 및/또는 가스의 유량비의 범위를 최적값으로 제어하는 것이 바람직하다.
도 4는, 실시 형태에 관한 성막 시간과 압력의 관계를 도시하는 도면이다. 도 4의 횡축은 성막 시간을 나타내고, 종축은 성막 공정 S3을 실행하는 처리 장치의 처리 용기 내의 압력을 나타낸다. 성막 시간을 step1, step2, step3으로 나누었을 때, 성막 공정 S3의 초기 공정 step1에서는 미리 설정된 압력(P1)으로 설정하여, 이것을 유지하도록 제어한다. 중기 공정 step2에서는 압력이 압력(P1)보다도 높은 압력(P2)으로 되도록 제어한다. 압력이 압력(P2)에 도달한 후기 공정 step3에서는 압력(P2)으로 유지하도록 제어한다.
이러한 제어에서는, 성막 시간을 복수의 공정으로 나누어서 성막의 초기, 중기, 후기에 맞춰서 압력을 단계적으로 제어한다. 예를 들어 초기 공정 step1에서 저면(116)에의 실리콘 함유막의 성막이 어느 정도 행하여진 후, 중기 공정 step2에서 상면(112)보다 상층의 실리콘 함유막이 빨리 성장하는 압력 조건으로 바꾸어서 목표 압력까지 제어한다. 중기 공정 step2에서 목표 압력까지 제어한 후, 후기 공정 step3에서는 목표 압력을 유지한다. 이렇게 압력을 단계적으로 제어한다. 이에 의해, 오목부(114)의 저면에의 실리콘 함유막의 매립을 촉진시키면서, 실리콘 함유막에 의해 오목부(114)의 개구부를 보다 빠르게 폐색시킬 수 있다. 단, 실리콘 함유막을 형성하는 공정은, 압력이 단계적으로 바뀌도록 제어하는 것에 한하지 않고, 압력이 연속적으로 변하도록 제어해도 된다.
[성막 시간과 가스 유량비]
성막 공정 S3에서는, 실리콘을 포함하는 가스의 유량비를 단계적으로 제어해도 된다. 도 5는, 실시 형태에 관한 성막 시간과 가스 유량비의 관계를 도시하는 도면이다. 도 5의 횡축은 성막 시간을 나타내고, 종축은 성막 공정 S3이 행하여지는 처리 용기 내에 공급하는 가스로서, 예를 들어 SiH4 가스에 대한 NH3 가스(및/또는 N2 가스)의 유량비를 나타낸다. 성막 시간을 step1, step2, step3으로 나누었을 때, 성막 공정 S3의 초기 공정 step1에서는 SiH4 가스에 대한 NH3 가스(및/또는 N2 가스)의 유량비를 미리 설정된 유량비(R1)로 설정하여, 유량비(R1)를 유지하도록 제어한다. 중기 공정 step2에서는 당해 유량비가 유량비(R1)보다도 높은 유량비(R2)로 되도록 제어한다. 가스의 유량비가 유량비(R2)에 도달한 후기 공정 step3에는 유량비(R2)를 유지하도록 제어한다. 이렇게 실리콘을 포함하는 복수의 가스의 유량비를 단계적으로 제어함으로써, 오목부(114)의 실리콘 함유막에 의해 오목부(114)의 개구부를 보다 빠르게 폐색시킬 수 있다. 단, 실리콘 함유막을 형성하는 공정은, 유량비가 단계적으로 바뀌도록 제어하는 것에 한하지 않고, 유량비가 연속적으로 변하도록 제어해도 된다. 또한, 유량비(R1) 및 유량비(R2)는, SiH4 가스에 대한 NH3 가스(및/또는 N2 가스)의 유량비에 한정하는 것은 아니다. 예를 들어, SiH4 가스를 포함하는 전체 혼합 가스(공급하는 모든 가스)에 대한 SiH4 가스의 유량비이어도 된다.
[성막 시스템]
도 6은, 실시 형태에 관한 성막 시스템의 구성예를 도시하는 도면이다. 성막 시스템은, 본 개시의 성막 방법(ST)을 실행하는 처리 장치를 포함한다. 단, 도 6의 성막 시스템의 구성은 일례이며, 다른 구성을 취할 수 있다.
성막 시스템은, 처리 장치(101 내지 104)와, 진공 반송실(200)과, 로드 로크실(301 내지 303)과, 대기 반송실(400)과, 로드 포트(501 내지 504)와, 제어부(600)를 구비한다.
처리 장치(101 내지 104)는, 각각 게이트 밸브(G11 내지 G14)를 통해서 진공 반송실(200)과 접속되어 있다. 처리 장치(101 내지 104) 내는 소정의 진공 분위기로 감압되어, 그 내부에서 기판(W)에 원하는 처리를 실시한다. 처리 장치(101)는, 도 1의 성막 공정 S3을 실행하여, 기판(W)의 오목부에 실리콘 함유막을 형성하도록 구성된 제1 처리 장치의 일례이다. 처리 장치(102)는, 도 1의 산화 공정 S5(개질 공정)를 실행하여, 오목부에 형성된 실리콘 함유막을 부분적으로 산화(개질)하도록 구성된 제2 처리 장치의 일례이다. 처리 장치(103, 104)는, 도 1의 에칭 공정 S7을 실행하여, 산화(개질)한 실리콘 함유막을 선택적으로 에칭하도록 구성된 제3 처리 장치의 일례이다. 예를 들어 처리 장치(103)는 COR 공정을 실행하고, 처리 장치(104)는 PHT 공정을 실행한다. 처리 장치(101)에서 성막 공정 S3 및 산화 공정 S5를 실행해도 된다. 이 경우, 처리 장치(102)는, 처리 장치(101)와 동일한 처리를 행하는 장치이어도 되고, 다른 처리를 행하는 장치이어도 된다. 또한, 처리 장치(101)의 구성예에 대해서는, 도 7을 사용해서 후술한다.
진공 반송실(200) 내는, 소정의 진공 분위기로 감압되어 있다. 진공 반송실(200)에는, 감압 상태에서 기판(W)을 반송 가능한 반송 기구(201)가 마련되어 있다. 반송 기구(201)는, 처리 장치(101 내지 104), 로드 로크실(301 내지 303)에 대하여 기판(W)을 반송한다. 반송 기구(201)는, 예를 들어 2개의 반송 암을 갖는다. 단, 반송 암은 1개이어도 된다.
로드 로크실(301 내지 303)은, 각각 게이트 밸브(G21 내지 G23)를 통해서 진공 반송실(200)과 접속되고, 게이트 밸브(G31 내지 G33)를 통해서 대기 반송실(400)과 접속되어 있다. 로드 로크실(301 내지 303) 내는, 대기 분위기와 진공 분위기를 전환할 수 있도록 되어 있다.
대기 반송실(400) 내는, 대기 분위기로 되어 있어, 예를 들어 청정 공기의 다운 플로가 형성되어 있다. 대기 반송실(400) 내에는, 기판(W)의 얼라인먼트를 행하는 도시하지 않은 얼라이너가 마련되어 있다. 또한, 대기 반송실(400)에는, 반송 기구(402)가 마련되어 있다. 반송 기구(402)는, 예를 들어 1개의 반송 암을 갖는다. 단, 반송 암은 2개 또는 그 이상이어도 된다. 반송 기구(402)는, 로드 로크실(301 내지 303), 후술하는 로드 포트(501 내지 504)의 캐리어(C), 얼라이너에 대하여 기판(W)을 반송한다.
로드 포트(501 내지 504)는, 대기 반송실(400)의 긴 변의 벽면에 마련되어 있다. 로드 포트(501 내지 504)는, 게이트 밸브(G41 내지 G44)를 통해서 기판(W)이 수용된 캐리어(C) 또는 빈 캐리어(C)가 설치된다. 캐리어(C)로서는, 예를 들어 FOUP(Front Opening Unified Pod)을 이용할 수 있다.
제어부(600)는, 성막 시스템의 각 부를 제어한다. 예를 들어, 제어부(600)는, 처리 장치(101 내지 104)의 동작, 반송 기구(201, 402)의 동작, 게이트 밸브(G11 내지 G14, G21 내지 G23, G31 내지 G33, G41 내지 G44)의 개폐, 로드 로크실(301 내지 303) 내의 분위기 전환 등을 실행한다. 제어부(600)는, 예를 들어 컴퓨터이면 된다.
[성막 장치]
도 7은, 실시 형태에 관한 성막 장치(100)의 구성예를 도시하는 도면이다. 성막 장치(100)는, 성막 공정 S3을 실행하여, 감압 상태의 처리 용기(1) 내에서 기판(W)의 오목부에 실리콘 함유막을 형성하는 장치이며, 도 6의 처리 장치(101)의 일례이다. 성막 장치(100)는, 성막 공정 S3에 계속해서 산화 공정 S5를 실행하는 장치이면 된다. 즉, 산화 공정 S5는 도 7의 성막 장치(100)를 구성예로 하는 처리 장치(101)에서 실행해도 되고, 처리 장치(101)와는 다른 처리 장치(102)에서 실행해도 된다.
단, 도 7의 성막 장치(100)의 구성은 일례이며, 성막 장치는, Capacitively Coupled Plasma(CCP), Inductively Coupled Plasma(ICP), Micro Surface Wave Plasma, Electron Cyclotron Resonance Plasma(ECR), Helicon Wave Plasma(HWP)의 어느 타입의 플라스마 처리 장치에도 적용 가능하다. 플라스마를 사용하지 않는 열CVD 장치에도, 성막에 의해 개구부가 폐색되는 프로세스 조건이라면 적용 가능하다. 또한, 성막 장치는, 기판을 1매씩 처리하는 매엽 장치, 복수매의 기판을 일괄 처리하는 뱃치 장치 및 세미 뱃치 장치의 어느 것에도 적용 가능하다.
성막 장치(100)는, 내벽면에 양극 산화 처리가 실시된 알루미늄 등에 의해 대략 원통상으로 형성된 처리 용기(1)를 갖는다. 처리 용기(1)는 접지되어 있다. 처리 용기(1)의 내부에는, 서셉터(2)가 마련되어 있다. 서셉터(2)는, 처리 용기(1)의 중앙 하부에 마련된 대략 원통상의 지지 부재(3)에 의해 지지되어 있다. 서셉터(2)는, 기판(W)을 수평하게 지지하기 위한 적재대(스테이지)이며, 예를 들어 질화알루미늄(AlN) 등의 세라믹스 재료, 또는 알루미늄이나 니켈 합금 등의 금속 재료 등으로 형성되어 있다. 서셉터(2)는, 지지 부재(3)를 통해서 접지되어 있다.
서셉터(2)의 외연부에는, 기판(W)을 가이드하기 위한 가이드 링(4)이 마련된다. 또한, 서셉터(2)에는, 몰리브덴 등의 고융점 금속으로 구성된 히터(5)가 매립된다. 히터(5)에는, 히터 전원(6)이 접속되어 있다. 히터(5)는, 히터 전원(6)으로부터 공급된 전력에 의해, 서셉터(2)에 지지된 기판(W)을 미리 정해진 온도로 가열한다.
처리 용기(1)의 천장벽(1a)에는, 절연 부재(9)를 개재해서 샤워 헤드(10)가 마련되어 있다. 본 실시 형태에서의 샤워 헤드(10)는, 프리믹스 타입의 샤워 헤드이며, 베이스 부재(11)와, 샤워 플레이트(12)를 갖는다. 샤워 플레이트(12)의 외주부는, 베이스 부재(11)에 고정되어 있다.
샤워 플레이트(12)는, 플랜지 형상을 이루고, 샤워 플레이트(12)의 내부에는, 오목부가 형성되어 있다. 즉, 베이스 부재(11)와 샤워 플레이트(12)의 사이에는, 가스 확산 공간(14)이 형성되어 있다. 베이스 부재(11)의 외주부에는 플랜지부(11a)가 형성되어 있고, 베이스 부재(11)는, 플랜지부(11a)를 통해서 절연 부재(9)에 지지되어 있다.
샤워 플레이트(12)에는, 복수의 가스 토출 구멍(15)이 형성되어 있다. 베이스 부재(11)의 대략 중앙 부근에는, 가스 도입 구멍(16)이 형성되어 있다. 가스 도입 구멍(16)은, 배관(30)을 통해서 가스 공급 기구(20)에 접속되어 있다.
가스 공급 기구(20)는, 실리콘 함유 가스의 공급원(21)과, 희가스의 공급원(22)과, 질소 함유 가스의 공급원(23)을 갖는다. 본 실시 형태에 있어서, 실리콘 함유 가스는, 예를 들어 SiH4 가스이다. 또한, 본 실시 형태에 있어서, 희가스는, 예를 들어 Ar 가스이다. 또한, 본 실시 형태에 있어서, 질소 함유 가스는, 예를 들어 암모니아(NH3) 가스이다.
공급원(21)은, 밸브(28), 매스 플로 컨트롤러(MFC)(27) 및 밸브(28)를 통해서 배관(30)에 접속되어 있다. 공급원(22)은, 밸브(28), 매스 플로 컨트롤러(MFC)(27) 및 밸브(28)를 통해서 배관(30)에 접속되어 있다. 공급원(23)은, 밸브(28), 매스 플로 컨트롤러(MFC)(27) 및 밸브(28)를 통해서 배관(30)에 접속되어 있다. 배관(30)을 통해서 가스 확산 공간(14) 내에 공급된 처리 가스는, 가스 확산 공간(14) 내를 확산하여, 가스 토출 구멍(15)을 통해서 처리 용기(1) 내에 샤워 형상으로 토출된다.
베이스 부재(11)에는, 정합기(44)를 통해서, RF(Radio Frequency) 전원(45)이 접속되어 있다. RF 전원(45)은, 정합기(44)를 통해서 플라스마 생성용 RF 전력을 베이스 부재(11)에 공급한다. 베이스 부재(11)에 공급된 RF 전력은, 중간 부재(13) 및 샤워 플레이트(12)를 통해서 처리 용기(1) 내에 방사된다. 처리 용기(1) 내에 방사된 RF 전력에 의해, 처리 용기(1) 내에 공급된 처리 가스가 플라스마화된다. 본 실시 형태에 있어서, 샤워 헤드(10)는, 평행 평판 전극의 상부 전극으로서도 기능한다. 한편, 서셉터(2)는, 평행 평판 전극의 하부 전극으로서도 기능한다.
처리 용기(1)의 저벽(1b)에서의 대략 중앙부에는, 대략 원형의 개구부(50)가 형성되어 있다. 저벽(1b)의 개구부(50)에는, 개구부(50)를 덮도록 하방을 향해서 돌출되는 배기실(51)이 마련되어 있다. 배기실(51)은, 처리 용기(1)를 통해서 접지되어 있다. 배기실(51)의 측벽에는 배기관(52)이 접속되어 있다. 배기관(52)에는, 진공 펌프를 포함하는 배기 장치(53)가 접속되어 있다. 배기 장치(53)에 의해, 처리 용기(1) 내를 미리 정해진 진공도까지 감압할 수 있다.
서셉터(2)에는, 기판(W)을 승강시키기 위한 복수(예를 들어, 3개)의 리프트 핀(54)이, 서셉터(2)의 표면에 대하여 돌출 함몰 가능하게 마련되어 있다. 복수의 리프트 핀(54)은, 지지판(55)에 의해 지지되어 있다. 지지판(55)은, 구동 기구(56)의 구동에 의해 승강한다. 지지판(55)이 승강함으로써, 복수의 리프트 핀(54)이 승강한다.
처리 용기(1)의 측벽에는, 처리 용기(1)와 인접해서 마련된 도시하지 않은 기판 반송실과의 사이에서 기판(W)의 반송을 행하기 위한 반송구(57)가 마련되어 있다. 반송구(57)는, 게이트 밸브(58)에 의해 개폐된다.
성막 장치(100)는, 제어 장치(60)를 구비한다. 제어 장치(60)는, 예를 들어 컴퓨터이며, 제어부(61)와 기억부(62)를 갖는다. 기억부(62)에는, 성막 장치(100)에서 실행되는 각종 처리를 제어하는 프로그램 등이 미리 저장되어 있다. 제어부(61)는, 기억부(62)에 기억된 프로그램을 판독해서 실행함으로써 성막 장치(100)의 각 부를 제어한다.
또한, 기억부(62) 내에 미리 저장되어 있는 프로그램은, 컴퓨터에 의해 판독 가능한 기억 매체에 기록되어 있던 것으로서, 그 기억 매체로부터 기억부(62)에 인스톨된 것이어도 된다. 컴퓨터에 의해 판독 가능한 기억 매체로서는, 예를 들어 하드 디스크(HD), 플렉시블 디스크(FD), 콤팩트 디스크(CD), 마그네트 옵티컬 디스크(MO), 메모리 카드 등이 있다.
또한, 제어 장치(60)에는, 오퍼레이터가 성막 장치(100)를 관리하기 위해서 커맨드의 입력 조작 등을 행하기 위한 키보드나, 성막 장치(100)의 가동 상황을 가시화해서 표시하는 디스플레이 등으로 구성되는 유저 인터페이스(63)가 접속된다.
도 6의 시스템에서는, 처리 장치(101 내지 104)는, 성막 공정, 개질 공정, COR 공정 및 PHT 공정의 각각의 공정에 대응하는 처리 장치로서 설명해 왔지만 그에 한하지는 않는다. 예를 들어, 도 8에 나타내는 바와 같이 1개의 처리 장치 내에서 복수매의 기판(W)을 처리해도 된다. 예를 들어, 도 8에 나타내는 처리 장치는, 처리 용기 내에 복수의 적재대(801 내지 804)를 갖고, 복수의 기판(W)(예를 들어, 4매)을 적재대(801 내지 804)의 각각에 적재한다. 또한 복수의 적재대(801 내지 804)의 각각에 대응한 복수의 처리 공간(805 내지 808)을 구비한다. 그리고, 각각의 처리 공간에서 성막 공정, 개질 공정, COR 공정 및 PHT 공정의 각각의 공정을 실시하여 기판(W)에 형성된 오목부 내에 실리콘 함유막을 매립한다. 이와 같이 1개의 장치로 복수매의 기판(W)에 대하여 복수의 처리를 실행할 수 있으므로 생산성을 향상시킬 수 있다. 또한, 처리 공간(805)은, 성막 공정을 실시하는 제1 처리 공간의 일례이다. 처리 공간(806)은, 개질 공정을 실시하는 제2 처리 공간의 일례이다. 처리 공간(807)은, COR 공정을 실시하는 제3 처리 공간의 일례이다. 처리 공간(808)은, PHT 공정을 실시하는 제4 처리 공간의 일례이다.
이상에서 설명한 바와 같이 본 실시 형태의 성막 방법 및 성막 시스템에 의하면, 기판에 형성된 오목부에의 실리콘 함유막의 매립 성능을 높일 수 있다.
금회 개시된 실시 형태에 관한 성막 방법 및 성막 시스템은, 모든 점에서 예시이며 제한적인 것은 아니라고 생각되어야 한다. 실시 형태는, 첨부의 청구범위 및 그 주지를 일탈하지 않고, 다양한 형태로 변형 및 개량이 가능하다. 상기 복수의 실시 형태에 기재된 사항은, 모순되지 않는 범위에서 다른 구성도 취할 수 있으며, 또한, 모순되지 않는 범위에서 조합할 수 있다.

Claims (25)

  1. 처리 용기 내에 오목부를 갖는 기판을 준비하는 공정과,
    실리콘을 포함하는 가스를 플라스마에 의해 활성화시켜서 기판에 공급하여, 실리콘 함유막을 상기 기판에 형성하는 공정과,
    상기 실리콘 함유막이 상기 오목부의 개구부를 폐색한 후, 상기 실리콘 함유막을 부분적으로 개질하는 공정과,
    개질한 상기 실리콘 함유막을 선택적으로 에칭하는 공정
    을 포함하는 성막 방법.
  2. 제1항에 있어서, 상기 실리콘 함유막을 형성하는 공정과, 상기 실리콘 함유막을 부분적으로 개질하는 공정과, 개질된 상기 실리콘 함유막을 선택적으로 에칭하는 공정을 이 순으로 1회 이상 행하는, 성막 방법.
  3. 제1항에 있어서, 상기 실리콘 함유막을 형성하는 공정 후, 상기 실리콘 함유막을 부분적으로 개질하는 공정과, 개질된 상기 실리콘 함유막을 선택적으로 에칭하는 공정을 이 순으로 1회 이상 행하는, 성막 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 실리콘 함유막을 형성하는 공정은, 실리콘 함유막을 형성하기 위한 처리 장치의 처리 용기 내의 압력을 단계적 또는 연속적으로 제어하는, 성막 방법.
  5. 제4항에 있어서, 상기 실리콘 함유막을 형성하는 공정은,
    상기 실리콘 함유막을 형성하기 위한 처리 장치의 처리 용기 내의 상기 압력을 제1 압력으로 제어하는 공정과,
    상기 실리콘 함유막을 형성하기 위한 처리 장치의 처리 용기 내의 상기 압력을 상기 제1 압력보다 높은 제2 압력으로 제어하는 공정을 포함하는, 성막 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 실리콘 함유막을 형성하는 공정은, 실리콘 함유 가스를 포함하는 복수의 혼합 가스에 대한 실리콘 함유 가스의 유량비를 단계적 또는 연속적으로 제어하는, 성막 방법.
  7. 제6항에 있어서, 상기 실리콘 함유막을 형성하는 공정은,
    상기 실리콘 함유 가스를 포함하는 복수의 혼합 가스에 대한 상기 실리콘 함유 가스의 유량비를 제1 유량비로 제어하는 공정과,
    상기 실리콘 함유 가스를 포함하는 복수의 혼합 가스에 대한 상기 실리콘 함유 가스의 유량비를 상기 제1 유량비보다 큰 제2 유량비로 제어하는 공정을 포함하는, 성막 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 실리콘 함유막을 부분적으로 개질하는 공정은, 상기 실리콘 함유막을 부분적으로 산화시키는, 성막 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 개질된 상기 실리콘 함유막을 선택적으로 에칭하는 공정은, 개질시킨 상기 실리콘 함유막을 제거해서 상기 오목부의 개구부를 형성하는, 성막 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 개질된 상기 실리콘 함유막을 선택적으로 에칭하는 공정은, 플라스마를 사용하지 않고 상기 실리콘 함유막을 제거하는, 성막 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 개질된 상기 실리콘 함유막을 선택적으로 에칭하는 공정은,
    불소를 포함하는 가스와 질소를 포함하는 가스를 상기 기판에 공급하여, 상기 개질된 실리콘 함유막과 반응시킴으로써 반응 부생성물을 형성하는 공정과,
    상기 반응 부생성물을 제거하는 공정을 포함하는, 성막 방법.
  12. 제11항에 있어서, 상기 반응 부생성물을 제거하는 공정은, 열처리에 의해 상기 반응 부생성물을 승화시켜 제거하는, 성막 방법.
  13. 제11항 또는 제12항에 있어서, 상기 반응 부생성물을 제거하는 공정은, 기판을 적재하는 적재대의 온도를 50℃ 이상으로 제어하는, 성막 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 개질된 상기 실리콘 함유막을 선택적으로 에칭하는 공정 후, 상기 오목부의 상부 및 측벽부의 실리콘 함유막을 더 에칭하는 공정을 행하는, 성막 방법.
  15. 제14항에 있어서, 상기 실리콘 함유막을 더 에칭하는 공정은,
    불소를 포함하는 가스와 질소를 포함하는 가스를 상기 기판에 공급하여, 상기 개질된 실리콘 함유막과 반응시킴으로써 반응 부생성물을 형성하는 공정과,
    상기 반응 부생성물을 제거하는 공정을 포함하는, 성막 방법.
  16. 제15항에 있어서, 상기 반응 부생성물을 형성하는 공정과, 상기 반응 부생성물을 제거하는 공정을 1회 이상 행하는, 성막 방법.
  17. 제1항 내지 제16항 중 어느 한 항에 있어서, 개질된 상기 실리콘 함유막을 선택적으로 에칭하는 공정 후, 상기 오목부에 형성한 상기 실리콘 함유막을 플라스마로 활성화시킨 질소를 포함하는 가스에 의해 개질하는 공정을 더 포함하는, 성막 방법.
  18. 제1항 내지 제17항 중 어느 한 항에 있어서, 상기 실리콘 함유막은, 실리콘과 질소를 포함하는 막인, 성막 방법.
  19. 제18항에 있어서, 상기 실리콘과 질소를 포함하는 막은, SiN막, SiCN막, SiON막, SiOCN막 중 어느 것의 막인, 성막 방법.
  20. 제1항 내지 제17항 중 어느 한 항에 있어서, 상기 실리콘 함유막은, Si막인, 성막 방법.
  21. 제1항 내지 제20항 중 어느 한 항에 있어서, 상기 기판은 복수의 오목부를 갖고,
    상기 실리콘 함유막이 복수의 상기 오목부의 개구부를 폐색했는지를 판정하는 공정을 더 포함하고,
    상기 실리콘 함유막을 부분적으로 개질하는 공정은, 상기 실리콘 함유막이 복수의 상기 오목부의 개구부를 폐색했는지를 판정하는 공정에서 상기 실리콘 함유막이 모든 상기 오목부의 개구부를 폐색하고 있다고 판정된 경우, 상기 실리콘 함유막의 개질을 개시하는, 성막 방법.
  22. 제1항 내지 제21항 중 어느 한 항에 있어서, 상기 오목부의 개구부를 폐색한 실리콘 함유막이 산화했는지를 판정하는 공정을 더 포함하고,
    개질된 상기 실리콘 함유막을 선택적으로 에칭하는 공정은, 상기 오목부의 개구부를 폐색한 실리콘 함유막이 산화했는지를 판정하는 공정에서 상기 오목부의 개구부를 폐색한 실리콘 함유막이 산화했다고 판정된 경우, 상기 실리콘 함유막의 에칭을 개시하는, 성막 방법.
  23. 제1항 내지 제22항 중 어느 한 항에 있어서, 상기 실리콘 함유막을 형성하는 공정과, 상기 실리콘 함유막을 부분적으로 개질하는 공정은, 동일한 처리 장치에서 행하는, 성막 방법.
  24. 복수의 처리 장치를 갖는 성막 시스템이며,
    상기 복수의 처리 장치는,
    실리콘을 포함하는 가스를 플라스마에 의해 활성화시켜서 오목부를 갖는 기판에 공급하여, 실리콘 함유막을 상기 기판에 형성하는 공정을 실행하도록 구성된 제1 처리 장치와,
    상기 실리콘 함유막이 상기 오목부의 개구부를 폐색한 후, 상기 실리콘 함유막을 부분적으로 개질하는 공정을 실행하도록 구성된 제2 처리 장치와,
    개질한 상기 실리콘 함유막을 선택적으로 에칭하는 공정을 실행하도록 구성된 제3 처리 장치를 갖고,
    상기 제1 처리 장치와 상기 제2 처리 장치는 동일한 처리 장치 또는 다른 처리 장치이며, 상기 제1 처리 장치와 상기 제3 처리 장치, 및 상기 제2 처리 장치와 제3 처리 장치는 다른 처리 장치인, 성막 시스템.
  25. 복수의 기판을 처리하는 처리 장치를 갖는 성막 시스템이며,
    상기 처리 장치는,
    상기 복수의 기판을 적재하는 복수의 적재대와,
    상기 적재대에 대응하는 복수의 처리 공간
    을 포함하고,
    상기 복수의 처리 공간은,
    실리콘을 포함하는 가스를 플라스마에 의해 활성화시켜 오목부를 갖는 기판에 공급하여, 실리콘 함유막을 상기 기판에 형성하는 공정을 실행하도록 구성된 제1 처리 공간과,
    상기 실리콘 함유막이 상기 오목부의 개구부를 폐색한 후, 상기 실리콘 함유막을 부분적으로 개질하는 공정을 실행하도록 구성된 제2 처리 공간과,
    불소를 포함하는 가스와 질소를 포함하는 가스를 상기 기판에 공급하여, 상기 개질된 실리콘 함유막과 반응시킴으로써 반응 부생성물을 형성하는 공정을 실행하도록 구성된 제3 처리 공간과,
    상기 반응 부생성물을 제거하는 공정을 실행하도록 구성된 제4 처리 공간
    을 포함하는, 성막 시스템.
KR1020220137179A 2021-10-27 2022-10-24 성막 방법 및 성막 시스템 KR20230060463A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2021-175804 2021-10-27
JP2021175804 2021-10-27
JP2022150161A JP2023065305A (ja) 2021-10-27 2022-09-21 成膜方法及び成膜システム
JPJP-P-2022-150161 2022-09-21

Publications (1)

Publication Number Publication Date
KR20230060463A true KR20230060463A (ko) 2023-05-04

Family

ID=86057329

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220137179A KR20230060463A (ko) 2021-10-27 2022-10-24 성막 방법 및 성막 시스템

Country Status (2)

Country Link
US (1) US20230131213A1 (ko)
KR (1) KR20230060463A (ko)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003037103A (ja) 2001-07-26 2003-02-07 Hitachi Ltd 半導体の製造方法
JP2007180418A (ja) 2005-12-28 2007-07-12 Tokyo Electron Ltd エッチング方法及び記録媒体
JP2012199306A (ja) 2011-03-18 2012-10-18 Tokyo Electron Ltd 成膜方法及び成膜装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003037103A (ja) 2001-07-26 2003-02-07 Hitachi Ltd 半導体の製造方法
JP2007180418A (ja) 2005-12-28 2007-07-12 Tokyo Electron Ltd エッチング方法及び記録媒体
JP2012199306A (ja) 2011-03-18 2012-10-18 Tokyo Electron Ltd 成膜方法及び成膜装置

Also Published As

Publication number Publication date
US20230131213A1 (en) 2023-04-27

Similar Documents

Publication Publication Date Title
US8722510B2 (en) Trench-filling method and film-forming system
JP6810059B2 (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
JP5925802B2 (ja) 2段階での均一なドライエッチング
US9005459B2 (en) Film deposition method and film deposition apparatus
KR101250057B1 (ko) 절연막의 플라즈마 개질 처리 방법 및 플라즈마 처리 장치
TW201908507A (zh) 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
US7811945B2 (en) Selective plasma processing method
CN108531887B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
JP6721695B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US11631591B2 (en) Methods for depositing dielectric material
CN110783188B (zh) 蚀刻方法和蚀刻装置
JP2009533846A (ja) 膜緻密化及び改善されたギャップ充填のための薄膜の多段階アニール
TW200836262A (en) Method for forming insulating film and method for manufacturing semiconductor device
KR20110055700A (ko) 산화 규소막, 산화 규소막의 형성 방법 및, 플라즈마 cvd 장치
TWI405260B (zh) A plasma etching treatment method and a plasma etching processing apparatus
JP2008109128A (ja) シリコン酸化膜の形成方法
US20220189777A1 (en) Film formation method and film formation apparatus
WO2010001931A1 (ja) 薄膜の成膜方法及び成膜装置
TW202226378A (zh) 選擇性各向異性金屬蝕刻
US10128128B2 (en) Method of manufacturing semiconductor device having air gap between wirings for low dielectric constant
KR20230060463A (ko) 성막 방법 및 성막 시스템
CN112740364B (zh) 半导体装置的制造方法、基板处理装置和记录介质
JP2023065305A (ja) 成膜方法及び成膜システム
US20240087885A1 (en) Method of forming silicon nitride film and film forming apparatus
TWI837174B (zh) 沉積介電材料之方法