KR20220164776A - 전자 소자 제조 수용액, 레지스트 패턴의 제조 방법 및 소자의 제조 방법 - Google Patents

전자 소자 제조 수용액, 레지스트 패턴의 제조 방법 및 소자의 제조 방법 Download PDF

Info

Publication number
KR20220164776A
KR20220164776A KR1020227038756A KR20227038756A KR20220164776A KR 20220164776 A KR20220164776 A KR 20220164776A KR 1020227038756 A KR1020227038756 A KR 1020227038756A KR 20227038756 A KR20227038756 A KR 20227038756A KR 20220164776 A KR20220164776 A KR 20220164776A
Authority
KR
South Korea
Prior art keywords
aqueous solution
electronic device
preparing
manufacturing
resist pattern
Prior art date
Application number
KR1020227038756A
Other languages
English (en)
Inventor
카즈마 야마모토
토모야스 야시마
마키 이쉬이
Original Assignee
메르크 파텐트 게엠베하
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 메르크 파텐트 게엠베하 filed Critical 메르크 파텐트 게엠베하
Publication of KR20220164776A publication Critical patent/KR20220164776A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/0008Detergent materials or soaps characterised by their shape or physical properties aqueous liquid non soap compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • C11D3/2079Monocarboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/43Solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

[과제] 패턴 도괴를 방지하거나 레지스트 패턴 폭의 불균일성을 억제할 수 있는 전자 소자 제조 수용액을 제공한다.
[해결 수단] 전자 소자 제조 수용액으로서, 알킬카르복실산 화합물 (A); 및 용매 (B)를 포함하며, 상기 알킬카르복실산 화합물 (A)이 하기 화학식 (a): A1-COOH (a) (상기 식에서, A1은 C4-12 알킬임)로 표시되고, 용매 (B)가 물을 포함하는 것인, 전자 소자 제조 수용액.

Description

전자 소자 제조 수용액, 레지스트 패턴의 제조 방법 및 소자의 제조 방법
본 발명은 전자 소자 제조 수용액, 레지스트 패턴의 제조 방법 및 소자의 제조 방법에 관한 것이다.
최근, LSI의 고집적화에 대한 요구가 증가하고 있고, 패턴의 미세화도 요구되고 있다. 이러한 요구에 대응하기 위해, 단파장의 KrF 엑시머 레이저 (248 nm), ArF 엑시머 레이저 (193 nm), 극자외선 (EUV; 13 nm), X선, 전자빔 등을 이용한 리소그래피 공정이 실용화되어 왔다. 이러한 레지스트 패턴의 미세화에 대응하기 위해, 미세 가공시에 레지스트로서 사용되는 감광성 수지 조성물에도 고해상도의 것들이 요구되고 있다. 단파장의 광으로 노광함으로써 보다 미세한 패턴을 형성할 수는 있지만, 매우 미세한 구조를 형성하기 때문에, 미세 패턴 도괴현상 등으로 인한 수율이 문제가 된다.
이러한 상황들 하에서, 특허문헌 1에서는, 종래의 계면활성제를 함유한 시스템에서와 마찬가지로 패턴 도괴 허용범위, 결함 및 LWR과 같은 성능이 우수하고, 우수한 용융 특성을 갖는 리소그래피용 린스액이 연구된 바 있다.
또한, 또 다른 시도로서, 불소를 함유하는 계면활성제를 사용하는 연구도 있다 (특허문헌 2 및 특허문헌 3).
JP 2014-219577 A호 WO 2018/095885호 WO 2017/220479호
본 발명자들은 여전히 개선이 필요한 하나 이상의 과제가 있다고 생각한다. 이들의 예로는 다음을 포함한다: 미세한 레지스트 패턴에서 결함을 감소시키는 것; 레지스트 패턴에 브릿지 형성을 억제하는 것; 미세 레지스트 패턴에 레지스트 패턴 도괴현상을 방지하는 것; 레지스트 패턴 폭 불균일성을 억제하는 것; 전자 소자 제조 수용액을 제거한 후, 잔류물을 감소시키는 것; 전자 소자 제조 수용액의 표면 장력을 감소시키는 것; 환경적 영향이 덜한 전자 소자 제조 수용액을 제공하는 것; 취급 위험성이 낮은 전자 소자 제조 수용액을 제공하는 것; 및 보존 안정성 (예를 들면, 장기 보존)이 우수한 전자 소자 제조 수용액을 제공하는 것.
본 발명은 상술한 기술적 배경에 기초하여 완성된 것으로, 전자 소자 제조 수용액을 제공한다.
본 발명에 따른 전자 소자 제조 수용액은 알킬카르복실산 화합물 (A); 및 용매 (B)를 포함하는데,
여기서, 상기 알킬카르복실산 화합물 (A)는 하기 화학식 (a):
A1-COOH (a)
(상기 식에서, A1은 C4-12 알킬이고, 바람직하게는 A1은 선형 또는 분지형 C5-10 알킬임)로 나타내고;
용매 (B)는 물을 포함한다.
본 발명에 따른 레지스트 패턴의 제조 방법은 상술한 전자 소자 제조 수용액을 사용하는 것이다.
본 발명에 따른 소자의 제조 방법은 상기 언급한 레지스트 패턴의 제조 방법을 포함한다.
본 발명에 따른 전자 소자 제조 수용액을 사용하면, 하나 이상의 하기의 효과를 기대할 수 있다.
미세한 레지스트 패턴에서 결함을 감소시키는 것이 가능하다. 레지스트 패턴에 브릿지 형성을 억제하는 것이 가능하다. 미세 레지스트 패턴에 패턴 도괴현상을 방지하는 것이 가능하다. 레지스트 패턴 폭 불균일성을 억제하는 것이 가능하다. 전자 소자 제조 수용액을 제거한 후, 잔류물을 감소시키는 것이 가능하다. 전자 소자 제조 수용액의 표면 장력을 감소시키는 것이 가능하다. 전자 소자 제조 수용액의 환경적 영향을 감소시키는 것이 가능하다. 전자 소자 제조 수용액의 취급 위험성을 감소시키는 것이 가능하다. 전자 소자 제조 수용액의 보존 안정성을 우수하게 하는 것이 가능하다.
도 1은 레지스트 벽을 헹구는 상태를 나타내는 개략도이다.
발명을 실시하기 위한 양태
본 발명의 실시예를 하기에 상세히 설명한다.
정의
본 명세서에서, 달리 명시하지 않는 한, 본 단락에 기재된 정의와 예시를 따른다.
단수형은 복수형을 포함하며 "하나" 또는 "그것"은 "적어도 하나"를 의미한다. 어떤 개념의 요소는 복수의 종들에 의해 발현될 수 있으며, 그 양 (예를 들어, 질량% 또는 몰%)이 기술되는 경우, 그 양은 복수의 해당 종들의 합을 의미한다.
"및/또는"은 모든 요소들의 모든 조합을 포함하고, 해당 요소의 단독 사용도 포함한다.
"내지 (~)" 또는 "-"를 사용하여 수치 범위를 나타내는 경우, 이들은 양 끝점을 포함하며 그 단위는 공통된다. 예를 들어, 5 내지 25몰%는 5몰% 이상 25몰% 이하를 의미한다.
"Cx-y", "Cx-Cy" 및 "Cx"와 같은 설명은 분자 또는 치환기 중의 탄소수를 의미한다. 예를 들어, C1-6 알킬은 1개 이상 6개 이하의 탄소를 갖는 알킬 사슬 (메틸, 에틸, 프로필, 부틸, 펜틸, 헥실 등)을 의미한다.
중합체가 복수 유형의 반복 단위를 갖는 경우, 이러한 반복 단위들은 공중합된다. 이들 공중합은 교호 공중합, 랜덤 공중합, 블록 공중합, 그래프트 공중합 또는 이들의 혼합 중 어느 것이어도 된다. 중합체나 수지를 구조식으로 나타낼 때, 괄호에 병기되는 n이나 m 등은 반복수를 나타낸다.
온도 단위로는 섭씨 (Celius)를 사용한다. 예를 들어, 20도는 섭씨 20도를 의미한다.
첨가제는 그의 해당 기능을 갖는 화합물 그 자체를 말한다 (예를 들면, 염기 발생제이면, 염기를 발생시키는 화합물 그 자체를 의미함). 화합물이 용매 중에 용해되거나 분산되어 조성물에 첨가되는 실시형태도 있을 수 있다. 본 발명의 한 실시형태로서, 이러한 용매는 용매 (B) 또는 다른 성분으로서 본 발명에 따른 조성물에 함유되는 것이 바람직하다.
<전자 소자 제조 수용액>
본 발명에 따른 전자 소자 제조 수용액은 알킬카르복실산 화합물 (A) 및 용매 (B)를 포함한다.
여기서, 전자 소자 제조 수용액은 전자 소자의 제조 공정 중에 사용되는 것이다. 이는 전자 소자의 제조 공정에 사용할 수 있고, 해당 공정의 과정에서 제거 또는 소실되는 것이어도 좋다. 전자 소자의 예로서, 디스플레이 소자, LED 및 반도체 소자를 들 수 있다.
전자 소자 제조 수용액은, 바람직하게는 반도체 기판 제조 수용액이며, 보다 바람직하게는 반도체 기판 제조 공정 세정액이며, 더욱 바람직하게는 리소그래피 세정액이며, 보다 더 바람직하게는 레지스트 패턴 세정액이다. 반도체 기판 제조 수용액인 전자 소자 제조 수용액은, 본 발명의 전자 소자 제조 수용액만으로 이루어지는 반도체 기판 제조 수용액이라고 할 수도 있다.
본 발명의 또 다른 실시형태로서, 전자 소자 제조 수용액은, 노광 및 현상한 레지스트 패턴을 헹구기 위해 사용되는 린스 조성물일 수 있다.
알킬카르복실산 화합물 (A)
본 발명에 사용되는 알킬카르복실산 화합물 (A)은 하기 화학식 (a)로 나타낸다:
A1-COOH (a)
상기 식에서, A1은 C4-12 알킬이다. A1은 선형, 분지형 또는 환형 알킬일 수 있다. A1은 바람직하게는 선형 또는 분지형 C5-10 알킬이고; 더욱 바람직하게는 선형 또는 분지형 C6-10 알킬이며; 보다 더 바람직하게는 선형 또는 분지형 C6-9 알킬이고; 보다 더 바람직하게는 선형 또는 분지형 C6-8 알킬이다.
알킬카르복실산 화합물 (A)의 구체적 실시형태로서는, n-헥산산, n-헵탄산, n-옥탄산, 2-메틸펜탄산, 2-메틸헥산산, 5-메틸헥산산, 2-메틸헵탄산, 4-메틸-n-옥탄산, 2-에틸헥산산, 2-프로필펜탄산, 2,2-디메틸펜탄산 및 3,5,5-트리메틸헥산산을 들 수 있다.
알킬카르복실산 화합물 (A)의 함량은, 전자 소자 제조 수용액을 기준으로 하여, 바람직하게는 0.01 내지 10 질량%이고, 보다 바람직하게는 0.02 내지 5 질량%이며, 더욱 바람직하게는 0.02 내지 1 질량%이고; 보다 더 바람직하게는 0.03 내지 0.5 질량%이다.
본 발명에 따른 전자 소자 제조 수용액의 알킬카르복실산 화합물 (A)에 의한 효과들 중 하나로, 레지스트 패턴의 현상 후의 패턴 도괴 방지에 기여하는 효과를 들 수 있다. 특정 이론으로 한정시키려는 것은 아니나, 본 발명의 알킬카르복실산 화합물과 레지스트 벽 사이의 낮은 친화도가 헹굼의 건조 공정 동안에 전자 소자 제조 수용액의 접촉각을 증가시킬 수 있는 것으로 생각된다. 특히, 화학식 (a) 중의 알킬은 조성물의 표면 장력을 저하시킬 수 있고, 카르복실은 전자 소자 제조 수용액의 용해도를 향상시킬 수 있어서, 용해도와 낮은 표면 장력과의 균형을 개선할 수 있다고 생각된다.
용매 (B)
용매 (B)는 물을 포함한다. 물은 바람직하게는 탈이온수이다.
전자 소자의 제조 공정에 사용될 것을 고려하면, 용매 (B)는 불순물이 적은 것이 바람직하다. 바람직한 용매 (B)는 불순물이 1 ppm 이하이고; 보다 바람직하게는 100 ppb 이하이며; 더욱 바람직하게는 10 ppb 이하이다.
용매 (B)를 기준으로 한 물의 함량은, 바람직하게는 90 내지 100 질량%이고; 보다 바람직하게는 98 내지 100 질량%이며; 더욱 바람직하게는 99 내지 100 질량%이고; 더욱 더 바람직하게는 99.9 내지 100 질량%이다. 본 발명의 바람직한 실시형태에서, 용매 (B)는 실질적으로 물로만 이루어진다. 그러나, 첨가제가 물 이외의 용매 (예를 들어, 계면활성제) 중에 용해 및/또는 분산된 상태로 본 발명의 전자 소자 제조 수용액에 함유되는 실시형태는, 본 발명의 바람직한 실시형태로서 허용된다.
물 이외의 용매 (B)의 구체적인 실시형태로서는, 예를 들면 사이클로헥사논, 사이클로펜타논, 프로필렌 글리콜 모노메틸 에테르 (PGME), 프로필렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노프로필 에테르, 프로필렌 글리콜 모노부틸 에테르, 프로필렌 글리콜 디메틸 에테르, 프로필렌 글리콜 디에틸 에테르, 프로필렌 글리콜 1-모노메틸 에테르 2-아세테이트 (PGMEA), 프로필렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 모노프로필 에테르 아세테이트, γ-부티로락톤, 에틸 아세테이트, 또는 이들의 임의의 혼합물이 바람직하다. 이들은 용액의 저장 안정성 면에서 바람직하다. 이러한 용매들은 2종 이상의 임의의 혼합물로서 사용할 수도 있다.
용매 (B)의 함량은, 전자 소자 제조 수용액을 기준으로 하여, 바람직하게는 80 내지 99.99 질량%이고, 보다 바람직하게는 90 내지 99.99 질량%이며, 더욱 바람직하게는 95 내지 99.99 질량%이고; 보다 더 바람직하게는 98 내지 99.99 질량%이다.
또한, 용매 (B)에 함유된 물의 함량은, 전자 소자 제조 수용액을 기준으로 하여, 바람직하게는 80 내지 99.99 질량%이고, 보다 바람직하게는 90 내지 99.99 질량%이며, 더욱 바람직하게는 95 내지 99.99 질량%이고; 보다 더 바람직하게는 98 내지 99.99 질량%이다.
본 발명에 따른 전자 소자 제조 수용액은 상기 언급한 성분들 (A)와 (B)를 필수적으로 포함하지만, 필요에 따라 추가의 화합물들도 포함할 수 있다. 이에 대해 하기에서 상세히 설명한다. 또한, 전체 조성물 중에서 (A)와 (B) 이외의 성분들 (복수의 경우, 그의 합)은, 전자 소자 제조 수용액의 총 질량을 기준으로, 바람직하게는 0 내지 10 질량%이고; 보다 바람직하게는 0 내지 5 질량%이며; 더욱 바람직하게는 0 내지 3 질량%이다. 본 발명에 따른 전자 소자 제조 수용액이 (A)와 (B) 이외의 성분을 포함하지 않는 (0 질량%) 실시형태도, 본 발명의 바람직한 실시형태이다.
하이드록시 함유 화합물 (C)
본 발명에 따른 전자 소자 제조 수용액은 하이드록시 함유 화합물 (C)을 더 포함할 수 있다. 하이드록시 함유 화합물 (C)은, 화합물 중에 하나 이상의 하이드록시를 가질 수 있으며, 바람직하게는 1-3개의 하이드록시를 가지며 불소 치환될 수 있는 C3-30 화합물이다. 이 경우, 불소 치환은 화합물의 H를 F로 치환하지만, 이 치환은 하이드록시 중의 H를 치환하지는 않는다.
하이드록시 함유 화합물 (C)을 더 포함함으로써, 도괴되지 않는 한계 크기를 더 감소시킬 수 있을 것으로 생각된다.
바람직한 실시형태로서, 하이드록시 함유 화합물 (C)은 화학식 (c)로 나타낸다:
Figure pct00001
상기 식에서,
Rc1, Rc2, Rc3 및 Rc4는 각각 독립적으로 수소, 불소 또는 C1-5 알킬이고, 바람직하게는 각각 독립적으로 수소, 불소, 메틸, 에틸, t-부틸 또는 이소프로필이며, 보다 바람직하게는 각각 독립적으로 수소, 메틸 또는 에틸이다.
Lc1 및 Lc2는 각각 독립적으로 C1-20 알킬렌, C1-20 사이클로알킬렌, C2-4 알케닐렌, C2-4 알키닐렌, 또는 C6-20 알릴렌이다. 이러한 기들은 불소, C1-5 알킬 또는 하이드록시로 치환될 수 있다. 여기서, 알케닐렌이란, 하나 이상의 이중 결합을 갖는 2가의 탄화수소를 의미하고, 알키닐렌이란, 하나 이상의 삼중 결합을 갖는 2가의 탄화수소기를 의미한다. 바람직하게는, Lc1 및 Lc2는 각각 독립적으로 불소 치환된 C1-5 알킬렌, C2-4 알키닐렌 또는 페닐렌 (C6 아릴렌)이다. Lc1 및 Lc2는 각각 독립적으로, 보다 바람직하게는 불소 치환된 C2-4 알킬렌, 아세틸렌 (C2 알키닐렌) 또는 페닐렌이고; 더욱 바람직하게는 불소 치환된 C2-4 알킬렌 또는 아세틸렌이다.
불소 함유 성분을 사용하지 않고도 본 발명의 효과를 얻을 수 있다. 이러한 실시형태로서, Lc1 및 Lc2는 각각 독립적으로 C1-5 알킬렌, C2-4 알키닐렌 또는 페닐렌이며, 보다 바람직하게는 각각 독립적으로 C2-4 알킬렌, 아세틸렌 또는 페닐렌이며, 보다 더 바람직하게는 각각 독립적으로 C2-4 알킬렌 또는 아세틸렌이다.
h는 0, 1 또는 2이고, 바람직하게는 0 또는 1, 보다 바람직하게는 0이다.
하이드록시 함유 화합물 (C)의 구체적인 실시형태로서는, 3-헥신-2,5-디올, 2,5-디메틸-3-헥신-2,5-디올, 3,6-디메틸-4-옥틴-3,6-디올, 1,4-부틴디올, 2,4-헥사디인-1,6-디올, 1,4-부탄디올, 2,2,3,3-테트라플루오로-1,4-부탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올, 시스-1,4-디하이드록시-2-부텐, 1,4-벤젠디메탄올 및 이들의 조합을 들 수 있다.
하이드록시 함유 화합물 (C)의 함량은, 전자 소자 제조 수용액을 기준으로 하여, 바람직하게는 0.001 내지 10 질량%이고, 보다 바람직하게는 0.005 내지 5 질량%이며, 더욱 바람직하게는 0.01 내지 1 질량%이다.
계면활성제 (D)
본 발명에 따른 전자 소자 제조 수용액은 계면활성제 (D)를 추가로 포함할 수 있다. 계면활성제 (D)는 도포성이나 용해성을 개선하는데 유용하다. 여기서, 계면활성제 (D)는, 알킬카르복실산 화합물 (A)과 하이드록실 함유 화합물 (C)과는 다른 것이다.
계면활성제 (D)의 예로서는, 폴리옥시에틸렌 라우릴 에테르, 폴리옥시에틸렌 스테아릴 에테르 및 폴리옥시에틸렌 올레일 에테르 등의 폴리옥시에틸렌 알킬 에테르 화합물; 폴리옥시에틸렌 옥틸페닐 에테르 및 폴리옥시에틸렌 노닐페놀 에테르 등의 폴리옥시에틸렌 알킬아릴 에테르 화합물; 폴리옥시에틸렌/폴리옥시프로필렌 블록 공중합체 화합물; 소르비탄 모노라우레이트, 소르비탄 모노팔미테이트, 소르비탄 모노스테아레이트, 소르비탄 트리올레에이트 및 소르비탄 트리스테아레이트 등의 소르비탄 지방산 에스테르 화합물; 폴리옥시에틸렌 소르비탄 모노라우레이트, 폴리옥시에틸렌 소르비탄 모노팔미테이트, 폴리옥시에틸렌 소르비탄 모노스테아레이트 및 폴리옥시에틸렌 소르비탄 트리스테아레이트 등의 폴리옥시에틸렌 소르비탄 지방산 에스테르 화합물을 들 수 있다. 또한, 불소계면활성제, 예컨대 상품명 Eftop EF301, EF303, EF352 (Tohkem Products), 상품명 Megaface F171, F173, R-08, R-30, R-2011 (DIC), Fluorad FC430, FC431 (Sumitomo 3M) 및 상품명 AsahiGuard AG710, Surflon S-382, SC101, SC102, SC103, SC104, SC105, SC106 (AGC); 및 유기실록산 중합체 KP341 (Shin-Etsu Chemical) 등을 들 수 있다.
계면활성제 (D)의 함량은, 전자 소자 제조 수용액을 기준으로 하여, 0.01 내지 5 질량%인 것이 바람직하고, 0.02 내지 0.5 질량%인 것이 보다 바람직하다.
첨가제 (E)
본 발명에 따른 전자 소자 제조 수용액은 첨가제 (E)를 추가로 포함할 수 있다. 본 발명에서, 첨가제 (E)는 산 (알킬카르복실산 화합물 (A)는 제외), 염기, 살균제, 항균제, 보존제, 진균제, 또는 이들의 임의의 조합을 포함한다.
산 또는 염기는, 처리액의 pH 값을 조정하거나 첨가제 성분들의 용해성을 개선하기 위해 사용할 수 있다. 산의 예로는 방향족 카르복실산을 포함한다.
첨가제 (E)는 염기를 포함하는 것이 바람직하다. 염기의 예로는 암모니아, 1차 아민, 2차 아민, 3차 아민 및 암모늄 화합물을 포함한다. 이러한 화합물들은 치환기(들)로 치환되거나 치환되지 않을 수도 있다. 보다 구체적으로는, 암모니아, 모노에탄올아민, 디에탄올아민, 트리에탄올아민, 트리이소프로판올아민, 에틸렌디아민, 디에틸렌트리아민, 펜타에틸렌헥사민, 피페리딘, 피페라진, 모르폴린, 수산화테트라메틸암모늄 및 이들의 임의의 조합을 들 수 있다. 적절한 염기의 한 실시형태로는 암모니아를 들 수 있다. 염기의 함량은, 전자 소자 제조 수용액을 기준으로 하여, 0.01 내지 0.3 질량%인 것이 바람직하다.
첨가제 (E)는, 필요에 따라, 항균제, 항미생물제, 보존제 또는 살균제를 포함할 수 있다. 이러한 화학 물질들은 시간이 경과함에 따라 세균과 곰팡이 증식을 방지하는데 사용된다. 이러한 화학 물질들의 예로는 페녹시에탄올과 같은 알코올 및 이소티아졸론을 포함한다. Bestcide (Nippon Soda)가 특히 유효한 항균제, 항미생물제 및 살균제이다. 이러한 화학 물질들의 함량은, 전자 소자 제조 수용액을 기준으로 하여, 0.00005 내지 0.1 질량%인 것이 바람직하고, 0.0001 내지 0.01 질량%인 것이 보다 바람직하다.
첨가제 (E)의 함량은, 전자 소자 제조 수용액을 기준으로 하여, 0.0001 내지 10 질량%인 것이 바람직하고, 0.0005 내지 0.1 질량%인 것이 보다 바람직하다.
본 발명에 따른 전자 소자 제조 수용액은 그 성분들을 용해시킨 후, 불순물 및/또는 불용물을 제거하기 위해 필터로 여과할 수 있다.
<레지스트 패턴의 제조 방법>
본 발명은, 상기 언급한 전자 소자 제조 수용액을 사용하는 레지스트 패턴의 제조 방법도 제공한다. 본 방법에 사용되는 감광성 수지 조성물 (레지스트 조성물)은, 포지티브형 또는 네거티브형 중 어느 것이어도 되지만, 포지티브형이 보다 바람직하다. 본 발명에 따른 전자 소자 제조 수용액이 적용되는 통상적인 레지스트 패턴 제조 방법은 이하의 단계를 포함한다:
(1) 하나 이상의 중간층을 개재하거나 또는 중간층을 개재하지 않고, 감광성 수지 조성물을 기판에 적용하여, 감광성 수지층을 형성하는 단계;
(2) 상기 감광성 수지층을 방사선에 노광시키는 단계;
(3) 상기 노광된 감광성 수지층을 현상하는 단계; 및
(4) 상기 현상된 층을 상기 언급한 전자 소자 제조 수용액으로 세정하는 단계.
이하, 상세히 설명한다. 우선, 필요에 따라 전처리된 실리콘 기판, 유리 기판 등의 기판 상에 감광성 수지 조성물을 도포 (예를 들면 적층)하여 감광성 수지층을 형성한다. 적층에는 공지된 임의의 방법을 사용할 수 있지만, 스핀 코팅과 같은 코팅법이 적합하다. 기판 상에 감광성 수지 조성물을 직접 적층할 수도 있고, 또는 하나 이상의 중간층 (예를 들어, BARC)을 사용하여 적층할 수도 있다. 또한, 감광성 수지층의 상방 (기판과 반대측)에 반사 방지 코팅 (예를 들어, TARC)을 적층할 수 있다. 감광성 수지층 이외의 층에 대해서는 후술한다. 감광성 수지막의 상방 또는 하방에 반사 방지 코팅을 형성함으로써, 단면 형상과 노광 허용범위를 개선할 수 있다.
본 발명의 레지스트 패턴 제조 방법에 사용되는 포지티브형 또는 네거티브형 감광성 수지 조성물의 대표적인 예로서는, 퀴논디아지드계 감광제와 알칼리 가용성 수지를 포함하여 이루어지는 것, 및 화학 증폭형 감광성 수지 조성물을 들 수 있다. 고해상도의 미세 레지스트 패턴을 형성하는 관점에서는, 화학 증폭형 감광성 수지 조성물이 바람직한데, 그 예로서는 화학 증폭형 PHS-아크릴레이트 하이브리드계 EUV 레지스트 조성물을 들 수 있다. 이들은 포지티브형의 감광성 수지 조성물인 것이 보다 바람직하다.
퀴논디아지드계 감광제와 알칼리 가용성 수지를 포함하는 포지티브형 감광성 수지 조성물에 사용되는 퀴논디아지드계 감광제의 예로는, 1,2-벤조퀴논디아지드-4-설폰산, 1,2-나프토퀴논디아지드-4-설폰산, 1,2-나프토퀴논디아지드-5-설폰산, 이러한 설폰산들의 에스테르 또는 아미드 등을 들 수 있고, 알칼리 가용성 수지의 예로는 노볼락 수지, 폴리비닐 페놀, 폴리비닐 알코올, 아크릴산 혹은 메타크릴산의 공중합체 등을 들 수 있다. 노볼락 수지의 바람직한 예로서는, 페놀, o-크레졸, m-크레졸, p-크레졸 및 자일레놀 등의 1종 이상의 페놀류와 포름알데하이드 및 파라포름알데하이드 등의 1종 이상의 알데하이드류로부터 제조되는 것들을 들 수 있다.
또한, 화학 증폭형 감광성 수지 조성물로서는, 방사선의 조사에 의해 산을 발생하는 화합물 (광산 발생제)과 상기 광산 발생제로부터 발생된 산의 작용에 의해 극성이 증대하고, 현상액에 대한 용해성이 노광부와 비노광부에서 변화하는 수지를 포함하는 포지티브형의 화학 증폭형 감광성 수지 조성물; 또는 알칼리 가용성 수지, 광산 발생제 및 가교제를 포함하고, 산의 작용에 의해 가교제에 의한 수지의 가교가 일어나며, 노광부와 비노광부에서 현상액에 대한 용해성이 변화하는 네거티브형의 화학 증폭형 감광성 수지 조성물을 들 수 있다.
산의 작용에 의해 극성이 증대하고, 노광부와 비노광부에서 현상액에 대한 용해성이 변화하는 수지로서는, 수지의 주쇄 또는 측쇄, 혹은 주쇄와 측쇄 모두에, 산의 작용에 의해 분해되어 알칼리 가용성기를 발생시키는 기를 갖는 수지를 들 수 있다. 그의 대표적인 것을 예시하면, 하이드록시스티렌계 중합체 (PHS)에 보호기로서 아세탈기나 케탈기를 도입한 중합체 (예를 들면, JP H2-19847 A호), 및 t-부톡시 카르보닐옥시기나 p-테트라하이드로피라닐옥시기를 산분해기로서 도입한 유사한 중합체 (JP H2-209977 A호 등) 등을 들 수 있다.
또한, 광산 발생제로서는 방사선의 조사에 의해 산을 발생하는 화합물이면 어떠한 것이어도 되고, 그의 예로서는 디아조늄염, 암모늄염, 포스포늄염, 요오도늄염, 설포늄염, 셀레노늄염 및 아르소늄염 등의 오늄염; 유기 할로겐 화합물, 유기금속성 화합물/유기 할로겐화물, o-니트로벤질형 보호기를 갖는 광산 발생제, 이미노설포네이트 등으로 대표되는 광분해하여 설폰산을 발생하는 화합물, 디설폰 화합물, 디아조케토설폰 화합물, 디아조디설폰 화합물 등을 들 수 있다.
또한, 광에 의해 산을 발생하는 이러한 기들 또는 화합물들을 중합체의 주쇄 또는 측쇄에 도입한 화합물들도 사용할 수 있다.
또한, 상기 언급한 화학 증폭형의 감광성 수지 조성물에는, 필요에 따라서 산분해성 용해 억제 화합물, 염료, 가소화제, 계면활성제, 광감제, 염기성 유기 화합물 및 현상액에 대한 용해성을 촉진시키는 화합물 등이 추가로 포함될 수도 있다.
예를 들면, 상기 감광성 수지 조성물을, 기판 상에 스피너, 코팅기 등의 적당한 코팅 장치에 의해 적절한 코팅 방법으로 도포하고, 핫 플레이트 상에서 가열하여 감광성 수지 조성물 중의 용매를 제거함으로써, 감광성 수지층을 형성한다. 가열 온도는 사용하는 용매 또는 레지스트 조성물에 따라 다르지만, 일반적으로 70 내지 150℃, 바람직하게는 90 내지 150℃의 온도에서 수행하며, 핫 플레이트의 경우에는 10 내지 180초, 바람직하게는 30 내지 90초, 클린 오븐의 경우에는 1 내지 30분간 가열을 수행할 수 있다.
본 발명의 레지스트 패턴의 제조 방법에서는, 감광성 수지층 이외의 막(들)이나 층(들)의 존재도 허용된다. 기판과 감광성 수지층의 직접적인 접촉이 없이, 중간층(들)을 개재시킬 수도 있다. 중간층은 기판과 감광성 수지층 사이에 형성되는 층으로, 하층막이라고도 불린다. 하층막으로서는, 기판 개질막, 평탄화막, 하층 반사 방지 코팅 (BARC), 무기 하드 마스크 중간층 (산화규소막, 질화규소막 및 산질화규소막) 및 접착막을 언급할 수 있다. 무기 하드 마스크 중간의 형성에 대해서는 JP 5,336,306 B호를 참조할 수 있다. 중간층은 1층 또는 복수의 층들로 이루어질 수 있다. 또한, 감광성 수지층 상에 상층 반사 방지 코팅 (TARC)을 형성시킬 수도 있다.
본 발명의 레지스트 패턴의 제조 방법에서의 층 구성의 경우, 공정 조건에 따라 공지된 임의의 기술을 사용할 수 있다. 예를 들면, 하기의 층 구성을 참조할 수 있다.
기판 / 하층막 / 감광성 수지층
기판 / 평탄화막 / BARC / 감광성 수지층
기판 / 평탄화막 / BARC / 감광성 수지층 / TARC
기판 / 평탄화막 / 무기 하드 마스크 중간층 / 감광성 수지층 / TARC
기판 / 평탄화막 / 무기 하드 마스크 중간층 / BARC / 감광수지층 / TARC
기판 / 평탄화막 / 접착막 / BARC / 감광성 수지층 / TARC
기판 / 기판 개질층 / 평탄화막 / BARC / 감광수지층 / TARC
기판 / 기판 개질층 / 평탄화막 / 접착막 / BARC / 감광성 수지층 / TARC
이러한 층들은 코팅 후 가열 및/또는 노광하여 경화시키거나, 또는 CVD 방법과 같은 임의의 공지된 방법을 사용하여 제조될 수 있다. 이러한 층들은 공지된 방법 (에칭 등)에 의해 제거될 수 있고, 상부 층을 마스크로 사용하여 패터닝시킬 수 있다.
소정의 마스크를 통해 감광성 수지층을 노광시킨다. 다른 층들 (TARC 층 등)도 포함하는 경우, 이들을 함께 노광시킬 수도 있다. 노광에 사용되는 방사선 (광)의 파장은 특별히 한정되지는 않지만, 파장이 13.5 내지 248 nm의 광으로 노광을 수행하는 것이 바람직하다. 특히, KrF 엑시머 레이저 (파장: 248 nm), ArF 엑시머 레이저 (파장: 193 nm), 극자외선 (파장: 13.5 nm) 등을 사용할 수 있지만, 극자외선이 보다 바람직하다. 이러한 파장들은 ±5%의 범위, 바람직하게는 ±1%의 범위까지 허용한다. 노광 후, 필요에 따라, 노광 후 소성 (PEB; post exposure bake)을 행할 수도 있다. 노광 후 소성의 온도는 70 내지 150℃에서 적절히 선택되고; 바람직하게는 80 내지 120℃이며, 가열 시간은 0.3 내지 5분에서 적절히 선택되며; 바람직하게는 0.5 내지 2분이다.
이후, 현상액으로 현상을 수행한다. 본 발명의 레지스트 패턴의 제조 방법의 현상의 경우, 2.38 질량% (±1%는 허용됨)의 수산화테트라메틸암모늄 (TMAH) 수용액을 사용하는 것이 바람직하다. 또한, 현상액에 계면활성제 등을 첨가할 수도 있다. 현상액의 온도는 일반적으로 5 내지 50℃; 바람직하게는 25 내지 40℃에서 적절히 선택되고, 현상 시간은 일반적으로 10 내지 300초; 바람직하게는 20 내지 60초에서 적절히 선택된다. 현상 방법으로는, 패들 현상 등의 공지된 임의의 방법을 사용할 수도 있다.
상술한 바와 같이, 본 발명의 레지스트 패턴은 레지스트막을 노광/현상하여 얻어지는 것 뿐만 아니라, 레지스트막을 다른 층(들) 또는 막(들)로 추가로 피복함으로써 벽을 두껍게 한 것도 포함한다.
상기 단계들까지의 공정에 의해 형성된 레지스트 패턴 (현상된 감광성 수지층)은 세정되지 않은 상태이다. 상기 레지스트 패턴은 본 발명의 전자 소자 제조 수용액으로 세정할 수 있다. 전자 소자 제조 수용액을 레지스트 패턴에 접촉시키는 시간, 즉 가공처리 시간은 1초 이상인 것이 바람직하다. 또한, 가공처리 온도도 자유롭게 결정할 수 있다. 전자 소자 제조 수용액을 레지스트에 접촉시키는 방법도 자유롭게 선택되는데, 예를 들어 상기 방법은 레지스트 기판을 전자 소자 제조 수용액에 침지시키거나, 또는 전자 소자 제조 수용액을 회전하는 레지스트 기판 표면 상에 적하함으로써 수행할 수 있다.
본 발명에 따른 레지스트 패턴의 제조 방법에서는, 현상 후의 레지스트 패턴을 전자 소자 제조 수용액에 의한 세정 처리 전 및/또는 후에 다른 세정액으로 세정할 수도 있다. 다른 세정액은 물이 바람직하고, 순수 (DW, 탈이온수 등)가 보다 바람직하다. 본 발명의 처리 전 세정은 레지스트 패턴에 부착된 현상액을 세정하는데 유용하다. 본 발명의 처리 후 세정은 전자 소자 제조 수용액을 세정하는데 유용하다. 본 발명에 따른 제조 방법의 바람직한 한 실시형태는 현상 후의 레지스트 패턴에 순수를 붓는 것으로 현상액으로 치환하면서 해당 패턴을 세정하는 단계, 및 추가로 상기 패턴을 순수로 침지된 상태를 유지한 채, 전자 소자 제조 수용액을 붓는 것으로 순수를 치환하면서 상기 패턴을 세정하는 단계를 포함하는 방법이다.
전자 소자 제조 수용액에 의한 세정은 공지의 방법에 의해 행해도 된다. 세정은, 예를 들어 레지스트 기판을 전자 소자 제조 수용액에 침지함으로써, 또는 회전하는 레지스트 기판 표면에 전자 소자 제조 수용액을 적하함으로써 수행될 수도 있다. 이러한 방법들을 적절히 조합하여 실시할 수도 있다.
패턴 도괴가 발생하기 쉬운 조건들 중 하나로서, 레지스트 패턴의 벽과 벽의 간격이 가장 좁은 부분이 있다. 레지스트 패턴의 벽과 벽이 평행하게 정렬되어 있는 곳이 엄중한 조건이 된다. 본 명세서에서는, 하나의 회로 단위 상에서 간격이 가장 작은 곳의 간격의 거리를 최소 공간 크기로 정의한다. 하나의 회로 단위가 차후 공정에서 하나의 반도체가 되는 것이 바람직하다. 또한, 하나의 반도체가 수평 방향으로 하나의 회로 단위를 포함하고, 수직 방향으로 복수의 회로 단위를 포함하는 것도 바람직한 실시형태이다. 물론, 시험 샘플과는 달리, 벽과 벽 사이의 간격이 좁은 부분의 발생 빈도가 낮다면, 결함이 발생하는 빈도가 감소하므로, 불량품의 발생 빈도도 줄어든다.
본 발명에서, 하나의 회로 단위에 있어서 레지스트 패턴의 최소 공간 크기는 10 내지 30 nm인 것이 바람직하고, 10 내지 20 nm인 것이 보다 바람직하고, 10 내지 17 nm인 것이 더 바람직하다.
<소자의 제조방법>
본 발명의 소자 제조 방법은 전자 소자 제조 수용액을 사용하여 레지스트 패턴을 제조하는 방법을 포함한다. 바람직하게는, 본 발명에 따른 소자의 제조 방법은 마스크로서 상기 언급한 방법에 의해 제조된 레지스트 패턴을 에칭하는 단계, 및 기판을 처리하는 단계를 더 포함한다. 가공처리 후, 필요에 따라, 레지스트 필름을 박리 제거한다. 바람직하게는, 상기 소자는 반도체이다.
본 발명의 제조 방법에서는, 레지스트 패턴을 마스크로 사용하여 에칭에 의해 중간층 및/또는 기판을 처리할 수 있다. 에칭의 경우, 건식 에칭, 습식 에칭 등의 공지된 임의의 방법을 사용할 수 있으나, 건식 에칭이 보다 적합하다. 예를 들면, 레지스트 패턴을 에칭 마스크로 사용하여 중간층을 에칭할 수 있고, 상기 얻어진 중간층 패턴을 에칭 마스크로 사용하여 기판을 에칭하여 해당 기판을 가공처리할 수도 있다. 또한, 레지스트 패턴을 에칭 마스크로 사용하여 레지스트 층의 하층(들) (예를 들어, 중간층)을 에칭하면서, 해당 기판을 연속하여 에칭할 수도 있다. 가공처리된 기판은, 예를 들어 패턴화된 기판이 된다. 상기 형성된 패턴을 이용하여 기판에 배선을 형성할 수 있다.
이러한 층들은 바람직하게는 O2, CF4, CHF3, Cl2 또는 BCl3로 건식 에칭을 수행함으로써 제거될 수 있고, 바람직하게는 O2 또는 CF4가 사용될 수도 있다.
바람직한 실시형태로서, 본 발명에 따른 소자의 제조 방법은 가공처리된 기판 상에 배선을 형성하는 단계를 더 포함한다.
<레지스트 벽에 가해지는 응력>
건조 동안에 벽에 가해지는 응력은 문헌 [Namatsu et al., Appl. Phys. Lett. 1995 (66), p2655-2657]에 기술되어 있고, 도 1에 개략적으로 도시된 바와 같이, 린스 건조 동안 벽에 가해지는 응력은 하기 식으로 나타낼 수 있다:
σmax=(6γcosθ/D)x(H/W)2
해당 식에서,
σmax: 레지스트에 가해지는 최대 응력,
γ: 린스의 표면 장력,
θ: 접촉각,
D: 벽간의 거리,
H: 벽의 높이, 및
W: 벽의 폭
상기 길이들은 공지된 방법 (예를 들어, SEM 사진술)에 의해 측정될 수 있다.
상기 식에서 알 수 있는 바와 같이, D 또는 W가 짧아질수록, 응력이 더 많이 유발된다. 본 명세서에서, "피치 크기"는, 도 1에 도시된 바와 같이, W 및 D를 갖는 레지스트 패턴 단위 서열의 하나의 단위를 의미한다.
이는 요구되는 레지스트 패턴이 미세 (더 좁은 피치 크기)할수록, 레지스트 패턴에 가해지는 응력이 더 커짐을 의미한다. 이와 같이 패턴이 미세해 질수록, 조건은 더욱 엄중해지기 때문에, 전자 소자 제조 수용액 (예를 들어, 린스 조성물)에 대한 개선이 더욱 필요하다.
본 발명을 다양한 실시예들을 참조하여 하기에 설명한다. 또한, 본 발명의 실시형태들은 이러한 실시예들에 한정되는 것은 아니다.
<실시예 101의 제조예>
탈이온수에 알킬카르복실산 화합물 (A)로서 n-헥산산을 농도가 0.2 질량%가 되도록 첨가하여 교반한다. 육안으로 완전히 용해되었는지를 확인한다. 이를 여과하여 (공극 크기 = 10 nm) 실시예 101의 수용액을 얻었다.
<실시예 102 내지 112의 제조예 및 비교예 101 내지 103의 비교 제조예>
상기 실시예 101의 제조예에서와 동일한 방식으로, 표 1에 나타낸 알킬카르복실산 화합물 (A)과 염기성 화합물 (C)을 사용하여, 실시예 102 내지 112 및 비교예 101 내지 103의 수용액을 표 1에 나타낸 농도를 갖도록 제조한다. 또한, 비교예 101은 아무것도 첨가하지 않은 탈이온수를 여과한 것이다.
Figure pct00002
<평가 기판의 제조 1>
하층 반사 방지 코팅 형성 조성물 [AZ Kr-F17B, Merck Performance Materials (이하, MPM이라 함)]을 스핀 코팅에 의해 실리콘 기판 상에 도포하고, 핫 플레이트상에 180℃로 60초간 가열을 수행하여 막 두께가 80 nm인 하층 반사 방지 코팅을 얻는다. 이 위에 PHS-아크릴레이트계 화학 증폭형 레지스트 (DX6270P, MPM)를 도포하고, 핫 플레이트 상에 120℃로 90초간 가열을 수행하여 막 두께가 620 nm인 레지스트막을 얻는다. 이 기판을 KrF 노광 장치 (FPA3000 EX5, 캐논)를 사용하여 마스크 (250 nm, 선/공간 = 1:1)를 통해 노광시킨다. 이때, 노광량을 25 mJ/㎠ 내지 40 mJ/㎠로 변화시켜 얻어지는 선폭도 변화시킨다.
그 후, 핫 플레이트 상에서 노광 후 소성 (PEB)을 100℃로 60초간 수행하고, 2.38 질량%의 TMAH 수용액인 현상액을 부어 넣은 후, 이 상태를 60초간 유지한다 (패들). 현상액이 패들되어 있는 상태에서, 물을 붓기 시작한다. 기판을 회전시키면서, 현상액을 물로 대체하고, 이러한 처리는 물로 패들시킨 상태에서 중지하며, 이러한 상태를 60초간 정치한다. 그 후, 물로 패들시킨 상태에, 상기 제조한 실시예 101의 수용액을 부어 넣고, 물을 실시예 101의 수용액으로 대체하고, 실시예 101의 수용액으로 패들시킨 상태에서 실시예 101의 수용액 주입을 10초간 중지한다. 기판을 스핀 건조에 의해 30초간 건조시킨다.
실시예 102 내지 112 및 비교예 101 내지 103에 대해, 각 수용액을 사용하여 상기와 동일한 방식으로 평가 기판 제작을 수행한다.
<도괴 방지에 대한 평가>
제조 1의 평가 기판을 사용하여, 패턴 도괴 방지 성능에 대하여 평가를 수행한다. 레지스트 패턴은 SEM 장비 S-9220 (Hitachi High-Technologies)을 사용하여 관찰하여, 패턴 도괴의 유무를 관찰한다. 평가 기준을 아래에 나타낸다. 비교예 101에서는, 선폭 187 nm의 레지스트 패턴에서 패턴 도괴가 확인되었다. 상기 결과를 표 1에 나타낸다.
A: 150 nm 이상 177 nm 이하의 선폭을 갖는 레지스트 패턴에서는 패턴 도괴가 확인되지 않았다.
B: 150 nm 이상 187 nm 이하의 선폭을 갖는 레지스트 패턴에서는 패턴 도괴가 확인되었다.
C: 187 nm보다 큰 선폭을 갖는 레지스트 패턴에서 패턴 도괴가 확인되었다.
<실시예 201의 제조예>
탈이온수에 2-메틸헥산산 및 1,4-부탄디올을 각각 0.2 질량% 및 0.1 질량%의 농도가 되도록 첨가하여 교반한다. 육안으로 이들이 완전히 용해되었는지를 확인한다. 이를 여과하여 (공극 크기 = 10 nm) 실시예 201의 수용액을 얻었다.
<실시예 202 내지 205의 제조예 및 비교예 201의 비교 제조예>
상기 실시예 201의 제조예에서와 동일한 방식으로, 표 2에 나타낸 알킬카르복실산 화합물 (A)과 염기성 화합물 (C)을 사용하여, 실시예 202 내지 205 및 비교예 201의 수용액을 표 2에 나타낸 농도를 갖도록 제조한다. 또한, 비교예 201은 아무것도 첨가하지 않은 탈이온수를 여과한 것이다.
<실시예 206의 제조예>
탈이온수에 2-에틸헥산산 및 암모니아를 각각 0.1 질량% 및 0.05 질량%의 농도가 되도록 첨가하여 교반한다. 육안으로 이들이 완전히 용해되었는지를 확인한다. 이를 여과하여 (공극 크기 = 10 nm) 실시예 206의 수용액을 얻었다.
Figure pct00003
<평가 기판의 제조 2>
규소 기판을 90℃에서 30초간 헥사메틸디실라잔 (HMDS)으로 처리하였다. 그 위에 EUV용 PHS-아크릴레이트계 화학 증폭형 레지스트를 스핀 코팅에 의해 도포하고, 핫 플레이트 상에서 110℃로 60초간 가열을 수행하여 막 두께가 45 nm인 레지스트막을 얻었다. 이 기판을 EUV 노광 장치 (NXE: 3300B, ASML)를 사용하여 마스크 (18 nm, 선/공간 = 1:1)를 통해 노광시킨다. 이때, 노광량을 변화시켜 얻어지는 선폭도 변화시킨다. 그 후, 핫 플레이트 상에서 노광 후 소성 (PEB)을 100℃로 60초간 수행하고, 2.38 질량%의 TMAH 수용액인 현상액을 부어 넣은 후, 이 상태를 30초간 유지한다 (패들). 현상액이 패들되어 있는 상태에서, 물을 붓기 시작한다. 기판을 회전시키면서, 현상액을 물로 대체하고, 이러한 처리는 물로 패들시킨 상태에서 중지하며, 이러한 상태를 60초간 정치한다. 그 후, 물로 패들시킨 상태에, 실시예 201의 수용액을 부어 넣고, 물을 실시예 201의 수용액으로 대체하고, 실시예 201의 수용액으로 패들시킨 상태에서 실시예 201의 수용액 주입을 10초간 중지한다. 이 기판을 스핀 건조에 의해 건조시킨다.
실시예 202 내지 206 및 비교예 201에 대해, 각 수용액을 사용하여 상기와 동일한 방식으로 평가 기판 제작을 수행한다.
<한계 패턴 크기에 대한 평가>
측장기 SEM CG5000 (Hitachi High-Technologies)을 사용하여, 제조 2의 평가 기판 상에 형성된 레지스트 패턴의 선폭과 패턴 도괴의 유무를 관찰한다. 노광량이 증가하면 선폭이 감소한다. 패턴 도괴가 발생하지 않는 최소 선폭 크기를 "한계 패턴 크기" 라고 정의한다.
비교예 201의 수용액의 경우, 16.4 nm의 선 크기에서 패턴 도괴가 확인되었다. 한편, 17.3 nm에서는 도괴가 확인되지 않았기 때문에, 한계 패턴 크기는 17.3 nm로 정하였다. 상기 결과를 표 2에 나타낸다.
<LWR의 평가>
제조 2의 평가 기판 상에 형성된 레지스트 패턴의 LWR을 평가한다. SEM CG5000 (Hitachi High-Technologies)을 사용하여, 18 nm의 선폭을 갖는 레지스트 패턴의 LWR (선폭 조도, Line Width Roughness)을 측정한다. 그 결과를 표 2에 나타내었다.

Claims (13)

  1. 전자 소자 제조 수용액으로서,
    알킬카르복실산 화합물 (A); 및 용매 (B)를 포함하며, 상기 알킬카르복실산 화합물 (A)이 하기 화학식 (a):
    A1-COOH (a)
    (상기 식에서, A1은 C4-12 알킬이고, 바람직하게는 A1은 선형 또는 분지형 C5-10 알킬임)로 표시되고;
    용매 (B)가 물을 포함하는 것인, 전자 소자 제조 수용액.
  2. 제1항에 있어서, 하이드록시 함유 화합물 (C)을 더 포함하는, 전자 소자 제조 수용액.
  3. 제1항 또는 제2항에 있어서, 상기 알킬카르복실산 화합물 (A)의 함량이, 전자 소자 제조 수용액을 기준으로, 0.01 내지 10 질량%이고;
    바람직하게는, 용매 (B)의 함량은, 전자 소자 제조 수용액을 기준으로, 80 내지 99.99 질량%이며;
    바람직하게는, 용매 (B)에 함유된 물의 함량이, 전자 소자 제조 수용액을 기준으로, 80 내지 99.99 질량%이거나; 또는
    바람직하게는, 하이드록시 함유 화합물 (C)의 함량은, 전자 소자 제조 수용액을 기준으로, 0.001 내지 10 질량%인 것인, 전자 소자 제조 수용액.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 계면활성제 (D)를 더 포함하는, 전자 소자 제조 수용액.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 첨가제 (E)를 더 포함하되,
    상기 첨가제 (E)가 산, 염기, 살균제, 항균제, 보존제, 진균제, 또는 이들의 임의의 조합을 포함하고;
    바람직하게는, 상기 첨가제 (E)가 염기를 포함하며;
    바람직하게는, 계면활성제 (D)의 함량이 전자 소자 제조 수용액을 기준으로 0.01 내지 5 질량%이거나; 또는
    바람직하게는 상기 첨가제 (E)의 함량이 전자 소자 제조 수용액을 기준으로 0.0001 내지 10 질량%인 것인, 전자 소자 제조 수용액.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 반도체 제조 수용액인 전자 소자 제조 수용액으로서,
    바람직하게는, 상기 전자 소자 제조 수용액이 반도체 기판 제조 수용액이고;
    바람직하게는, 상기 전자 소자 제조 수용액이 반도체 기판 제조 공정 세정액이며;
    바람직하게는, 상기 전자 소자 제조 수용액이 리소그래피 세정액이거나; 또는
    바람직하게는, 상기 전자 소자 제조 수용액이 레지스트 패턴 세정액인 것인, 전자 소자 제조 수용액.
  7. 제1항 내지 제6항 중 어느 한 항에 따른 전자 소자 제조 수용액을 사용하여 레지스트 패턴을 제조하는 방법.
  8. 레지스트 패턴을 제조하는 방법으로서,
    (1) 하나 이상의 중간층을 개재하거나 개재하지 않고, 감광성 수지 조성물을 기판에 적용하여, 감광성 수지층을 형성하는 단계;
    (2) 상기 감광성 수지층을 방사선에 노광시키는 단계;
    (3) 상기 노광된 감광성 수지층을 현상하는 단계; 및
    (4) 상기 현상된 층을 제1항 내지 제6항 중 어느 한 항에 따른 전자 소자 제조 수용액으로 세정하는 단계를 포함하는 것인, 방법.
  9. 제8항에 있어서, 상기 감광성 수지 조성물이 화학 증폭형 감광성 수지 조성물이고, 바람직하게는 극자외선을 사용하여 노광을 수행하는 것인, 방법.
  10. 제7항 내지 제9항 중 어느 한 항에 있어서, 하나의 회로 단위에서 레지스트 패턴의 최소 공간 크기가 10 내지 30 nm인 것인, 방법.
  11. 제7항 내지 제10항 중 어느 한 항에 따른 레지스트 패턴의 제조 방법을 포함하는 소자의 제조 방법.
  12. 제11항에 있어서, 마스크로서 제7항 내지 제10항 중 어느 한 항에 따른 방법에 의해 제조된 레지스트 패턴을 에칭하는 단계, 및 기판을 가공처리하는 단계를 더 포함하는, 방법.
  13. 제11항 또는 제12항에 있어서, 가공처리된 기판 상에 배선을 형성하는 단계를 더 포함하는, 방법.
KR1020227038756A 2020-04-06 2021-04-01 전자 소자 제조 수용액, 레지스트 패턴의 제조 방법 및 소자의 제조 방법 KR20220164776A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2020068224A JP2021165771A (ja) 2020-04-06 2020-04-06 電子機器製造水溶液、レジストパターンの製造方法およびデバイスの製造方法
JPJP-P-2020-068224 2020-04-06
PCT/EP2021/058571 WO2021204651A1 (en) 2020-04-06 2021-04-01 Electronic device manufacturing aqueous solution, method for manufacturing resist pattern and method for manufacturing device

Publications (1)

Publication Number Publication Date
KR20220164776A true KR20220164776A (ko) 2022-12-13

Family

ID=75441868

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227038756A KR20220164776A (ko) 2020-04-06 2021-04-01 전자 소자 제조 수용액, 레지스트 패턴의 제조 방법 및 소자의 제조 방법

Country Status (8)

Country Link
US (1) US20230167383A1 (ko)
EP (1) EP4133333A1 (ko)
JP (2) JP2021165771A (ko)
KR (1) KR20220164776A (ko)
CN (1) CN115398340A (ko)
IL (1) IL296997A (ko)
TW (1) TW202204592A (ko)
WO (1) WO2021204651A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL309082A (en) * 2021-07-15 2024-02-01 Merck Patent Gmbh Aqueous solution for manufacturing an electronic device, a method for manufacturing a resistive pattern and a method for manufacturing a device
WO2023170021A1 (en) * 2022-03-09 2023-09-14 Merck Patent Gmbh Electronic device manufacturing solution, method for manufacturing resist pattern, and method for manufacturing device
WO2024017921A1 (en) 2022-07-22 2024-01-25 Merck Patent Gmbh Developer tolerance resist underlayer composition and method for manufacturing resist pattern

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014219577A (ja) 2013-05-09 2014-11-20 Azエレクトロニックマテリアルズマニュファクチャリング株式会社 リソグラフィー用リンス液およびそれを用いたパターン形成方法
WO2017220479A1 (en) 2016-06-20 2017-12-28 Az Electronic Materials (Luxembourg) S.A.R.L. A rinse composition, a method for forming resist patterns and a method for making semiconductor devices
WO2018095885A1 (en) 2016-11-25 2018-05-31 Az Electronic Materials (Luxembourg) S.A.R.L. A lithography composition, a method for forming resist patterns and a method for making semiconductor devices

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3817012A1 (de) 1988-05-19 1989-11-30 Basf Ag Positiv und negativ arbeitende strahlungsempfindliche gemische sowie verfahren zur herstellung von reliefmustern
DE68926019T2 (de) 1988-10-28 1996-10-02 Ibm Positiv arbeitende hochempfindliche Photolack-Zusammensetzung
KR100573560B1 (ko) * 1997-10-30 2006-08-30 가오가부시끼가이샤 레지스트용현상액
ATE527581T1 (de) * 2005-03-29 2011-10-15 Fujifilm Corp Verfahren zur herstellung einer lithografiedruckform
EP1854627A1 (en) * 2006-05-12 2007-11-14 Agfa Graphics N.V. Method for making a lithographic printing plate
JP5336306B2 (ja) 2008-10-20 2013-11-06 信越化学工業株式会社 レジスト下層膜形成方法、これを用いたパターン形成方法、及びレジスト下層膜材料
KR101876600B1 (ko) * 2011-03-30 2018-07-09 제이에스알 가부시끼가이샤 다층 레지스트 공정 패턴 형성 방법 및 다층 레지스트 공정용 무기막 형성 조성물
US10120277B2 (en) * 2016-02-19 2018-11-06 Jsr Corporation Radiation-sensitive composition and pattern-forming method
CN107499016A (zh) * 2017-09-25 2017-12-22 浙江康尔达新材料股份有限公司 一种热敏阴图平版印刷版前体及其制版方法
WO2019181387A1 (ja) * 2018-03-22 2019-09-26 富士フイルム株式会社 ろ過装置、精製装置、薬液の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014219577A (ja) 2013-05-09 2014-11-20 Azエレクトロニックマテリアルズマニュファクチャリング株式会社 リソグラフィー用リンス液およびそれを用いたパターン形成方法
WO2017220479A1 (en) 2016-06-20 2017-12-28 Az Electronic Materials (Luxembourg) S.A.R.L. A rinse composition, a method for forming resist patterns and a method for making semiconductor devices
WO2018095885A1 (en) 2016-11-25 2018-05-31 Az Electronic Materials (Luxembourg) S.A.R.L. A lithography composition, a method for forming resist patterns and a method for making semiconductor devices

Also Published As

Publication number Publication date
WO2021204651A1 (en) 2021-10-14
JP2021165771A (ja) 2021-10-14
US20230167383A1 (en) 2023-06-01
JP2023519537A (ja) 2023-05-11
EP4133333A1 (en) 2023-02-15
CN115398340A (zh) 2022-11-25
TW202204592A (zh) 2022-02-01
IL296997A (en) 2022-12-01

Similar Documents

Publication Publication Date Title
KR20220164776A (ko) 전자 소자 제조 수용액, 레지스트 패턴의 제조 방법 및 소자의 제조 방법
TWI596207B (zh) 微影用沖洗液及使用其之圖案形成方法
US7129020B2 (en) Liquid coating composition for forming a top antireflective film and photoresist laminate using the same, as well as method for forming photoresist pattern
US20050266346A1 (en) Method for forming photoresist pattern and photoresist laminate
KR20050098957A (ko) 포토레지스트 조성물 및 레지스트 패턴의 형성 방법
KR101921892B1 (ko) 리소그래피용 린스액 및 이를 사용한 패턴 형성 방법
KR20240014535A (ko) 후막 레지스트 조성물 및 이를 사용하는 레지스트 막의 제조방법
JP7200110B2 (ja) 半導体水溶性組成物、およびその使用
KR20240035567A (ko) 전자 디바이스 제조용 수용액, 레지스트 패턴의 제조방법 및 디바이스의 제조방법
JP7411702B2 (ja) 半導体水溶性組成物およびその使用
WO2023170021A1 (en) Electronic device manufacturing solution, method for manufacturing resist pattern, and method for manufacturing device
JP2024507043A (ja) レジスト膜厚膜化組成物および厚膜化パターンの製造方法