KR20220004014A - 에칭 처리 방법 및 에칭 처리 장치 - Google Patents

에칭 처리 방법 및 에칭 처리 장치 Download PDF

Info

Publication number
KR20220004014A
KR20220004014A KR1020217029368A KR20217029368A KR20220004014A KR 20220004014 A KR20220004014 A KR 20220004014A KR 1020217029368 A KR1020217029368 A KR 1020217029368A KR 20217029368 A KR20217029368 A KR 20217029368A KR 20220004014 A KR20220004014 A KR 20220004014A
Authority
KR
South Korea
Prior art keywords
aluminum oxide
etching
oxide film
wafer
gas
Prior art date
Application number
KR1020217029368A
Other languages
English (en)
Inventor
히로토 오타케
다카시 핫토리
Original Assignee
주식회사 히타치하이테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 히타치하이테크 filed Critical 주식회사 히타치하이테크
Publication of KR20220004014A publication Critical patent/KR20220004014A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)
  • Magnetic Heads (AREA)

Abstract

산화알루미늄막을 산화실리콘막 및 질화실리콘막에 대해 높은 선택비로 고정밀도로 에칭할 수 있는 에칭 처리 방법 및 에칭 처리 장치를 제공하기 위해, 산화알루미늄막이 상면에 배치된 웨이퍼를 처리실 내에 배치하고, 당해 웨이퍼를 -20℃ 이하의 온도로 유지해서, 상기 웨이퍼의 상기 상면의 상방에 소정의 극간을 두고 배치된 판상의 부재의 복수의 관통 구멍으로부터 불화수소의 증기를 미리 정해진 기간만큼 공급하여 상기 산화알루미늄막을 에칭하는 공정을 구비했다.

Description

에칭 처리 방법 및 에칭 처리 장치
본 발명은, 반도체 디바이스를 제조하는 공정에 있어서, 반도체 웨이퍼 등의 기판 상의 시료 상에 미리 형성된 처리 대상의 산화알루미늄막을 에칭해서 제거하는 에칭 처리 방법 그리고 에칭 처리 장치에 관한 것이다.
미세화가 진행되는 반도체 디바이스에서는, 복잡한 구조를 형성하기 위해, 실리콘막, 산화실리콘막, 질화실리콘막 등의 종래로부터 사용되고 있던 것에 더해, 더 새로운 종류의 재료에 의한 박막이 요구되고 있다. 이 때문에, 이러한 박막이 적층된 구조를 에칭해서 회로를 형성하는데 있어, 대상의 막과 이 이외의 다른 종류의 막에 대해 높은 선택비를 실현하는 에칭 기술이 강하게 요구되고 있다. 이들 새로운 박막 재료의 예의 하나로서, 산화알루미늄막을 들 수 있다.
이러한 산화알루미늄막이 사용된 막 구조로부터 반도체 디바이스를 형성하는 공정의 예를 도 6을 사용해서 설명한다. 도 6은, 에칭 처리되는 산화알루미늄막을 포함하는 복수 층의 막 구조의 예를 모식적으로 나타내는 단면도이다. 본 도면의 복수의 단면도의 각각은, 에칭의 공정을 포함하는 복수의 공정의 각각에서의 막 구조의 단면을 나타내고 있다.
도 6의 (a)는, 산화알루미늄막을 그 하층의 막층의 에칭 마스크로서 사용하는 예이다. 우선, 산화알루미늄막(601)을 소정의 패턴으로 되도록 드라이 에칭한 후에, 당해 패턴의 형상으로 에칭된 산화알루미늄막(601)을 에칭 마스크로 해서 하층의 피에칭막층(602)의 에칭을 행하는 것이다. 이 후, 본 도면의 우측도와 같이, 불필요로 되는 산화알루미늄막(601)은 제거되지만, 그 공정에는, 하층의 피에칭막(602)이나 하지막(603) 등과 높은 선택비가 실현되어 있는 것이 필요해진다.
도 6의 (b)는, 산화알루미늄막을 스페이서로서 사용하는 예이다. 하지막(603) 상에 상층막(603)을 갖는 구조물 상에 산화알루미늄막(601)을 형성한 후, 드라이 에칭 기술로 이방적으로 에칭하면, 구조물의 옆으로만 산화알루미늄막(601)을 남긴 「스페이서」 구조를 형성할 수 있다. 이 스페이서 구조를 도핑 마스크나 에피 마스크, 패턴 마스크로서 막 구조의 처리에 이용한 후, 본 도면 우측도와 같이, 불필요해진 산화알루미늄막(601)을 제거하는 공정이 실시된다.
도 6의 (c)는, 산화알루미늄막을 디바이스의 일부로서 사용하는 예이다. 로직 디바이스 등의 고성능 디바이스에서는, 게이트 산화막과 게이트 전극의 일함수의 제어를 위해 「워크 펑션 메탈」을 형성하지만, 이 일부로서 산화알루미늄이 사용되는 경우가 있다. 그러나, 이 워크 펑션 메탈은 N형 트랜지스터와 P형 트랜지스터에서 막두께나 막종이 바뀔 경우가 있고, 이 경우에는 편측을 포토레지스트 등으로 덮고 불필요한 부분을 제거하는 공정이 실시된다.
본 도면 좌측도에 있어서, 피에칭막인 하층의 막층(602)의 상방을 덮어 배치된 산화알루미늄막(601)이 워크 펑션 메탈을 구성하고, 그 좌측 부분은 상방에 포토레지스트막(605)이 배치되어 덮여 있다. 산화알루미늄막(601)의 우측의 부분은 불필요로 되는 부분이며, 이 부분 상면 상에는 마스크가 되어 있지 않다. 본 도면 우측도에서는, 산화알루미늄(601)의 우측의 부분이 선택적으로 제거된 상태가 나타나 있다.
도 6의 (d)는, 산화알루미늄막이 커패시터 절연막에 사용되는 예이다. 미세화에 수반하는 커패시터 용량의 저감을 회피하기 위해, 고유전률 재료가 커패시터 절연막으로서 사용되는 경우가 있고, 이 절연막의 재료로서 산화알루미늄이 사용된다. 본 도면에서는, 전극막(605) 사이에 산화알루미늄막(601)이 배치된 구조의 단면이 나타나 있다. 상방의 전극막(605)의 형상의 패턴에 맞춘 산화알루미늄막(601)의 가공에는 드라이 에칭이 주로 사용된다.
상기 도 6에 나타낸 공정을 예로 해서 나타낸 산화알루미늄막의 제거를 목적으로 한 에칭은, 종래는, 막 구조를 약액 내에 담가서 행해지는 웨트 처리에 의해 행해져 왔다. 이러한, 약액을 사용한 웨트 처리의 예로서는, 예를 들면, 일본국 특개2006-156867공보(특허문헌 1)의 예가 종래로부터 알려져 있었다. 본 예에서는, γ-산화알루미늄층에 에칭액을 접촉시켜서 불산 처리 또는 인산 처리를 행해 선택적으로 에칭하는 것이 개시되어 있다.
또한, 일본국 특개평8-31932공보(특허문헌 2)에는, 열인산 용액으로 산화알루미늄막을 제거함에 의해, 산화알루미늄막 하방의 텅스텐막 및 산화실리콘막을 제거하지 않고, 콘택트 홀(14) 내에만 텅스텐막을 남기는 처리의 공정의 예가 나타나 있다. 또한, 일본국 특개평8-236503공보(특허문헌 3)에는, 인산 수용액에 의한 산화알루미늄의 웨트 에칭 방법이 종래 알려져 있었던 점이 개시되어 있다.
그러나, 이러한 웨트 에칭 처리에서는, 반도체 디바이스의 구조의 미세화가 진전한 결과, 웨이퍼 표면에 에칭 처리에 의해 형성되는 회로의 구조가 약액의 표면 장력에 의해 변형하거나 홈끼리 사이의 벽이 무너져 버리는 패턴의 무너짐이나, 홈, 홀이나 극간의 에칭 남음의 문제가 현재화하고 있다. 게다가, 대량의 약액 처리가 필요해지는 점이 문제로 되고 있었다. 그 때문에, 등방성 에칭을 약액을 사용한 웨트 처리를 사용하는 것으로부터, 약액을 사용하지 않고 실시하는 드라이 처리로 치환하는 것이 요구되고 있다.
한편, 이러한 산화알루미늄막을 드라이 에칭하는 처리의 예로서, 예를 들면, 일본국 특개평8-236503호 공보(특허문헌 3)에는, 삼염화붕소 가스와 불소를 포함하는 포화 또는 불포화 탄화수소 가스와 CO 가스 또는 CO2 가스를 포함하는 가스나, 삼염화붕소 가스와 저급 알코올, 탄소수 1~5의 포화 또는 불포화 탄화수소로부터 선택되는 적어도 1종의 가스를 포함하는 가스를 사용해서 형성한 플라스마를 사용해서 산화알루미늄막을 에칭하는 기술이 개시되어 있다. 또한, 일본국 특개소59-56731호 공보(특허문헌 4)에는, CCl4, BCl3, Cl2, SiCl4 등의 에칭 가스를 사용해서 형성한 플라스마에 의해 산화알루미늄막을 에칭하는 예가 개시되어 있다.
그러나, 상기 종래기술에서는 플라스마 중의 이온 등 하전 입자를 피에칭막인 산화알루미늄막에 유인해서 충돌시켜 에칭을 촉진하는 이온 어시스트를 사용해서 이방성의 에칭을 행하는 것이다. 이 때문에, 등방성의 에칭을 필요로 하는 제거 공정에 사용하기에는 곤란이 있었다.
한편, 가스만으로 산화알루미늄을 제거하는 기술의 예로서, 일본국 특개평3-272135호 공보(특허문헌 5)의 것이 알려져 있었다. 본 종래기술에는, ClF3 가스와 반응시켜 산화알루미늄막을, 챔버 내의 온도는 200℃, 압력은 9Torr로 해서, 제거하는 기술이 개시되어 있다.
또한, Y. Lee, J. W. Dumont and S. M. George, "Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2and HF", Chemistry of Materials, 27, 3648(2015)(비특허문헌 1)에는, 주석 착체와 불화수소의 반복 조사에 의해 산화알루미늄을 에칭하는 방법이 제안되어 있지만, 주석 착체의 증기압은 낮고, 실제의 에칭에 유효하지 않다. 이에 더해 200℃ 이상의 고온을 필요로 하고 있기 때문에, 상기 확산이나 부식의 문제를 회피하는 것은 어렵다.
일본국 특개2006-156867 공보 일본국 특개평8-31932 공보 일본국 특개평8-236503 공보 일본국 특개소59-56731호 공보 일본국 특개평3-272135호 공보
Y. Lee, J. W. Dumont and S. M. George, "Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2 and HF", Chemistry of Materials, 27, 3648(2015)
상기 종래기술은, 다음 점에 대한 고려가 불충분했기 때문에 문제가 생기고 있었다.
즉, 미세화가 진행되는 반도체 디바이스로서 FinFET이나 Gate-All-Around 등의 3D 로직 디바이스나 메모리 디바이스로서의 3D-DRAM, 3D-NAND 프로세스 등의 검토가 진행되고 있지만, 이들 복잡한 구조를 나노미터 레벨의 고정밀도의 가공을 행하기 위해서는, 종래의 실리콘막, 산화실리콘막, 질화실리콘막의 3종의 박막에서는 디바이스의 제작에 불충분하고, 산화알루미늄의 미세 가공이나 박리 공정이 필요해진다. 이 때, 산화알루미늄만을 박리하고, 실리콘막, 산화실리콘막, 질화실리콘막은 거의 전혀 에칭되지 않는 기술이 강하게 요구되고 있다.
그러나, 상기 종래기술의 산화알루미늄막의 박리 기술로서 불산 수용액이나 인산 수용액에 의한 웨트 처리에 의한 에칭에서는, 미세한 간격을 갖는 홈이나 미소한 직경의 구멍의 구조에서의 에칭 남음이나, 패턴 무너짐 등이 생겨버려 정밀하게 회로 구조를 형성하지 못해 처리의 수율이 손상되어 버린다는 문제가 있었다. 또한, 상기 종래기술은 3염화붕소 등에 의한 플라스마 중의 이온 등 하전 입자를 피에칭막인 산화알루미늄막에 유인해서 충돌시켜 에칭을 촉진하는 이온 어시스트를 사용해서 이방성의 에칭을 행하는 것이기 때문에, 등방성의 에칭이 어렵다는 과제가 있었다.
게다가, 특허문헌 5에 개시의 기술에서는, 챔버 내를 200℃라는 높은 온도로 유지하는 것이 요구되고, 염소 원자가 산화알루미늄뿐만 아니라 인접하는 다른 재료에도 확산해버려, 부식이나 디바이스 특성의 열화를 일으켜 버릴 우려가 있었다. 이와 같이, 종래의 기술에서는, 산화알루미늄막을 등방적으로 제거하지 못해 처리에 있어서 처리의 정밀도와 수율이 손상되고 있다는 문제에 대해 충분히 고려되어 있지 않았다.
본 발명은, 상기 과제를 감안해서 이루어진 것이고, 산화알루미늄막을 산화실리콘막 및 질화실리콘막에 대해 높은 선택비로 고정밀도로 에칭할 수 있는 에칭 처리 방법 및 에칭 처리 장치를 제공하는 것에 있다.
상기 목적은, 산화알루미늄막이 상면에 배치된 웨이퍼를 처리실 내에 배치하고, 당해 웨이퍼를 -20℃ 이하의 온도로 유지해서, 상기 웨이퍼의 상기 상면의 상방에 소정의 극간을 두고 배치된 판상(板狀)의 부재의 복수의 관통 구멍으로부터 불화수소의 증기를 미리 정해진 기간만큼 공급하여 상기 산화알루미늄막을 에칭하는 공정을 구비한 에칭 처리 방법에 의해 달성된다.
또한, 진공 용기와, 이 진공 용기 내부의 공간 내에 배치되고 미리 표면에 산화알루미늄막이 배치된 처리 대칭의 웨이퍼가 상면에 놓이는 스테이지 및 상기 웨이퍼가 상기 스테이지 상에 놓인 상태에서 당해 웨이퍼의 상기 산화알루미늄막의 상방에서 이것과 대향하여 소정의 극간을 두고 배치된 판 부재로서 불화수소를 포함하는 처리용의 가스의 증기가 상기 극간의 내측을 포함하는 상기 산화알루미늄막 상에 도입되는 복수의 관통 구멍을 구비한 판 부재와, 상기 스테이지 또는 놓인 상기 웨이퍼를 -20℃ 이하의 온도로 조절하는 온도 조절의 기구를 구비한 에칭 처리 장치에 의해 달성된다.
산화알루미늄막을 산화실리콘막이나 질화실리콘막에 비해 빠른 에칭 속도로 에칭할 수 있고, 높은 선택비로 산화알루미늄막을 고정밀도로 에칭으로 제거할 수 있는 에칭 처리 방법 또는 에칭 처리 장치를 제공할 수 있다.
도 1은 본 발명의 실시형태에 따른 에칭 처리 장치에 있어서의 처리 챔버 내에 배치된 웨이퍼를 포함하는 주요부의 구성의 개략을 모식적으로 나타내는 종단면도.
도 2는 본 실시예에 따른 에칭 처리 장치의 구성의 개략을 모식적으로 나타내는 종단면도.
도 3은 본 발명의 다른 실시예에 따른 에칭 처리 장치의 구성의 개략을 모식적으로 나타내는 종단면도.
도 4는 본 발명의 또 다른 실시예에 따른 에칭 처리 장치의 구성의 개략을 모식적으로 나타내는 종단면도.
도 5는 도 2에 나타내는 실시예에 따른 에칭 처리 장치의 가스 샤워 플레이트의 구성을 나타내는 상면도.
도 6은 에칭 처리되는 산화알루미늄막을 포함하는 복수 층의 막 구조의 예를 모식적으로 나타내는 단면도.
도 7은 샘플 표면의 복수의 종류의 피막의 에칭량을 나타내는 그래프.
본 발명의 실시형태를 이하 도면을 사용해서 설명한다.
본 발명의 실시형태는, 불화수소 그리고 메탄올 가스를 챔버에 도입한 부식 시험에 있어서, 산화알루미늄 재료를 아래로 향하게 실리콘 웨이퍼에 놓은 경우, 재료의 단부가 에칭된다는 지견에 의거하고 있다.
즉, 발명자들은, 불화수소 그리고 메탄올 가스를 사용한 산화실리콘막의 에칭 처리를 평가하기 위해, 처리 챔버를 구성하는 재료로서 사용될 가능성이 있는 산화알루미늄의 막의 부식에 대한 내성을 평가했다. 평가의 샘플로서, 샘플 웨이퍼 상면보다 작은 면적의 평탄한 표면에 질화실리콘막, 산화실리콘막, 산화알루미늄막이 각각 피막으로서 형성된 3개 부재의 세트를, 실리콘 웨이퍼의 상면 상에 각각의 막을 상방으로 향하게 올려, 캡톤 테이프로 고정했다. 또한, 다른 하나의 세트의 샘플을 각각의 부재 표면에 배치된 막을 아래 방향으로 해서(실리콘 웨이퍼 상면으로 향하게) 당해 실리콘 웨이퍼 상면 상에 올려 마찬가지로 고정했다.
처리 챔버 내에 이들 6개의 에칭 샘플을 올린 실리콘 웨이퍼를 도입하고, 처리 챔버 내의 스테이지 상에 재치한 후, 밀폐된 처리 챔버 내에 불화수소를 400sccm, 메탄올을 200sccm, 아르곤 가스를 100sccm의 양으로 도입하여 처리 챔버 내의 압력을 버터플라이 밸브로 조절해서 300Pa로 유지했다. 실리콘 웨이퍼를 -35℃의 온도로 유지한 상태에서 120초간만큼 처리 챔버 내에서 스테이지 상에 유지 했다.
그 후의 샘플의 표면의 피막의 에칭량을 검출한 결과를 도 7에 나타낸다. 도 7은, 샘플 표면의 복수의 종류의 피막의 에칭량을 나타내는 그래프이다.
샘플의 질화실리콘막은, 피막을 샘플 웨이퍼의 상방으로 향하게 놓은 것 및 아래 방향으로 놓은 것의 양쪽에 있어서 대략 5㎚ 이하의 에칭량이었다. 한편, 실리콘 산화막은, 피막의 면을 샘플 웨이퍼 상에 윗 방향으로 놓은 것은 80㎚ 이상 에칭되었지만 샘플 웨이퍼 대해 아래 방향으로 한 것은 5㎚ 이하의 에칭량이었다.
또한, 산화알루미늄막은, 피막을 샘플 웨이퍼 상에서 윗 방향의 놓은 것은 웨이퍼 상에 퇴적물이 생겨 막두께가 두꺼워진 한편, 샘플 웨이퍼에 아래 방향으로 놓은 것은, 샘플 웨이퍼에 놓인 상태에서 이것에 대향하는 샘플의 아래 방향의 표면 부분의 피막의 중앙부에서는 거의 에칭량이 얻어지지 않았지만 주연부(周緣部)에서는 20㎚ 미만의 에칭량이 얻어졌다. 주연(에지)부의 에칭은 에칭 샘플의 아래 방향의 평탄한 면이 컷된 외주연으로부터 아래 방향의 면의 중앙측에 대략 2mm 이내까지의 영역에서 생기고 있다. 또한, 산화실리콘막 및 질화실리콘막에 대해서는, 동일한 조건에서 모두 4정도의 선택비가 확보되었음을 알았다.
발명자들의 검토에 따르면, 상기 산화알루미늄막의 에칭은, -35℃의 온도에서 HF+CH3OH의 가스가 공급된 조건에서, 샘플이 샘플 웨이퍼 상면 상에 놓이고 그 평탄한 표면이 샘플 웨이퍼 상면과 대향하여 유지된 상태, 특히 좁은 갭으로 유지된 상태의 막의 주연부에서 실현되었음을 알았다. 이러한 현상은, 불화수소가 좁은 갭으로 대향하는 저온의 샘플 웨이퍼 상면 및 산화알루미늄의 피막으로 덮인 샘플의 표면에 있어서 부분적으로 응결하고, 응결한 불화수소의 액 혹은 분자가 산화알루미늄막과 실리콘 웨이퍼의 좁은 갭 내에 샘플의 표면의 외주단 가장자리로부터 모세관 현상의 운동의 원리에 의해 진입함으로써, 불화수소의 액이 당해 외주단 가장자리로부터 도달한 대략 2mm의 범위까지의 산화알루미늄막이 에칭된다고 생각할 수 있다.
이 검토의 결과에 의거하여, 표면에 배치된 산화알루미늄막을 에칭할 수 있는 웨이퍼와 이것에 대향하는 평면과의 거리를 검토한다. 모세관 현상이 생기는 범위를 나타내는 식으로서는 다음 것이 알려져 있다.
h=2Tcosθ/ρgr
여기에서, h는 침입 높이, T는 표면 장력, cosθ는 접촉각, ρ는 밀도, g는 중력 가속도, r은 관의 내경이다. 불화수소의 액체의 물성에 대해서는, 무라하시 šœ스케·사카키바라 šœ페이 저 「무수불화수소」 유기합성화학 제25권 12호 1176~1191 페이지(1967)에 상세하다. 이에 따르면, 표면 장력은 물보다 약하고, 0.012N/m(-23℃), 불화수소의 액의 밀도는 물과 거의 동일한 1002kg/m3로 되어 있다. h로서 실측된 2mm, 접촉각은 알려져 있지 않으므로 물과 동일한 값을 사용하면, 관 내경에 상당하는 r은 1.15mm로 된다. 따라서 웨이퍼와 대향면의 거리는 1mm 이하가 바람직하다고 할 수 있다.
이상과 같이, 산화실리콘막 및 질화실리콘막에 대해 높은 선택비로 산화알루미늄막을 고정밀도로 에칭하는 처리의 조건으로서, 온도 -20℃ 내지 -50℃의 범위 내의 값, 바람직하게는 -35℃로 유지된 1mm 이하의 갭을 구성하는 면의 표면에 산화알루미늄막을 갖는 막 구조에 에칭 가스로서 불화수소+메탄올이 공급되는 것이 지견으로서 얻어졌다.
도 1을 사용해서 본 발명의 실시형태를 특징적인 구성을 설명한다. 도 1은, 본 발명의 실시형태에 따른 에칭 처리 장치에 있어서의 처리실 내에 배치된 웨이퍼를 포함하는 주요부의 구성의 개략을 모식적으로 나타내는 종단면도이다.
본 도면에 있어서, 처리 챔버 내의 도시하지 않은 스테이지 상에 놓인 상태에서의 웨이퍼의 상면의 근방의 종단면이 모식적으로 나타나 있다. 본 도면에 있어서, 웨이퍼 상면에는, 웨이퍼의 기판(102)의 상면에 산화알루미늄막(101)이 배치되고, 더 그 표면의 상방에 복수의 관통 구멍(104)을 갖는 가스 샤워 플레이트(103)가 극간(105)을 두고 배치되어 있다.
또한, 산화알루미늄막(101)의 표면과 가스 샤워 플레이트(103) 하면은 대향해서 배치됨과 함께, 가스 샤워 플레이트(103) 하면과 산화알루미늄(101) 또는 기판(102)의 상면과의 거리는 이들 면의 영역 전체에 걸쳐 관통 구멍(104) 하단의 개구 부분을 제외하고, 평행 또는 이것으로 간주할 수 있을 정도로 소정의 것에 근사한 값으로 유지되어 있다. 특히, 본 예에서는, 상기 거리인 가스 샤워 플레이트(103) 하면과 산화알루미늄(101) 사이의 극간(105)의 크기(거리)(T)는 1mm 이하로 유지되어 있다.
가스 샤워 플레이트(103)에는 복수의 관통 구멍(104)이 구비되고, 관통 구멍(104)을 통해서 산화알루미늄막(103)을 에칭하기 위한 불화수소 등의 처리용의 가스가 공급된다. 본 실시형태에 있어서, 가스 샤워 플레이트(103)와 기판(102) 또는 산화알루미늄막(101)의 상면과의 극간(105)의 간격(T)은 3mm 이하의 값, 바람직하게는 1mm 이하로 된다.
또한, 가스 샤워 플레이트(103)의 2개의 인접하는 관통 구멍(104)의 하단의 개구끼리의 사이의 거리 혹은 이들 간의 가스 샤워 플레이트(103) 하면의 길이 혹은 관통 구멍(104)의 하단 개구와 가스 샤워 플레이트(103)의 외주단 가장자리 사이의 거리(D)는, 1mm 이상 3mm 이하의 사이의 값, 바람직하게는 2mm로 되어 있다. 또한, 관통 구멍(104)의 직경은 1mm 이상 3mm 이하의 범위 내의 값, 바람직하게는 2mm로 된다. 또한, 가스 샤워 플레이트(103)를 구성하는 재료로서 석영이 사용되고 있지만, 이에 한하지 않고, 다른 세라믹스 재료나 수지제의 재료 등, 불화수소의 증기와의 반응이 상대적으로 작고 소모의 진행이 낮은 재료를 사용할 수 있다.
본 실시형태에서는, 가스 샤워 플레이트(103)와 기판(102) 또는 산화알루미늄막(101) 사이의 극간의 압력은 수백Pa, 바람직하게는 300Pa로 유지되고, 그 실현을 위해, 처리용의 가스의 공급이나 배기의 유량 또는 속도가 조절된다. 공급되는 처리용의 가스는 불화수소로만 한정되지 않고, 메탄올 등의 알코올이나 희가스, 그 외의 가스를 포함해도 된다.
또한, 산화알루미늄막(101) 및 기판(102)은, 도시하고 있지 않지만, 내부에 칠러 등의 냉매의 온도를 조절하는 장치와 접속된 냉매의 유로가 배치된 웨이퍼 스테이지 등 지지 기구 상에 놓여 유지되고 있다. 지지 기구가 내부의 온도 조절용의 기구에 의해 소정의 범위 내의 온도로 조절됨으로써, 산화알루미늄막(101)이 표면에 배치된 기판(102)의 온도가, 가스 샤워 플레이트(103)로부터 공급되는 처리용의 가스가 공급되어, 극간(105) 내에서 불화수소가 증기가 응결하거나 혹은 액적으로 되고 반응하여 생기는 산화알루미늄막(101)의 에칭 처리에 적합한 -20℃ 이하이며 -50℃ 이상의 범위 내의 값, 바람직하게는 -35℃로 유지된다.
실시예 1
이하, 본 발명의 실시예를 도 2에 의거하여 상세히 설명한다. 도 2는, 본 실시예에 따른 에칭 처리 장치의 구성의 개략을 모식적으로 나타내는 종단면도이다.
본 도면에 있어서, 에칭 처리 장치(200)는, 크게 나눠, 원통형을 갖고 내부가 감압되는 공간인 처리실(5)을 구비한 진공 챔버(1)와, 진공 챔버(1)에 접속되고 처리실(5) 내에 가스를 도입하기 위한 배관이나 밸브가 구비된 가스 라인(2)과, 진공 챔버(1)의 바닥면에 접속되고 처리실(5)과 연통되어 처리실(5) 내의 가스나 화합물 등의 입자를 처리실(5) 밖으로 배출하는 진공 펌프를 구비한 배기부(3)를 갖고 있다. 진공 챔버(1)는 상하 방향으로 중심축을 가진 원통형의 금속제의 진공 용기인 톱 챔버(11)와 그 하방에서 톱 챔버(11)와 연결된 베이스 챔버(12)를 구비하고 있다. 베이스 챔버(12)의 내측에는 원통형의 공간인 처리실(5)이 배치되고, 처리실(5)의 하부의 중앙부에는 처리 대상의 웨이퍼(14)를 상면이 놓이는 원통형을 가진 스테이지(15)가 배치되어 있다. 스테이지(15)는, 원판 형상을 가진 웨이퍼(14)와 마찬가지인 원형 형상의 상면을 구성하는 유전체제의 피막을 갖고, 그 상에 놓인 웨이퍼(14)를 -20℃ 이하의 저온으로 냉각할 수 있도록 내부에 냉각용의 매체가 순환해서 공급되는 도시하지 않은 유로를 구비하고 있다.
베이스 챔버(12)의 상부는 처리실(5)을 덮는 링 형상의 부재로 구성되고, 그 중심부에 톱 챔버(11)가 놓여 양자가 연결된다. 본 실시예의 원통 형상을 가진 톱 챔버(11)는 가스 라인(2)과 접속되어, 가스 라인(2)과 연통되고 처리용의 가스가 공급되는 공간인 버퍼실(4)을 내측에 구비하고 있다. 버퍼실(4) 내로 공급된 가스는 그 내측에서 확산한다.
톱 챔버(11) 내부의 버퍼실(4)과 베이스 챔버(12) 내부의 처리실(5) 사이에는, 원판 형상을 가진 석영 등의 유전체제의 부재인 가스 샤워 플레이트(103)가 배치되어 있다. 가스 샤워 플레이트(103)에 의해, 상하의 2개실이 구획됨과 함께 샤워 플레이트(13)에 배치된 복수의 관통 구멍을 통해 연통된다. 본 실시예의 가스 샤워 플레이트(103)는, 베이스 챔버(12)의 덮개부를 구성하는 링 형상을 가진 금속제의 판 부재의 중앙부의 원형의 관통 구멍을 포함하는 처리실(5)과 버퍼실(4) 사이의 연통로의 내부에 삽입된 원판 계상의 부재로서, 하면이 연통로의 하단부에 있어서 하방의 스테이지(15)의 상면에 대향하여 처리실(5)의 천장면을 구성하도록 배치된다.
베이스 챔버(12)의 저부에는, 배기부(3)를 구성하고 처리실(5)과 외부를 연통하는 배기 포트(16)가 배치되어 있다. 당해 배기 포트(16)를 통해서 처리실(5) 내의 가스나 생성물의 입자가 배기부(3)의 진공 펌프의 동작에 의해 외부로 배출된다.
또한, 본 실시예의 스테이지(15)는, 그 내부의 유로를 흐르는 냉각용의 매체(냉매)를 소정의 범위 내의 값으로 온도를 조절하는 칠러(17)와 배관에 의해 접속되어 있다. 온도를 조절하는 칠러(17)와 스테이지(15) 내의 유로 사이를 냉매가 도시하지 않은 펌프의 동작에 따라 순환함에 의해, 스테이지(15), 나아가서는 그 상면 상에 유지되는 웨이퍼(14)의 온도가 -20℃ 이하로 유지된다. 이러한 웨이퍼(14)의 온도의 조절에는, 온도가 조절된 냉매의 순환뿐만 아니라, 웨이퍼(14)를 스테이지(15) 상면에 양자를 접촉하여 유지하는 정전 척 혹은 메커니컬 척, 이들 간에 공급되는 전도성의 가스에 의한 열전달의 촉진, 스테이지(15)에 내장된 펠티에 소자 등의 가열 또는 냉각용의 소자를 사용한 온도 조절, 스테이지(15) 상방에 배치된 램프로부터의 복사에 의한 가열 등의 종래로부터 알려진 온도 조절의 수단 중 하나 또는 이들 조합이 사용되어도 된다.
도시되는 가스 라인(2)의 배관은 톱 챔버(11)의 상면에 접속되어 있고, 내부를 통류하는 처리용의 가스가 버퍼실(4)의 상부로부터 내부로 도입된다. 본 실시예의 가스 라인(2)은, 처리용 가스로서 불화수소 가스, 및 메틸알코올, 에틸알코올 등의 알코올(CxHyOH) 등, 산화알루미늄막의 에칭 처리에 필요한 가스의 가스원인 저류부(33, 34)와 접속되어 있다. 가스의 저류부(33, 34)로부터의 각각의 가스는, 이들의 각각에 접속된 가스 라인(2)을 구성하는 배관 상에 배치된 유로를 개폐 혹은 그 단면적을 증감하는 밸브 및 압력계를 구비한 매스플로우 유닛(21)에 의해, 각각의 배관 내를 흐르는 가스의 유량 또는 속도가 처리에 적합한 범위 내의 값으로 조절된다.
또한, 본 예에서는, 이들 복수의 종류마다 배치된 복수의 배관은, 매스플로우 유닛(21)과 톱 챔버(11)와의 접속부와의 사이에서 접속된 결과 복수의 종류의 가스가 합류하여 혼합된 혼합 가스가 내부를 흐르는 하나의 배관으로 되어 톱 챔버(11)와 접속된다. 이러한 가스의 혼합은, 톱 챔버(11)와의 접속부보다 매스플로우 유닛(21)에 가까운 개소뿐만 아니라, 톱 챔버(11)에 내부를 처리용의 혼합 가스를 구성하는 각각의 가스가 흐르는 복수의 배관이 접속되고, 버퍼실(4) 내부에서 확산하여 혼합해서, 처리에 적합한 분압 혹은 몰비를 가진 조성으로 되어도 된다. 이하 설명하는 본 실시예의 처리용의 혼합 가스에 사용되는 각 가스의 종류는, 불화수소 증기, 메탄올 증기 등의 알코올뿐만 아니라, 아르곤, 질소, 헬륨, 그 외 산화알루미늄막의 에칭에 필요한 가스이면 어떠한 것이어도 된다.
배기부(3)는, 배기 포트(16)를 포함하고, 구동되어 처리실(5) 내부의 입자를 배출하여 처리실(5) 내부를 감압하는 메커니컬 부스터 펌프(32), 및 이들 간을 연결하는 배기 덕트 상에 배치되어 내부의 배기의 유로 단면적을 증감해서 배기의 유량 또는 속도를 조절하는 버터플라이 밸브(31)를 구비하고 있다. 버터플라이 밸브(31)는, 배기 덕트를 횡절(橫切)하는 방향으로 배치된 축 둘레로 회전하는 판형상을 가진 플랩을 복수 갖고, 이들 플랩의 축 둘레의 회전 각도가 증감됨으로써 배기의 유량 또는 속도가 증감된다. 처리실(5) 및 버퍼실(4) 내의 압력은, 가스 라인(2)으로부터의 처리용 가스의 공급의 양 또는 속도와 배기부(3)로부터의 처리실(5)로부터의 배기의 양 또는 속도의 밸런스에 의해 조절된다.
처리실(5) 또는 버퍼실(4)의 압력의 조절을 위한 배기의 양의 조절의 수단으로서는, 버터플라이 밸브(31)에 한정되지 않고, 다른 수단이 사용되어도 된다. 또한, 처리실(5)로부터의 배기를 행하는 수단으로서, 메커니컬 부스터 펌프(32)에 한정되지 않고, 로터리 펌프, 드라이 펌프나 터보 분자 펌프, 혹은 이들 조합이 사용되어도 된다.
톱 챔버(11)와 베이스 챔버(12) 사이에 배치되고, 이들 각각의 내부에 배치된 버퍼실(4) 및 처리실(5)을 연통하는 연통로 내에 배치된 가스 샤워 플레이트(103)의 형상의 예를 도 5를 사용해서 설명한다. 도 5는, 도 2에 나타내는 실시예에 따른 에칭 처리 장치의 가스 샤워 플레이트의 구성을 나타내는 상면도로서, 원형을 가진 가스 샤워 플레이트(103)의 상하 방향의 중심축 상의 상방의 개소로부터 아래 방향으로 봤을 경우의 형상을 나타내는 도면이다.
또한, 본 도면의 가스 샤워 플레이트(103)는, 석영제이며 일정 또는 이것으로 간주할 수 있을 정도로 근사한 두께를 직경 방향에 대해 가진 원형 형상의 판 부재로서 복수의 관통 구멍(104)을 갖고, 원판 형상에 대해서도 관통 구멍(104)이 배치된 위치에 대해서도 중심축 둘레에 대칭인 형상으로서 당해 축을 지나는 수평 방향(도면상 상하 방향)을 지나는 축의 좌우에 대해 대칭인, 수평 방향(면내 방향)에 대해 대칭인 구조를 갖고 있다. 이러한 점에서, 도면상 우측의 영역의 표시는 생략하고 있다.
가스 샤워 플레이트(103)의 복수의 관통 구멍(104) 각각은 상면 또는 하면의 개구가 인접하는 관통 구멍(104)의 것에 대해 1mm 내지 3mm의 간격(거리)을 두고 배치되어 있다. 또한, 각 관통 구멍(104)은 상하 방향에 대해 일정한 직경을 가진 원통 형상을 갖고, 그 직경은 1mm 내지 3mm의 범위 내의 값을 갖고 있다. 본 실시예에서는, 발명자 등에 의한 실험의 결과로부터, 관통 구멍(104)은 산화알루미늄막의 에칭에 있어서 보다 바람직한 결과가 얻어지는 2mm의 간격으로 배치되고, 직경이 2mm인 구조를 갖고 있다. 또한, 관통 구멍(104)의 구조는 단일 직경의 원통 형상으로 한정되지 않는다.
또한, 도 5에 나타낸 실시예는, 복수의 관통 구멍(104)이 중심축 상과 외주연부(주연부)를 제외하고 중심으로부터 외주단 가장자리를 향하는 반경 방향의 선분축 상의 소정의 간격의 개소에 배치되어 있다. 그리고, 이러한 선분축 상의 복수의 관통 구멍(104)이 상하 방향의 중심축 둘레로 소정의 각도마다 배치된 방사상의 배치를 갖고 있다. 관통 구멍(104)의 배치는, 이러한 방사상의 것에 한하지 않고, 도면상 상호 수직으로 교차하는 복수의 좌우 방향 및 상하 방향의 축이 교차하는 개소에, 소위 격자상으로, 관통 구멍(104)의 중심축이 위치하도록 배치되어도 된다.
가스 샤워 플레이트(103)의 판의 두께는, 톱 챔버(11)의 버퍼실(4) 내의 압력이 베이스 챔버(12)의 처리실(5) 내의 압력의 2 내지 10배 사이의 값에서 손상을 일으키지 않는 재료적인 강도를 갖는 두께로 된다. 가스 샤워 플레이트(103)의 재료로서는 석영이 사용되고 있지만, 다른 세라믹스나 플라스틱 수지 등, 불화수소 증기와 반응이 상대적으로 작은 재료이면 어느 것이여도 된다.
본 실시예에서는, 도 1에 나타낸 바와 같이, 가스 샤워 플레이트(103)와 스테이지(15) 상에 놓이는 웨이퍼(14) 상면과의 거리는 1mm 이하로 되어 있다. 한편, 웨이퍼(14)의 반송 시에는 스테이지(15)와 가스 샤워 플레이트(103)에 반송용의 로봇 아암당 반송 장치가 충돌하지 않을 만큼의 여분의 공간(마진)을 형성하기 위해, 가스 샤워 플레이트(103) 혹은 스테이지(15)에 이것을 이동시키는 기구를 접속하여 웨이퍼(14) 반송 시에 가스 샤워 플레이트(103)가 상방으로, 혹은 스테이지(15)가 하방으로 이동해서, 상기 마진이 확보되도록 해도 된다. 어느 경우에도, 웨이퍼(14)가 반송된 후에, 가스 샤워 플레이트(103)와 스테이지(15)의 거리가 다시 작게 되고, 웨이퍼(14)가 스테이지(15) 상에 놓인 상태에서, 웨이퍼(14) 상면과 가스 샤워 플레이트(103) 하면 사이의 거리가 1mm 이하로 되어 양자의 위치가 고정된다.
또한, 본 실시예의 에칭 처리 장치(200)의 상기 스테이지(15) 혹은 가스 샤워 플레이트(103), 칠러(17), 매스플로우 유닛(21), 버터플라이 밸브(31), 메커니컬 부스터 펌프(32), 가스의 저류부(33, 34) 등의 동작하는 각부(各部)는 제어부(35)와 통신 가능하게 접속되고, 이들 각부로부터 출력되고 그 동작 중의 상태를 나타내는 신호나 당해 상태를 나타내는 신호에 따라 제어부(35)로부터 각부의 동작을 지령하는 지령 신호가 상호 송신된다. 제어부(35)는, 상기 신호를 송수신하는 커넥터나 신호를 소정의 다른 신호로 변환하는 변환기를 포함하는 인터페이스부와, 반도체제의 마이크로프로세서 등의 연산기를 포함하는 연산기부와, 반도체 메모리 나 CD-ROM, DVD-ROM 드라이브, 하드디스크 등의 기억 장치부를 포함하고, 이들이 신호를 통신 가능하게 접속되어 구성되어 있다.
제어부(35)는, 상기 각부의 임의의 하나에 배치되고 그 동작의 상태를 나타내는 센서로부터의 검지 신호를 수신하고, 연산기가 기억 장치 내에 저장된 소프트웨어를 판독해서 그 알고리즘에 의거하여 검지 신호로부터 동작의 상태를 검출한다. 또한, 검출한 결과와 소기의 값을 비교한 결과에 의거하여, 기억 장치 내의 소프트웨어의 알고리즘에 의거하여 소기의 값으로 하는 동작을 나타내는 지령 신호를 산출하고, 당해 지령 신호가 제어부(35)의 인터페이스부로부터 당해 임의의 하나의 부위로 발신된다. 당해 지령 신호를 수신한 부위가 신호에 의거하여 그 동작의 상태를 목표로 되는 소기의 값에 근접시키도록 조절한다. 특별히 설명할 경우를 제외하고, 이하에 설명하는 다른 실시예에 대해서도 마찬가지이다.
본 실시예에 있어서의 에칭 처리의 흐름에 대해 설명한다. 베이스 챔버(12)의 측벽면과 접속된 도시하지 않은 진공 반송 용기 내부의 감압된 공간인 진공 반송실 내를 웨이퍼(14)가 반송되고, 베이스 챔버(14)의 측벽을 수평 방향으로 관통해서 배치된 통로인 게이트를 통해 베이스 챔버(12)의 처리실(5) 내로 반입된다. 그 후, 스테이지(15)에 넘겨받아 스테이지(15) 상면과 접해서 놓이고, 상면을 구성하는 도시하지 않은 유전체막 내의 정전 흡착용의 전극에 직류 전력이 공급되어 웨이퍼(14)가 스테이지(15) 상에 정전기력에 의해 흡착되어 유지된다. 웨이퍼(14) 이면과 스테이지(15) 상면 사이의 극간에 He 등의 열전달성을 가진 가스가 공급되어, -20℃ 내지 -50℃의 범위 내의 소정의 값으로 냉각된 스테이지(15)와의 사이에서 열이 전달된 결과 웨이퍼(14)의 온도가 스테이지(15)와 동등한 처리에 적합한 범위 내의 값으로 된다.
상기 웨이퍼(14)의 유지는, 정전 흡착, 기계에 의한 흡착 중 어느 수단이 사용되어도 된다. 이 상태에서, 스테이지(15)의 온도가 칠러(17)에 의해 온도가 조절된 냉매에 의해 -35℃로 된다. 이 때에, 웨이퍼(14)의 온도가 미리 정해진 처리에 적합한 온도(본 예에서는 -35℃) 도달한 것이 스테이지(15)의 내부에 배치된 도시하지 않은 온도 센서로부터의 출력에 의해 제어부(35)에 의해 검출될 때까지는, 처리실(5) 내부에 처리용의 가스가 공급되지 않는다.
스테이지(15)의 온도가 칠러(17)에 의해 정해진 온도로 저하한 것이 제어부(35)에 의해 확인되면, 제어부(35)로부터의 지령 신호에 의거하여 가스 라인(2)의 저류부(33 또는 34)로부터의 가스가, 당해 가스 라인(2) 내의 배관 내를 통해서 톱 챔버(11) 내의 버퍼실(4)에 처리용의 가스로서 불화수소 및 알코올을 포함하는 처리용의 가스가 도입된다. 예를 들면, 본 예에서는, 불화수소 400sccm, 메탄올 200sccm, 아르곤 가스 100sccm이 버퍼실(4) 내로 도입된다. 또한, 이들 가스가 가스 라인(2)으로부터 버퍼실(4)에 계속 공급되고 있는 상태에서, 처리실(5) 내의 압력이 미리 결정된 300Pa를 포함하는 허용 범위 내의 값이 되도록, 메커니컬 부스터 펌프(32)가 구동된 상태에서 제어부(35)로부터의 지령 신호에 따라 버터플라이 밸브(31)가 동작해서 배기의 유량 혹은 속도가 조절된다. 또한, 도시되어 있지 않지만, 톱 챔버(11) 및 베이스 챔버(12)에는, 버퍼실(4) 또는 처리실(5) 내의 압력을 검지하기 위한 압력 센서가 부착되어, 제어부(35)와의 사이에서 통신 가능하게 되어 있다.
버퍼실(4)로 도입된 처리용의 가스는, 가스 샤워 플레이트(103)의 관통 구멍(104)을 통해, 관통 구멍(104)의 하단부의 개구로부터 처리실(5) 내의 웨이퍼(14) 상면의 산화알루미늄막 표면과 가스 샤워 플레이트(103) 하면 사이(도 1에 나타낸 극간(105))에 처리용의 가스가 공급된다. 발명자들에 따르면, 처리용의 가스가 공급되는 시간과 산화알루미늄의 에칭량은 선형의 상관이 있음을 알고 있으므로, 제어부(35)는 매스플로우 유닛(21) 혹은 가스 라인(2)의 배관 상의 밸브의 동작을 조절해서, 상기 상관으로부터 미리 정해진 시간만큼 처리용의 가스가 처리실(5) 내로 공급되도록 한다. 제어부(35)로부터의 지령 신호에 따라 처리용의 가스의 공급이 정지되어 웨이퍼(4) 상의 산화알루미늄막의 처리가 정지된다.
그 후, 배기부(3)의 동작이 계속되어 버퍼실(4), 처리실(5) 내부가 상기 에칭 처리하는 공정 중의 것보다 충분히 높은 진공도까지 압력이 더 저감되는 고진공 배기의 공정이 실시된다. 이 공정에 의해, 처리실(5) 내부의 처리용의 가스나 앞선 처리 중에 생성된 화합물, 생성물이 충분히 배기된다. 이 후, 웨이퍼(14)의 흡착에 의한 유지가 해제되어, 처리실(5) 내에 진공 반송실로부터 진입한 로봇 아암 등의 반송 장치에 웨이퍼(14)가 넘겨받아져 스테이지(15) 상으로부터 제거되고, 처리실(5)의 외측으로 반출된다. 이 후, 다음으로 처리되어야 할 미처리의 웨이퍼(14)가 존재하는지의 여부의 판정의 결과에 따라, 이 에칭 처리 장치(200)에 의한 웨이퍼(14)의 처리의 계속 또는 정지가 제어부(35)에 의해 판정된다.
또한, 상기 웨이퍼(14)를 가스 샤워 플레이트(103)와 소정의 거리만큼 극간을 두고 유지한 상태에서 처리용 가스를 소정의 기간만큼 처리실(5) 내로 도입하여 행하는 상기 산화알루미늄막의 에칭 공정과, 그 공정 후의 가스나 입자의 고진공 배기의 공정과 함께, 하나의 그룹의 웨이퍼 처리의 공정(사이클)으로서, 소기의 깊이 등의 에칭량이 얻어질 때까지 이것을 복수 회 반복하는, 사이클 에칭을 행해도 된다.
실시예 2
다음으로, 도 3을 사용해서 본 발명의 다른 실시예에 대해 개요를 설명한다. 도 3은, 본 발명의 다른 실시예에 따른 에칭 처리 장치의 구성의 개략을 모식적으로 나타내는 종단면도이다. 실시예 2의 에칭 처리 장치(300)에서는 플라스마를 사용함에 의해 산화알루미늄막의 에칭 및 그 표면의 잔사의 제거를 촉진하는 구성을 구비하고 있다.
본 예에서는, 도 2에 나타난 것과 동일한 부호의 구성에 대해서는, 구성이 서로 다른 등의 필요가 없는 한 설명을 생략한다.
본 실시예의 에칭 처리 장치(300)와 실시예 1의 에칭 처리 장치(200)의 차이는, 베이스 챔버(12)의 상부를 구성하는 링 형상 덮개부의 중앙부 상방에, 실시예 1의 톱 챔버(11)보다 상하 방향의 높이가 크게 된 원통 형상의 톱 챔버(11')가 놓여 있는 구성에 있다. 또한, 톱 챔버(11')는, 원통 형상의 측벽으로서, 상하의 금속제의 원통형의 부재끼리 사이에 동일한 직경의 원통형의 내주 측벽 형상을 가진 석영제의 유전체통(301)을 설치한다. 이 유전체통(301)의 재료로서는, 석영 외에 산화알루미늄, 탄화실리콘, 질화알루미늄 등의 세라믹스 재료를 사용할 수 있다.
유전체통(301)의 링 형상의 상하 단부는 그 상방, 하방에 마찬가지로 톱 챔버(11')의 측벽 부분을 구성하는 금속제의 링 형상 부재가 배치되고, 유전체통(301) 및 이들 금속제의 링 형상 부재 사이에는 O링 등의 진공 시일이 상하로 끼워져 유지됨으로써 변형하고, 대기압의 분위기로 둘러싸인 톱 챔버(11') 외부로부터 내부의 공간을 기밀하게 구획한다.
또한, 유전체 통 부재(301)의 원통형의 외주 측벽의 주위에는 이것을 둘러싸도록 감긴 방전용의 코일 안테나(302)가 외주 측벽과 극간을 두고 배치되어 있다. 코일 안테나(302)에는, 고주파 전력을 공급하는 고주파 전원(304)이 매칭 유닛(303)을 통해 전기적으로 접속되어 있다. 도 3에서는 안테나(102)가 1바퀴만 감겨 있지만, 2바퀴 혹은 3바퀴 이상 감겨 있어도 되고, 상하 방향으로 더 복수 단 감겨 있어도 된다.
본 실시예의 톱 챔버(11')는, 내부에 원통 형상의 공간을 갖고, 유전체 통 부재(301)를 포함하는 톱 챔버(11')의 원통형의 측벽이 당해 공간의 주위를 둘러싸고 있다. 또한, 유전체 통 부재(301)의 외주 측벽 외측에서 이것에 감긴 코일 안테나(302)에 공급되는 고주파 전력에 의해, 원통형의 내부의 공간 내에 유도 자계가 형성되고, 톱 챔버(11')의 원판 형상의 덮개 부재에 접속된 가스 라인(2)으로부터 공급되는 가스의 원자 또는 분자가 유도 자계에 의해 생기되는 유도 전류로 여기되고, 괴리, 전리를 발생시켜 플라스마가 형성된다. 이와 같이 본 예의 톱 챔버(11') 내부의 원통형의 공간은 플라스마가 형성되는 방전실(305)이다.
실시예 2의 에칭 처리 장치(300)에 있어서의 웨이퍼(14)의 에칭 처리의 흐름에 대해 설명한다. 본 실시예에서는, 톱 챔버(11) 내의 공간인 방전실(305) 내로 공급된 처리용의 가스를 코일 안테나(302)에 공급되는 고주파 전력에 의해 생기된 유도 자계에 의해 여기시켜서 플라스마를 생성하고, 당 이외 플라스마의 입자를 산화알루미늄막의 에칭의 촉진 혹은 그 표면 잔사의 제거에 이용하고 있다.
실시예 1과 마찬가지로 웨이퍼(14)가 베이스 챔버(12) 내의 처리실(4)에 반송된 후, 스테이지(15) 상에 흡착 유지되고, 스테이지(15) 혹은 웨이퍼(14)의 온도가 소정의 온도, 예를 들면 -30℃를 포함하는 처리에 적절한 허용의 범위 내의 값으로 되어 있는 것이, 제어부(35)에 의해 검출된다. 본 실시예에 있어서도, 상기 온도가 처리에 적합한 범위 내의 값으로 된 것이 검출될 때까지, 처리용 가스의 방전실(305) 내로의 도입 혹은 플라스마의 형성은 행해지지 않는다.
본 실시예에서는, 산화알루미늄막 표면의 산화의 상태가 불충분하다고 판정된 경우에는, 웨이퍼(14)를 스테이지(15) 상에 흡착시켜 유지한 상태에서, 톱 챔버(11') 내부의 방전실(305) 내에 가스 라인(2)으로부터 산소 가스를 공급해서 방전실(305) 내에 당해 산소를 사용한 플라스마를 형성하고, 이 플라스마 내에 형성된 입자를 가스 샤워 플레이트(103)의 관통 구멍(104)을 통해서, 처리실(5) 내의 웨이퍼(14) 표면의 산화알루미늄막에 공급해서, 플라스마의 입자에 의한 표면의 산화를 행해도 된다. 이 표면의 산화의 공정이 행해짐에 의해, 산화알루미늄막 표면의 산화의 상태의 불균일이 저감되어 조정되어서, 표면의 거칠기(러프니스)가 보다 저감된 에칭이 가능해진다.
산소를 사용한 플라스마를 형성하는 조건의 예로서는, 방전실(305) 내에 산소 가스를 100sccm으로 도입하고 내부의 압력이 50Pa로 된다. 이 상태에서 코일 안테나(302)에 고주파 전원(104)으로부터 고주파 전력이 공급되어, 산소 가스가 여기되고 전리, 해리하여 플라스마가 형성된다. 본 실시예에서는 산소 플라스마의 입자는 가스 샤워 플레이트(103)의 관통 구멍(104)을 통해, 그 하단의 개구로부터 웨이퍼(14) 상면의 산화알루미늄막에 공급되고, 일부가 가스 샤워 플레이트(103)와 웨이퍼(14)의 산화알루미늄막 사이의 극간 내에 진입해서 산화알루미늄막 표면 전체에 걸쳐 산화의 반응을 생기시킨다. 이러한 플라스마 중의 입자의 도입은 15초간 행해진다.
이 산소 플라스마를 조사하는 공정 후, 방전실(305) 및 처리실(5) 내부를 높은 진공도까지 감압해서 배기하여 가스를 교체한 후, 가스 라인(2)을 통해서 불화수소의 가스를 방전실(305) 내로 도입하고, 실시예 1과 마찬가지인 산화알루미늄막의 에칭 처리의 공정이 실시된다. 또한, 실시예 1과 마찬가지로 제어부(35)는 소정의 시간만큼 처리용의 가스를 공급한 후, 가스 라인(2) 상의 매스플로우 유닛(21) 또는 개폐용의 밸브에 지령 신호를 발신하여 가스의 공급을 정지해서 산화알루미늄막의 에칭 공정을 정지한다. 또한, 배기부(3)가 배기를 계속해서 방전실(305) 또는 처리실(5) 내가 높은 진공도까지 감압되어 내부의 가스, 입자가 충분히 배기된 후, 웨이퍼(14)가 스테이지(15) 상에서의 흡착이 해제되고, 스테이지(15)로부터 베이스 챔버(12) 측벽의 개방된 게이트를 통해서 처리실(5) 밖의 진공 반송실 내로 반출된다.
또한, 상기의 것은, 산소 플라스마에 의한 산화알루미늄막의 산화의 공정과 불화수소를 사용한 산화알루미늄막의 에칭 공정을 각각 1회씩 실시하는 웨이퍼(14)의 처리의 예였지만, 산화알루미늄막의 에칭 처리의 공정을 실시하고, 고진공까지 배기한 후에, 다시 산소 가스를 방전실(305)에 도입해서 산소 플라스마를 형성하는 산화의 공정과 그 후의 고진공 배기의 공정 및 불화수소에 의한 산화알루미늄막의 에칭의 공정을 포함하는 1그룹의 사이클을 적어도 1회 이상 반복하는, 사이클 에칭을 행해도 된다. 혹은, 불화수소 가스를 공급하여 행하는 에칭 처리의 공정 전에, 산화알루미늄막의 산화 공정을 1회 실시한 후, 당해 에칭 처리의 공정과 그 전 또는 후에 고진공도까지 처리실(5) 또는 방전실(305)을 배기하는 고진공 배기의 공정을 포함하는 복수 공정의 하나의 그룹으로서의 사이클을 적어도 1회 이상 반복하는 사이클 에칭을 행해도 된다.
또한, 불화수소(HF) 가스에 의한 불소의 공급이 부족할 경우에는, 상기 1그룹의 공정의 사이클의 중의 하나의 공정으로서, 웨이퍼(14)를 스테이지(15) 상에 재치하고 유지한 후이며 불화수소 가스에 의한 에칭의 공정 전에, 플루오로카본의 가스를 방전실(305) 내로 도입하여 플라스마를 형성해서 산화알루미늄막의 표면을 불화하는 공정을 행해도 된다. 이 표면을 불화하는 공정에 의해, 산화알루미늄막의 표면에 플루오로카본이 부착되고, 불화수소 가스를 도입해서 실시되는 에칭이 촉진된다.
본 예에 있어서의 플루오로카본 가스를 사용한 플라스마를 형성하는 조건은, CF4 가스의 도입량이 100sccm, 방전실(305) 내의 압력이 50Pa로 했다. 이 상태에서 안테나(302)의 고주파 전력에 의한 유도 자계가 사용되고 플라스마가 형성되어, 플라스마 내의 입자가 가스 샤워 플레이트(103)의 관통 구멍(104)을 통해서 웨이퍼(14) 표면에 15초간 공급된다. 또한, 하나의 사이클로서, 플루오로카본 플라스마에 의한 불화의 공정과 불화수소에 의한 에칭의 공정을 (이들 공정 간의 고진공 배기의 공정도 포함해서) 적어도 1회 이상 반복하는 사이클 에칭을 행해도 되고, 에칭 처리의 공정 전에 플루오로카본 가스의 플라스마 중의 입자를 공급한 산화알루미늄막의 불화의 공정을 1회 실시한 후, 상기 에칭 처리의 공정과 그 전 또는 후의 고진공 배기의 공정을 포함하는 1그룹의 복수 공정을 1사이클로 해서 적어도 1회 이상 반복하는 사이클 에칭을 행해도 된다.
본 예에 사용되는 플루오로카본 가스는 CF4에 한정되지 않고, C4F8나 C4F6 등과 같은 플루오로카본 가스여도 되고, CHF3나 CH2F2 등과 같은 하이드로플루오로카본이어도 된다. 또한 NF3나 SF6와 같은 카본 가스가 아닌 것이어도 된다. 또한, 플루오로카본과 불화수소를 동시에 흘려 플라스마를 점화하여 에칭 반응을 촉진해도 된다.
게다가, 불화수소 가스를 사용한 에칭의 공정 후에 산화알루미늄의 표면에 잔사가 있을 경우에는, 당해 에칭의 공정 후, 웨이퍼(14)의 스테이지(15) 상면으로부터의 반출의 공정 전에, 플라스마를 사용해서 당해 잔사를 제거하는 공정을 행해도 된다. 본 예에 있어서 잔사를 제거하는 플라스마를 형성하는 조건으로서는, 방전실(305) 내에의 질소 가스와 수소 가스에의 유량이 100sccm, 방전실(305) 내의 압력이 50Pa로 된다. 이 상태에서 방전실(305) 내에 형성한 플라스마의 입자가 가스 샤워 플레이트(103)를 통해서 산화알루미늄막에 15초간만큼 공급된다.
이 잔사를 제거하는 공정을 상기 사이클에 포함되는 공정으로서 행하고, 당해 제거의 공정 후, 방전실(305) 또는 처리실(5)을 고진공 배기하는 공정을 사이에 두고 다시 불화수소 가스에 의한 에칭의 공정을 행하고, 이들을 반복하는 사이클 에칭을 행해도 된다.
실시예 3
도 4를 사용해서, 본 발명의 또 다른 실시예에 대해 설명한다. 도 4는, 본 발명의 또 다른 실시예에 따른 에칭 처리 장치의 구성의 개략을 모식적으로 나타내는 종단면도이다. 본 실시예의 에칭 처리 장치(400)에서는, 실시예 2에 나타낸 구성에 더해, 램프로부터 조사되는 광의 복사(輻射)를 사용해서 산화알루미늄의 에칭 및 표면의 잔사의 제거를 촉진하는 구성을 구비하고 있다.
본 예에서는, 도 2 또는 3에 나타난 것과 동일한 부호의 구성에 대해서는, 필요 없는 한 설명을 생략한다.
본 실시예의 에칭 처리 장치(400)와 실시예 2의 에칭 처리 장치(300)와의 차이는, 톱 챔버(11")의 상부의 원판 형상을 가진 덮개 부재가, 석영 등의 투과성을 갖고 덮개 부재의 상하 방향의 중심축 둘레로 링 형상으로 배치된 유전체창(401)을 구비하고, 당해 덮개 부재의 외측 상방이며 유전체창(401)의 상방에 마찬가지로 중심축 둘레로 링 형상으로 배치된 램프 히터 유닛(402)이 배치된 점에 있다. 유전체창(401)은 톱 챔버(11")의 덮개 부재에, 사이에 O링 등의 시일을 사이에 두고 부착되고, 시일의 변형에 의해 분위기가 대기압으로 된 외부의 공간과 톱 챔버(11") 내의 방전실(305)의 내부 사이가 기밀하게 구획된다. 이 유전체창(401)을 구성하는 재료는, 석영 외, 산화알루미늄·탄화실리콘·질화알루미늄 등의 세라믹스의 재료를 사용할 수 있다.
유전체창(401)의 상방에는 램프 히터 유닛(402)이 배치되고, 또한 램프 히터 유닛(402)에는 직류 전원(403)이 전기적으로 접속되고, 램프 히터 유닛(402)에 전력이 공급된다. 본 예의 램프 히터 유닛(402)은, 할로겐 램프가 사용되지만, 적외선(Infra-Red, sIR)의 광을 방사하는 램프를 사용해서 웨이퍼(14)를 가열하는 히팅 효과를 이용해도 된다.
톱 챔버(11")는 베이스 챔버(12)의 상부를 구성하는 덮개 부재의 중앙부에 배치된 원형의 관통 구멍의 개구의 주위의 덮개 부재 상에, 사이에 O링 등의 시일을 끼워 두고 부착되며, 내측에 배치된 원통형을 갖는 공간인 방전실(305)의 직경은, 상기 베이스 챔버(12)의 덮개 부재 중앙부의 관통 구멍의 개구와 동일하거나 이것이라 간주할 수 있을 정도로 근사한 값으로 되어 있다.
가스 샤워 플레이트(103)는, 석영 등의 투광성을 가진 재료로 구성된 원판 형상을 갖고, 베이스 챔버(12)의 덮개 부재의 관통 구멍의 하단부의 내부에 배치되어 처리실(5)의 천장면을 구성하고 있다. 가스 샤워 플레이트(103)의 원판 형상의 직경은 하방의 스테이지(15) 상에 놓이는 웨이퍼(14)와 동일하거나 이 이상으로 되어, 상방의 램프 히터 유닛(402)으로부터 방사된 광이 웨이퍼(14) 상면의 산화알루미늄막에 조사될 때까지의 도중의 경로에서 차단되어 광량이 저감되는 것을 억제하고 있다.
다음으로, 본 예에 있어서의 산화알루미늄막의 에칭 처리의 흐름에 대해 설명한다. 본 실시예에서는, 실시예 2와 마찬가지로, 톱 챔버(11) 내의 공간인 방전실(305) 내로 공급된 처리용의 가스를 코일 안테나(302)에 공급되는 고주파 전력에 의해 생기된 유도 자계에 의해 여기시켜서 플라스마를 생성하고, 당 이외 플라스마의 입자를 산화알루미늄막의 에칭의 촉진 혹은 그 표면 잔사의 제거에 이용한다. 또한, 본 실시예에서는, 램프 히터 유닛(402)으로부터 웨이퍼(14)에 조사되는 광의 복사에 의한 산화알루미늄막을 가열을 사용해서, 산화알루미늄막의 에칭의 가속 혹은 표면의 잔사의 제거를 행한다.
실시예 1과 마찬가지로 웨이퍼(14)가 베이스 챔버(12) 내의 처리실(4)로 반송된 후, 스테이지(15) 상에 흡착 유지되고, 스테이지(15) 혹은 웨이퍼(14)의 온도가 소정의 온도, 예를 들면 -30℃를 포함하는 처리에 적절한 허용의 범위 내의 값으로 되어 있는 것이, 제어부(35)에 의해 검출된다. 본 실시예에 있어서도, 상기 온도가 처리에 적합한 범위 내의 값으로 된 것이 검출될 때까지, 처리용 가스의 방전실(305) 내로의 도입 혹은 플라스마의 형성은 행해지지 않는다.
램프 히터 유닛으로부터의 광을 웨이퍼(14)에 조사하여 가열해서 승온시키는 효과에 의해, 가스만에 의한 에칭의 공정에 비해 산화알루미늄막의 에칭의 반응이 촉진된다. 웨이퍼(14)를 스테이지(15) 상에 재치하여 유지한 상태에서, 불화수소를 포함하는 가스를 방전실(305) 및 가스 샤워 플레이트(103)의 관통 구멍(104)을 통해서 산화알루미늄막의 상면에 공급한 상태에서, 램프 히터 유닛(402)으로부터의 광의 조사에 의해 웨이퍼(14) 및 산화알루미늄막의 온도를, 상기 -25℃ 이하의 온도로부터 200℃ 내지 300℃의 값까지 승온시킨다. 혹은, 램프 히터 유닛(402)으로부터의 광을 조사하여 웨이퍼(14)의 온도를 200℃ 내지 300℃의 값까지 승온시킨 후에, 가스 라인(2)으로부터 방전실(305), 가스 샤워 플레이트(103)를 통해서 산화알루미늄막의 표면에 불화수소를 포함하는 가스를 공급해도 된다.
또한, 가스 샤워 플레이트(103)의 측벽이나 관통 구멍(104) 내부를 포함하는 표면, 웨이퍼(14)의 표면에, 방전실(305)이나 처리실(5) 중에 생성된 생성물이 부착하거나 퇴적하거나 할 경우가 있다. 이러한 부착물이나 퇴적물을 제거하기 위해, 산화알루미늄막의 표면에 소정 시간만큼 처리용의 가스를 공급하여 행하는 에칭의 공정 후이며, 다음 고진공 배기의 공정 등의 다음으로 웨이퍼(14)의 표면에 처리를 실시하는 공정의 개시 전에, 진공 중 혹은 방전실(5) 내에 가스를 도입하고 산화알루미늄막 상면에 가스를 도입한 상태에서, 램프 히터 유닛(402)을 점등해서(ON으로 해서) 광을 웨이퍼(14)에 조사해서 가열함에 의해, 퇴적물이나 부착물을 제거하는 공정을 실시할 수도 있다. 본 실시예에 있어서도, 이러한 가열에 의한 웨이퍼(14) 또는 챔버의 내부의 표면의 탈리 혹은 클리닝 공정을 실시예 1, 2에서 나타낸 복수 공정의 1그룹으로서의 하나의 사이클에 포함해서 당해 사이클을 적어도 1회 반복하는 사이클 에칭의 공정을 실시해도 되고, 실시예 1, 2의 사이클의 실시 전 또는 후에 당해 탈리 또는 클리닝의 공정을 실시해도 된다.
본 예에서의 상기 가열에 의한 탈리 혹은 클리닝의 공정은, 도 4에 나타내는 할로겐 램프를 갖는 램프 히터 유닛(402)으로부터 광을 웨이퍼(14)의 표면에 조사한 복사에 의한 것이 사용되고 있다. 웨이퍼(14)를 가열하는 수단은 할로겐 램프에 포함되는 적외광(IR광)을 사용하는 본 예의 것에 한정되는 것은 아니고, 예를 들면 스테이지(15) 내에 배치된 선 형상 또는 막 형상의 히터에 공급되는 전력에 의해 스테이지(15)를 가열해서 열전도로 웨이퍼(14)를 가열하는 방법이나, 다른 장치에 웨이퍼(14)를 에칭 챔버(100)로부터 반송해서 가열을 행해도 된다.
또한, 상기 실시예에 있어서, 불화수소를 포함하는 가스를 공급하여 행하는 산화알루미늄막의 에칭 처리는, 당해 가스가 관통 구멍(104)을 통해서 공급되는 가스 샤워 플레이트(103)가 산화알루미늄막 또는 웨이퍼(14)의 상면과의 사이의 극간이 1mm 이하로 유지되고, 또한 산화알루미늄막 또는 웨이퍼(14)의 온도가 -50 내지 -20℃의 범위 내의 값으로 유지되어 실시된다. 한편, 고진공 배근 공정이나 다른 산화나 불화, 잔사 제거, 탈리 또는 클리닝의 각 공정에서는, 상기 극간 혹은 웨이퍼(14)(혹은 이것을 지지하는 스테이지(15))의 온도는, 이들 공정을 효과적 또는 효율적으로 실시할 수 있는 크기로 유지된다. 예를 들면, 상기와 같이, 본 실시예의 스테이지(15) 또는 가스 샤워 플레이트(103)는, 상하 방향으로 이동 가능하게 구성되어 있고, 웨이퍼(14)의 스테이지(15)의 배치, 탈리 시의 동작뿐만 아니라, 고진공 배기의 공정이나 방전실(305) 내에 형성한 플라스마의 입자를 사용한 산화 혹은 불화의 공정에 있어서도, 제어부(35)로부터의 지령 신호에 따라 스테이지(15) 또는 가스 샤워 플레이트(103)가 이동해서, 이들 간의 극간의 크기가 적절한 값으로 조절되어도 된다.
또한, 상기 램프 히터 유닛(402)으로부터 광을 웨이퍼(14)의 표면에 조사해서 실시되는 가열에 의한 탈리 혹은 클리닝의 공정에 있어서, 스테이지(15)의 상하 방향으로 이동시켜서 당해 공정에 적절한 램프 히터 유닛(402)과 웨이퍼(14) 사이의 거리로 조절하도록 해도 된다. 또한, 도 4의 예에서는 램프 히터 유닛(402)이 방전실(305) 상방의 덮개 부재인 톱 챔버(11') 상방에 배치되어 있지만, 램프 히터 유닛(402)을 톱 챔버(11") 또는 유전체 통 부재(301)의 외측에서 이것을 둘러싸서 배치함과 함께, 베이스 챔버(12)의 덮개 또는 천판(天板)의 부재로 바꾸어 석영 등의 투광성을 가진 부재로 구성된 창 부재를 당해 베이스 챔버(12) 내외를 기밀하게 봉지해서 배치해도 된다. 이 때, 스테이지(15)를 창 부재로부터 충분히 거리를 둔 상하 방향의 높이 위치에 설치하는 구성을 구비함으로써, 가스 샤워 플레이트(103)의 주위에 링 형상으로 배치된 램프 히터 유닛(402)으로부터 방사되는 광의 웨이퍼(14)에의 조사량 또는 강도의 불균일이 저감된다.
1···진공 챔버 2···가스 라인
3···배기부 4···처리실
5···버퍼실 11, 11', 11"···톱 챔버
12···베이스 챔버 14···웨이퍼
15···스테이지 16···배기 포트
17···칠러 21···매스플로우 유닛
31···버터플라이 밸브 32···메커니컬 부스터 펌프
200···에칭 처리 장치 300···에칭 처리 장치
301···유전체 통 부재 302···코일 안테나
303···매칭 유닛 304···고주파 전원
305···방전실 400···에칭 처리 장치
401···유전체창 402···램프 히터 유닛
403···직류 전원

Claims (17)

  1. 산화알루미늄막이 상면에 배치된 웨이퍼를 처리실 내에 배치하고, 당해 웨이퍼를 -20℃ 이하의 온도로 유지해서, 상기 웨이퍼의 상기 상면의 상방에 소정의 극간을 두고 배치된 판상(板狀)의 부재의 복수의 관통 구멍으로부터 불화수소의 증기를 미리 정해진 기간만큼 공급하여 상기 산화알루미늄막을 에칭하는 공정을 구비한 에칭 처리 방법.
  2. 제1항에 있어서,
    상기 불화수소와 알코올의 혼합한 증기를 상기 극간에 공급하는 에칭 처리 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 웨이퍼의 온도를 -50℃ 내지 -20℃의 범위의 값, 바람직하게는 -35℃로 유지하여 상기 산화알루미늄막을 에칭하는 에칭 처리 방법.
  4. 제1항 또는 제2항에 있어서,
    상기 극간의 크기가 3mm 이하, 바람직하게는 1mm인 에칭 처리 방법.
  5. 제1항 또는 제2항에 있어서,
    상기 복수의 관통 구멍의 인접하는 것끼리의 거리가 1mm 내지 3mm의 범위 내의 값, 바람직하게는 2mm로 된 에칭 처리 방법.
  6. 제1항 또는 제2항에 있어서,
    상기 관통 구멍의 직경이 1mm 내지 3mm의 범위 내의 값, 바람직하게는 2mm로 된 에칭 처리 방법.
  7. 제1항 또는 제2항에 있어서,
    상기 불화수소의 증기를 도입해서 산화알루미늄막을 에칭 처리하는 공정과, 당해 에칭 처리하는 행정 중보다 낮은 압력으로 될 때까지 상기 진공 용기 내의 공간 내부를 배기하는 공정을 포함하는 복수의 공정을 1그룹의 사이클로 해서 이것을 복수 회 반복하는 에칭 처리 방법.
  8. 제1항 또는 제2항에 있어서,
    상기 불화수소의 증기를 도입해서 산화알루미늄막을 에칭 처리하는 공정 전 또는 후에 플루오로카본 가스를 사용해서 형성된 플라스마 중에 형성된 입자를 상기 산화알루미늄막의 표면에 공급하는 공정을 구비한 에칭 처리 방법.
  9. 제1항 내지 제2항에 있어서,
    상기 불화수소의 증기를 도입해서 산화알루미늄막을 에칭 처리하는 공정 전 또는 후에 질소 가스 또는 수소 가스를 사용해서 형성된 플라스마 중의 입자를 상기 산화알루미늄막에 공급하는 공정을 구비한 에칭 처리 방법.
  10. 제1항 또는 제2항에 있어서,
    상기 불화수소의 증기를 도입해서 산화알루미늄막을 에칭 처리하는 공정과, 상기 웨이퍼를 가열하여 상기 산화알루미늄막 상방에 부착한 생성물을 탈리시키는 공정을 포함하는 복수의 공정을 1그룹의 사이클로 해서, 당해 사이클을 복수 회 반복하는 에칭 처리 방법.
  11. 진공 용기와, 이 진공 용기 내부의 공간 내에 배치되고 미리 표면에 산화알루미늄막이 배치된 처리 대칭의 웨이퍼가 상면에 놓이는 스테이지 및 상기 웨이퍼가 상기 스테이지 상에 놓인 상태에서 당해 웨이퍼의 상기 산화알루미늄막의 상방에서 이것과 대향하여 소정의 극간을 두고 배치된 판 부재로서 불화수소를 포함하는 처리용의 가스의 증기가 상기 극간의 내측을 포함하는 상기 산화알루미늄막 상에 도입되는 복수의 관통 구멍을 구비한 판 부재와, 상기 스테이지 또는 놓인 상기 웨이퍼를 -20℃ 이하의 온도로 조절하는 온도 조절의 기구를 구비한 에칭 처리 장치.
  12. 제11항에 있어서,
    상기 불화수소와 알코올의 증기가 상기 극간에 도입되는 복수의 전기 간통행을 갖는 전북 부재를 구비한 에칭 처리 장치.
  13. 제11항 또는 제12항에 있어서,
    상기 스테이지 또는 놓인 상기 웨이퍼를 -50℃ 내지 -20℃의 범위 내의 값, 바람직하게는 -35℃의 온도로 조절하는 온도 조절의 기구를 구비한 에칭 처리 장치.
  14. 제11항 또는 제12항에 있어서,
    상기 극간의 크기가 3mm 이하, 바람직하게는 1mm 이하인 에칭 처리 장치.
  15. 제11항 또는 제12항에 있어서,
    상기 판 부재의 상기 복수의 관통 구멍끼리의 사이의 거리가 1mm 내지 3mm, 바람직하게는 2mm인 에칭 처리 장치.
  16. 제11항 또는 제12항에 있어서,
    상기 관통 구멍의 직경이 1mm 내지 3mm, 바람직하게는 2mm인 에칭 처리 장치.
  17. 제11항 또는 제12항에 있어서,
    상기 스테이지 상에 놓인 상기 웨이퍼를 가열하는 것으로서 상기 스테이지 상방에 배치되어 광을 상기 웨이퍼에 조사하는 램프 또는 상기 스테이지 내에 배치된 히터를 구비한 에칭 처리 장치.
KR1020217029368A 2020-06-30 2020-06-30 에칭 처리 방법 및 에칭 처리 장치 KR20220004014A (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2020/025609 WO2022003803A1 (ja) 2020-06-30 2020-06-30 エッチング処理方法およびエッチング処理装置

Publications (1)

Publication Number Publication Date
KR20220004014A true KR20220004014A (ko) 2022-01-11

Family

ID=79315815

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217029368A KR20220004014A (ko) 2020-06-30 2020-06-30 에칭 처리 방법 및 에칭 처리 장치

Country Status (6)

Country Link
US (1) US11901192B2 (ko)
JP (1) JP7212444B2 (ko)
KR (1) KR20220004014A (ko)
CN (1) CN114127896A (ko)
TW (1) TWI798733B (ko)
WO (1) WO2022003803A1 (ko)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5956731A (ja) 1982-09-24 1984-04-02 Fujitsu Ltd 金属膜のエツチング方法
JPH03272135A (ja) 1990-03-22 1991-12-03 Matsushita Electron Corp 半導体装置の製造方法
JPH0831932A (ja) 1994-07-12 1996-02-02 Hitachi Ltd 半導体集積回路装置の製造方法
JPH08236503A (ja) 1995-02-27 1996-09-13 Toshiba Corp 酸化アルミニウム薄膜の選択エッチング方法
JP2006156867A (ja) 2004-12-01 2006-06-15 Seiko Epson Corp 半導体基板の製造方法および半導体装置の製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6065481A (en) 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
JP2010225818A (ja) * 2009-03-23 2010-10-07 Toshiba Corp 固体撮像装置及びその製造方法
US9290695B2 (en) * 2013-04-19 2016-03-22 Joled Inc Method for manufacturing a thin-film semiconductor device using an etching solution for an aluminum oxide film
US8932893B2 (en) * 2013-04-23 2015-01-13 Freescale Semiconductor, Inc. Method of fabricating MEMS device having release etch stop layer
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
JP6625891B2 (ja) 2016-02-10 2019-12-25 株式会社日立ハイテクノロジーズ 真空処理装置
US20170345665A1 (en) 2016-05-26 2017-11-30 Tokyo Electron Limited Atomic layer etching systems and methods
US10283369B2 (en) 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
US10854441B2 (en) * 2018-06-08 2020-12-01 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Plasma-based process for production of F and HF from benign precursors and use of the same in room-temperature plasma processing
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
US10720337B2 (en) 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5956731A (ja) 1982-09-24 1984-04-02 Fujitsu Ltd 金属膜のエツチング方法
JPH03272135A (ja) 1990-03-22 1991-12-03 Matsushita Electron Corp 半導体装置の製造方法
JPH0831932A (ja) 1994-07-12 1996-02-02 Hitachi Ltd 半導体集積回路装置の製造方法
JPH08236503A (ja) 1995-02-27 1996-09-13 Toshiba Corp 酸化アルミニウム薄膜の選択エッチング方法
JP2006156867A (ja) 2004-12-01 2006-06-15 Seiko Epson Corp 半導体基板の製造方法および半導体装置の製造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Y. Lee, J. W. Dumont and S. M. George, "Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2 and HF", Chemistry of Materials, 27, 3648(2015)

Also Published As

Publication number Publication date
TWI798733B (zh) 2023-04-11
JPWO2022003803A1 (ko) 2022-01-06
WO2022003803A1 (ja) 2022-01-06
US20220310403A1 (en) 2022-09-29
JP7212444B2 (ja) 2023-01-25
TW202217940A (zh) 2022-05-01
CN114127896A (zh) 2022-03-01
US11901192B2 (en) 2024-02-13

Similar Documents

Publication Publication Date Title
CN105762060B (zh) 氧化硅和氧化锗的各向同性原子层蚀刻
TWI725072B (zh) 蝕刻方法
CN105762072B (zh) 使用no活化的用于硅氧化物的各向同性原子层蚀刻
US8298627B2 (en) Method and apparatus of plasma treatment
US6528427B2 (en) Methods for reducing contamination of semiconductor substrates
US20130295774A1 (en) Plasma etching method
JP6284786B2 (ja) プラズマ処理装置のクリーニング方法
TWI668749B (zh) Etching method, etching device and memory medium
TWI661484B (zh) 蝕刻方法及蝕刻裝置
JPS63131520A (ja) ドライエツチング装置
TWI748360B (zh) 電漿處理方法及電漿處理裝置
US20060207724A1 (en) Method of removing oxide film on a substrate with hydrogen and fluorine radicals
KR101867194B1 (ko) 에칭 장치, 에칭 방법 및 기판 적재 기구
US20170338119A1 (en) Two-step fluorine radical etch of hafnium oxide
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US6764572B2 (en) Apparatus and method for semiconductor wafer etching
WO2007052534A1 (ja) エッチング方法及びエッチング装置
US7682978B2 (en) Plasma processing method and high-rate plasma etching apparatus
US11295960B1 (en) Etching method
KR20220004014A (ko) 에칭 처리 방법 및 에칭 처리 장치
CN113614891A (zh) 干蚀刻方法及半导体装置的制造方法
WO2023152941A1 (ja) エッチング処理方法
TWI434343B (zh) Etching method, etching system and etching device
TWI783412B (zh) 蝕刻方法
JPH01211921A (ja) ドライエッチング装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal