TWI798733B - 蝕刻處理方法及蝕刻處理裝置 - Google Patents

蝕刻處理方法及蝕刻處理裝置 Download PDF

Info

Publication number
TWI798733B
TWI798733B TW110123793A TW110123793A TWI798733B TW I798733 B TWI798733 B TW I798733B TW 110123793 A TW110123793 A TW 110123793A TW 110123793 A TW110123793 A TW 110123793A TW I798733 B TWI798733 B TW I798733B
Authority
TW
Taiwan
Prior art keywords
wafer
oxide film
aluminum oxide
etching
gas
Prior art date
Application number
TW110123793A
Other languages
English (en)
Other versions
TW202217940A (zh
Inventor
大竹浩人
服部孝司
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202217940A publication Critical patent/TW202217940A/zh
Application granted granted Critical
Publication of TWI798733B publication Critical patent/TWI798733B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)
  • Magnetic Heads (AREA)

Abstract

為了提供一種可相對於氧化矽膜及氮化矽膜以高的選擇比來高精度地蝕刻氧化鋁膜之蝕刻處理方法及蝕刻處理裝置,而具備:將在上面配置有氧化鋁膜的晶圓配置於處理室內,且將該晶圓維持於-20℃以下的溫度,從在前述晶圓的前述上面的上方取預定的間隙而配置的板狀的構件的複數的貫通孔,僅預定的期間供給氟化氫的蒸氣,蝕刻前述氧化鋁膜的工程。

Description

蝕刻處理方法及蝕刻處理裝置
本發明是關於在製造半導體裝置的工程中,將預先被形成於半導體晶圓等的基板上的試料上的處理對象的氧化鋁膜蝕刻而除去之蝕刻處理方法及蝕刻處理裝置。
就微細化進展的半導體裝置而言,由於形成複雜的構造,因此除了矽膜、氧化矽膜、氮化矽膜等的以往被使用者以外,進一步追求利用新種類的材料的薄膜。為此,在蝕刻層疊如此的薄膜的構造來形成電路的方面,被強力要求對於對象的膜及除此以外的其他的種類的膜實現高的選擇比之蝕刻技術。作為該等的新的薄膜材料的例子之一,可舉氧化鋁膜。
利用圖6來說明由使用如此的氧化鋁膜的膜構造形成半導體裝置的工程的例子。圖6是模式性地表示包含被蝕刻處理的氧化鋁膜的複數層的膜構造的例子的剖面圖。本圖的複數的剖面圖的各者表示在包含蝕刻的工程的複數的工程的各者的膜構造的剖面。
圖6(a)是使用氧化鋁膜作為其下層的膜層的蝕刻遮罩的例子。首先,將氧化鋁膜601乾蝕刻成為預定的圖案之後,以被蝕刻成該圖案的形狀的氧化鋁膜601作為蝕刻遮罩,進行下層的被蝕刻膜層602的蝕刻。之後,如本圖的右圖般,成為不要的氧化鋁膜601是被除去,但在該工程是下層的被蝕刻膜602或底層膜603等需要實現高的選擇比。
圖6(b)是使用氧化鋁膜作為隔離物(space)的例子。在於底層膜603上具有上層膜604的構造物上形成氧化鋁膜601之後,若以乾蝕刻技術來異方性地蝕刻,則可形成只在構造物的旁邊留下氧化鋁膜601的「隔離物」構造。以此隔離物構造作為摻雜遮罩或磊晶遮罩、圖案遮罩,利用在膜構造的處理之後,如本圖右圖般,實施除去不要的氧化鋁膜601除去的工程。
圖6(c)是使用氧化鋁膜作為裝置的一部分的例子。在邏輯裝置等的高性能裝置中,為了閘極氧化膜與閘極電極的功函數的控制,形成「功函數金屬(Work Function Metal)」,但有使用氧化鋁作為此一部分的情形。然而,此功函數金屬是有在N型電晶體與P型電晶體,膜厚或膜種被改變的情況,此情況是實施以光阻劑(photoresis)等來覆蓋一側而除去不要的部分的工程。
在本圖左側圖中,覆蓋被蝕刻膜之下層的膜層602的上方而配置的氧化鋁膜601會構成功函數金屬,其左側部分是在上方配置光阻劑膜605而覆蓋。氧化鋁膜601的右側的部分是成為不要的部分,在此部分上面上是未被遮罩。在本圖右側的圖中,顯示氧化鋁601的右側的部分會被選擇地除去的狀態。
圖6(d)是氧化鋁膜被使用於電容器絕緣膜的例子。為了迴避伴隨微細化的電容器容量的降低,而有使用高介電常數材料作為電容器絕緣膜的情形,使用氧化鋁作為此絕緣膜的材料。在本圖中,顯示在電極膜605與底層膜603之間配置有氧化鋁膜601的構造的剖面。配合上方的電極膜605的形狀的圖案之氧化鋁膜601的加工是主要使用乾蝕刻。
以上述圖6所示的工程為例表示的氧化鋁膜的除去作為目的的蝕刻,以往是藉由將膜構造浸泡於藥液內而進行的濕處理來進行。作為如此的使用藥液的濕處理的例子,例如日本特開2006-156867公報(專利文獻1)的例子,以往為人所知。在本例中,揭示使蝕刻液接觸於γ-氧化鋁層而進行氟酸處理或磷酸處理,選擇性地蝕刻。
進一步,在日本特開平8-31932公報(專利文獻2)中揭示:藉由以熱磷酸溶液來除去氧化鋁膜,不除去氧化鋁膜下方的鎢膜及氧化矽膜,只在接觸孔14內留下鎢膜的處理的工程的例子。進一步,在日本特開平8-236503公報(專利文獻3)中揭示利用磷酸水溶液之氧化鋁的濕蝕刻方法為以往為人所知的點。
然而,就如此的濕蝕刻處理而言,半導體裝置的構造的微細化的進展的結果,在晶圓表面藉由蝕刻處理而形成的電路的構造會因為藥液的表面張力而變形或溝彼此之間的壁倒塌,圖案的倒塌或溝、孔、間隙的蝕刻殘餘的問題會表面化。進一步,需要大量的藥液處理的點成為問題。因此,被要求將等方性蝕刻從利用使用藥液的濕處理置換成不使用藥液實施的乾處理。
另一方面,作為乾蝕刻如此的氧化鋁膜的處理的例子,例如,在日本特開平8-236503號公報(專利文獻3)中揭示:利用使用包含三氯化硼氣體及含氟的飽和或不飽和碳化氫氣體以及CO氣體或CO 2氣體的氣體、或由三氯化硼氣體及低級醇、碳數1~5的飽和或不飽和碳化氫所選的至少1種的氣體之氣體而形成的電漿來蝕刻氧化鋁膜的技術。進一步,在日本特開昭59-56731號公報(專利文獻4)中揭示:藉由使用CCl 4、BCl 3、Cl 2、SiCl 4等的蝕刻氣體而形成的電漿來蝕刻氧化鋁膜的例子。
然而,就上述以往的技術而言,是利用將電漿中的離子等荷電粒子引誘至被蝕刻膜的氧化鋁膜而使衝突促進蝕刻的離子協助來進行異方性的蝕刻者。因此,難以用在需要等方性的蝕刻的除去工程。
另一方面,作為只以氣體來除去氧化鋁的技術的例子,有日本特開平3-272135號公報(專利文獻5)者為人所知。本以往的技術是揭示:腔室內的溫度設為200℃,壓力設為9Torr,使與ClF 3氣體反應來除去氧化鋁膜的技術。
並且,在Y. Lee, J. W. Dumont and S. M. George, “Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2and HF”, Chemistry of Materials, 27, 3648 (2015)(非專利文獻1)中,藉由錫錯合物與氟化氫的重複照射來蝕刻氧化鋁的方法被提案,但錫錯合物的蒸氣壓低,在實際的蝕刻非有效。加上需要200℃以上的高溫,因此難以迴避上述的擴散或腐蝕的問題。 先前技術文獻 專利文獻
專利文獻1:日本特開2006-156867公報 專利文獻2:日本特開平8-31932公報 專利文獻3:日本特開平8-236503公報 專利文獻4:日本特開昭59-56731號公報 專利文獻5:日本特開平3-272135號公報 非專利文獻
非專利文獻1:Y. Lee, J. W. Dumont and S. M. George, “Mechanism of Thermal Al2O3 Atomic Layer Etching Using Sequential Reactions with Sn(acac)2 and HF”, Chemistry of Materials, 27, 3648 (2015)
(發明所欲解決的課題)
由於上述以往的技術關於其次的點的考慮不夠充分,因此發生問題。
亦即,作為微細化進步的半導體裝置,FinFET或Gate-All-Around等的3D邏輯裝置或作為記憶體裝置的3D-DRAM,3D-NAND製程等的檢討進展中,但為了將該等複雜的構造予以進行奈米等級的高精度的加工,就以往的矽膜、氧化矽膜、氮化矽膜的3種的薄膜而言,在裝置的製作不夠充分,需要氧化鋁的微細加工或剝離工程。此時,僅剝離氧化鋁,矽膜、氧化矽膜、氮化矽膜是幾乎完全不被蝕刻的技術被強力要求。
然而,作為上述以往技術的氧化鋁膜的剝離技術,就利用氟酸水溶液或磷酸水溶液的濕處理之蝕刻而言,產生在具有微細的間隔的溝或微小的直徑的孔的構造的蝕刻殘餘或圖案倒塌等,無法精度佳形成電路構造,有損及處理的良品率的的問題。又,上述以往技術是利用將依據三氯化硼等之電漿中的離子等荷電粒子引誘至被蝕刻膜的氧化鋁膜而使衝突促進蝕刻的離子協助來進行異方性的蝕刻者,因此有等方性的蝕刻困難的課題。
進一步,就專利文獻5揭示的技術而言,被要求將腔室內維持於200℃的高的溫度,氯原子會不僅氧化鋁,連鄰接的其他的材料也擴散,恐有引起腐蝕或裝置特性的劣化之虞。如此,就以往的技術而言,未充分地考慮有關無法等方性地除去氧化鋁膜,在處理中有損處理的精度及良品率的問題。
本發明是有鑑於上述課題而研發者,提供一種相對於氧化矽膜及氮化矽膜,以高的選擇比來高精度地蝕刻氧化鋁膜之蝕刻處理方法及蝕刻處理裝置。 (用以解決課題的手段)
上述目的是藉由具備下述工程的蝕刻處理方法來達成, 該工程是將在上面配置有氧化鋁膜的晶圓配置於處理室內,且將該晶圓維持於-20℃以下的溫度,從在前述晶圓的前述上面的上方取預定的間隙而配置的板狀的構件的複數的貫通孔,僅預定的期間供給氟化氫的蒸氣,蝕刻前述氧化鋁膜。
又,藉由具備真空容器、板構件及溫度調節的機構的蝕刻處理裝置來達成, 該板構件是被配置於該真空容器內部的空間內,在預先於表面配置有氧化鋁膜的處理對象的晶圓被載於上面的平台及在前述晶圓被載於前述平台上的狀態下在該晶圓的前述氧化鋁膜的上方與彼對向而取預定的間隙配置的板構件,具備用以導入含氟化氫的處理用的氣體的蒸氣至包括前述間隙的內側的前述氧化鋁膜上的複數的貫通孔, 該溫度調節的機構是將前述平台或被載置的前述晶圓調節成-20℃以下的溫度。 [發明的效果]
可提供一種以比氧化矽膜或氮化矽膜快的蝕刻速度來蝕刻氧化鋁膜,以高的選擇比來高精度地蝕刻除去氧化鋁膜之蝕刻處理方法或蝕刻處理裝置。
以下利用圖面來說明本發明的實施形態。
本發明的實施形態是根據在將氟化氫及甲醇氣體導入至腔室的腐蝕試驗中,將氧化鋁材料朝下配置於矽晶圓時,材料的端部被蝕刻的見解。
亦即,發明者們為了評價利用氟化氫及甲醇氣體之氧化矽膜的蝕刻處理,而評價有可能作為構成處理腔室的材料使用的氧化鋁的膜對於腐蝕的耐性。作為評價的樣品,是將氮化矽膜、氧化矽膜、氧化鋁膜分別作為被膜形成於比樣品晶圓上面更小的面積的平坦的表面的3個構件的組予以使各個的膜朝向上方載置於矽晶圓的上面上,而以KAPTON膠帶固定。而且,將別的1個組的樣品予以使配置於各個的構件表面的膜朝下(朝向矽晶圓上面)載置於該矽晶圓上面上而同樣地固定。
在處理腔室內導入乘載該等6個蝕刻樣品的矽晶圓,在處理腔室內的平台上載置之後,在被密閉的處理腔室內,以400sccm的量導入氟化氫,以200sccm的量導入甲醇,以100sccm的量導入氬氣體,且以蝶形閥來調節處理腔室內的壓力,而維持於300Pa。在將矽晶圓維持於 -35℃的溫度之狀態下,僅120秒在處理腔室內保持於平台上。
將檢測出之後的樣品的表面的被膜的蝕刻量的結果顯示於圖7。圖7是表示樣品表面的複數的種類的被膜的蝕刻量的圖表。
樣品的氮化矽膜是在將被膜朝向樣品晶圓的上方載置者及向下向載置者的雙方大概5nm以下的蝕刻量。另一方面,矽氧化膜是將被膜的面向上載置於樣品晶圓上者是80nm以上蝕刻,但對於樣品晶圓向下者是5nm以下的蝕刻量。
進一步,氧化鋁膜是將被膜向上載置於樣品晶圓上者是在晶圓上產生堆積物而膜厚變厚,另一方面,向下載置於樣品晶圓者是在被載於樣品晶圓的狀態下對向於彼的樣品的向下的表面部分的被膜的中央部是幾乎未取得蝕刻量,但在周緣部是可取得20nm弱的蝕刻量。周緣(邊緣(edge))部的蝕刻是從蝕刻樣品的向下的平坦的面被切斷的外周緣到向下的面的中央側大概2mm以內的區域產生。而且,可知對於氧化矽膜及氮化矽膜是在同條件下皆確保了4程度的選擇比。
若根據發明者們的檢討,則可知上述的氧化鋁膜的蝕刻是在-35℃的溫度供給HF+CH3OH的氣體之條件下,在樣品被載於樣品晶圓上面上而其平坦的表面會與樣品晶圓上面對向而保持的狀態特別是以狹窄的間隙保持的狀態的膜的周緣部被實現。可思考如此的現象是因為氟化氫會在以狹窄的間隙對向的低溫的樣品晶圓上面及以氧化鋁的被膜覆蓋的樣品的表面中部分地凝結,凝結的氟化氫的液或分子會在氧化鋁膜與矽晶圓的狹窄的間隙內從樣品的表面的外周端緣藉由毛細管現象的運動的原理來進入,氟化氫的液從該外周端緣到達的大概2mm的範圍為止的氧化鋁膜會被蝕刻。
根據此檢討的結果,檢討可蝕刻被配置於表面的氧化鋁膜之晶圓和與彼對向的平面的距離。作為表示產生毛細管現象的範圍的式子是其次者為人所知。
h=2Tcosθ/ρgr 在此,h是侵入高度,T是表面張力,cosθ是接觸角,ρ是密度,g是重力加速度,r是管的內徑。有關氟化氫的液體的物性,在村橋俊介・榊原俊平著「無水氟化氫」有機合成化學第25卷12號1176~1191頁(1967)詳細記載,根據此,表面張力是比水更弱,0.012N/m(-23℃),氟化氫的液的密度是與水幾乎相同,為1002kg/m3。若以實測的2mm作為h,且由於接觸角未知所以使用與水同值,則相當於管內徑的r是成為1.15mm。因此,可謂晶圓與對向面的距離是最好1mm以下。
如以上般,作為相對於氧化矽膜及氮化矽膜,以高的選擇比來高精度地蝕刻氧化鋁膜的處理的條件,是以對於在被維持於溫度-20℃~-50℃的範圍內的值,理想是-35℃之構成1mm以下的間隙(gap)的面的表面具有氧化鋁膜的膜構造供給氟化氫+甲醇作為蝕刻氣體的情形為見解取得。
利用圖1來說明本發明的實施形態特徵性的構成。圖1是模式性地表示包含在本發明的實施形態的蝕刻處理裝置的處理室內配置的晶圓之主要部的構成的概略的縱剖面圖。
在本圖中,模式性地表示被載於處理腔室內的未圖示的平台上的狀態的晶圓的上面的附近的縱剖面。在本圖中,在晶圓上面,在晶圓的基板102的上面配置有氧化鋁膜101,更在其表面的上方,具有複數的貫通孔104的氣體淋浴板103會取間隙105而配置。
進一步,氧化鋁膜101的表面與氣體淋浴板l03下面是對向配置,且氣體淋浴板103下面與氧化鋁101或基板102的上面的距離是在該等的面的區域全體,除了貫通孔104下端的開口部分以外,被保持於平行或視為此程度近似於預定者的值。特別是在本例中,上述距離之氣體淋浴板103下面與氧化鋁101之間的間隙105的大小(距離)T是被保持於1mm以下。
在氣體淋浴板103是具備複數的貫通孔104,通過貫通孔104來供給用以蝕刻氧化鋁膜103的氟化氫等的處理用的氣體。在本實施形態中,氣體淋浴板103與基板102或氧化鋁膜101的上面的間隙105的間隔T是設為3mm以下的值,理想是1mm以下。
進一步,氣體淋浴板103的2個的鄰接的貫通孔104的下端的開口彼此之間的距離或該等之間的氣體淋浴板103下面的長度或貫通孔104的下端開口與氣體淋浴板103的外周端緣之間的距離D是設為1mm以上3mm以下之間的值,理想是2mm。又,貫通孔104的直徑是設為1mm以上3mm以下的範圍內的值,理想是2mm。又,構成氣體淋浴板103的材料為使用石英,但不限於此,可使用其他的陶瓷材料或樹脂製的材料等,與氟化氫的蒸氣的反應相對地小,消耗的進展低的材料。
在本實施形態中,氣體淋浴板103與基板102或氧化鋁膜101之間的間隙的壓力是被維持於數100Pa,理想是300Pa,為了實現,調節處理用的氣體的供給或排氣的流量或速度。被供給的處理用的氣體是不限於氟化氫,亦可包含甲醇等的醇或稀有氣體、其他的氣體。
又,氧化鋁膜101及基板102是雖未圖示,但實際是被載置保持於在內部配置有與冷卻器等的調節冷媒的溫度的裝置連接的冷媒的流路之晶圓平台等支撐機構上。支撐機構會藉由內部的溫度調節用的機構來調節成預定的範圍內的溫度,藉此在表面配置有氧化鋁膜101的基板102的溫度會被維持於適於,從氣體淋浴板103供給的處理用的氣體被供給,在間隙105內氟化氫蒸氣凝結或成為液滴而反應產生的氧化鋁膜101的蝕刻處理之-20℃以下,-50℃以上的範圍內的值,理想是-35℃。 實施例1
以下,根據圖2詳細說明本發明的實施例。圖2是模式性地表示本實施例的蝕刻處理裝置的構成的概略的縱剖面圖。
在本圖中,蝕刻處理裝置200是大概分成具有: 真空腔室1,其係具有圓筒形,具備內部會被減壓的空間的處理室5; 氣體管線2,其係被連接至真空腔室1,具備用以將氣體導入至處理室5內的配管或閥; 排氣部3,其係被連接至真空腔室1的底面,與處理室5連通,具備將處理室5內的氣體或化合物等的粒子排出至處理室5外的真空泵。 真空腔室1是具備:在上下方向具有中心軸的圓筒形的金屬製的真空容器的頂腔室11及在其下方與頂腔室11連結的底腔室12。在底腔室12的內側是配置有圓筒形的空間的處理室5,在處理室5的下部的中央部是配置有用以將處理對象的晶圓14載於上面的具有圓筒形的平台15。平台15是具有構成與具有圓板形狀的晶圓14同樣的圓形狀的上面的介電質製的被膜,在內部具備冷卻用的媒體被循環供給的未圖示的流路,可使被載於其上的晶圓14冷卻至-20℃以下的低溫。
底腔室12的上部是以覆蓋處理室5的環狀的構件所構成,頂腔室11會被載於其中心部而兩者連結。本實施例的具有圓筒形狀的頂腔室11是與氣體管線2連接,在內側具備與氣體管線2連通供給處理用的氣體的空間之緩衝室4。被供給至緩衝室4內的氣體是在其內側擴散。
在頂腔室11內部的緩衝室4與底腔室12內部的處理室5之間是配置具有圓板形狀的石英等的介電質製的構件之氣體淋浴板103。藉由氣體淋浴板103來區劃上下的2個室,且經由被配置於淋浴板13的複數的貫通孔來連通。本實施例的氣體淋浴板103是被挿入至處理室5與緩衝室4之間的連通路的內部的圓板形狀的構件,被配置為下面會在連通路的下端部與下方的平台15的上面對向而構成處理室5的頂面,該處理室5是包含構成底腔室12的蓋部的具有環形狀的金屬製的板構件的中央部的圓形的貫通孔。
在底腔室12的底部是配置有構成排氣部3來連通處理室5與外部的排氣埠16。處理室5內的氣體或生成物的粒子會藉由排氣部3的真空泵的動作,通過該排氣埠16來排出至外部。
另外,本實施例的平台15是藉由配管來與將流動於其內部的流路的冷卻用的媒體(冷媒)調節溫度成預定的範圍內的值的冷卻器17連接。藉由冷媒按照未圖示的泵的動作來循環於調節溫度的冷卻器17與平台15內的流路之間,平台15進而是被保持於其上面上的晶圓14的溫度會被保持於-20℃以下。在如此的晶圓14的溫度的調節,不僅是調節溫度的冷媒的循環,亦可利用被供給於使晶圓14在平台15上面兩者接觸而保持的靜電吸盤或機械式夾頭該等之間的傳導性的氣體的熱傳達的促進、利用被內藏於平台15的珀耳帖元件等的加熱或冷卻用的元件的溫度調節、利用來自被配置於平台15上方的燈的輻射的加熱等以往為人所知的溫度調節的手段的任一或該等的組合。
圖示的氣體管線2的配管是被連接至頂腔室11的上面,流通於內部的處理用的氣體會從緩衝室4的上部導入至內部。本實施例的氣體管線2是與作為處理用氣體的氟化氫氣體及甲醇、乙醇等的醇(CxHyOH)等在氧化鋁膜的蝕刻處理所必要的氣體的氣體源之積存部33,34連接。來自氣體的積存部33,34的各個的氣體是藉由具備被配置於構成被連接至該等的各者的氣體管線2的配管上的開閉流路或增減其剖面積的閥及壓力計之質量流單元21,來調節流動於各個的配管內的氣體的流量或速度成適於處理的範圍內的值。
又,本例中,按該等複數的種類而配置的複數的配管是在質量流單元21與頂腔室11的連接部之間被連接的結果,成為複數的種類的氣體合流而混合的混合氣體會流動於內部的1個的配管,與頂腔室11連接。如此的氣體的混合是亦可設為不僅比與頂腔室11的連接部更接近質量流單元21之處,構成處理用的混合氣體的各個的氣體流動於內部的複數的配管被連接至頂腔室11,在緩衝室4內部擴散混合,而具有適於處理的分壓或摩爾比的組成。在以下說明的本實施例的處理用的混合氣體所使用的各氣體的種類是不僅氟化氫蒸氣、甲醇蒸氣等的醇,亦可為氬、氮、氦、其他只要是氧化鋁膜的蝕刻所必要的氣體,無論何者皆可。
排氣部3是包含排氣埠16,具備:被驅動而排除處理室5內部的粒子來將處理室5內部減壓的機械昇壓泵32、及被配置於連結該等之間的排氣管路上來增減內部的排氣的流路剖面積而調節排氣的流量或速度的蝶形閥31。蝶形閥31是具有複數個襟翼(flap),其係被配置於橫穿過排氣管路的方向,具有繞著軸旋轉的板形狀,藉由該等襟翼的繞軸的旋轉角度増減,排氣的流量或速度會被増減。處理室5及緩衝室4內的壓力是藉由來自氣體管線2的處理用氣體的供給的量或速度與來自排氣部3的處理室5的排氣的量或速度的平衡而調節。
作為處理室5或緩衝室4的壓力的調節用的排氣的量的調節的手段,不限於蝶形閥31,亦可使用其他的手段。進一步,作為進行來自處理室5的排氣的手段,不限於機械昇壓泵32,亦可使用迴轉式泵、乾式泵或渦輪分子泵、或該等的組合。
利用圖5來說明被配置於頂腔室11與底腔室12之間,且被配置於連通該等各內部所配置的緩衝室4及處理室5的連通路內的氣體淋浴板103的形狀的例子。圖5是表示圖2所示的實施例的蝕刻處理裝置的氣體淋浴板的構成的上面圖,表示從具有圓形的氣體淋浴板103的上下方向的中心軸上的上方之處向下看時的形狀的圖。
另外,本圖的氣體淋浴板103是石英製,在徑方向具有一定或近似於此程度的厚度的圓形狀的板構件,具有複數的貫通孔104,無論是針對圓板形狀或針對貫通孔104的配置的位置,都是繞著中心軸成為對稱的形狀,對於通過該軸的水平方向(圖上上下方向)的軸的左右對稱的水平方向(面內方向)具有對稱的構造。基於此情形,圖上右側的區域的顯示是省略。
氣體淋浴板103的複數的貫通孔104各者是上面或下面的開口相對於鄰接的貫通孔104者取1mm~3mm的間隔(距離)而配置。進一步,各貫通孔104是具有在上下方向具有一定的直徑的圓筒形狀,其直徑是具有1mm~3mm的範圍內的值。在本實施例中,由根據發明者等的實驗的結果,貫通孔104是以在氧化鋁膜的蝕刻中可取得更理想的結果的2mm的間隔來配置,具有直徑2mm的構造。另外,貫通孔104的構造是不被限於單一徑的圓筒形狀。
進一步,圖5所示的實施例是複數的貫通孔104除了中心軸上與外周緣部以外,在從中心朝向外周端緣的半徑方向的線分軸上的預定的間隔之處配置。而且,如此的線分軸上的複數的貫通孔104具有繞著上下方向的中心軸來按每個預定的角度配置的放射狀的配置。貫通孔104的配置是不被限於如此的放射狀者,亦可被配置為所謂格子狀地貫通孔104的中心軸會位於圖上互相垂直地交叉的複數的左右方向及上下方向的軸的交叉之處。
氣體淋浴板103的板的厚度是被設成具有:頂腔室11的緩衝室4內的壓力為底腔室12的處理室5內的壓力的2~10倍之間的值不產生損傷的材料性的強度之厚度。氣體淋浴板103的材料是可使用石英,但亦可為其他的陶瓷或塑膠樹脂等,只要是與氟化氫蒸氣反應相對性地小的材料即可。
在本實施例中,如圖1所示般,氣體淋浴板103與被載於平台15上的晶圓14上面的距離是1mm以下。另一方面,在晶圓14的搬送時,在平台15與氣體淋浴板103形成僅搬送用的機械手臂與搬送裝置不衝突的多餘的空間(餘裕(margin)),因此亦可在氣體淋浴板103或平台15連接使予以移動的機構,在晶圓14搬送時,氣體淋浴板103會移動至上方,或平台15會移動至下方,確保上述餘裕。哪個的情況皆是在晶圓14被搬送之後,氣體淋浴板103與平台15的距離再度縮小,在晶圓14被載於平台15上的狀態下,晶圓14上面與氣體淋浴板103下面之間的距離為1mm以下,固定兩者的位置。
另外,本實施例的蝕刻處理裝置200的上述平台15或氣體淋浴板103、冷卻器17、質量流單元21、蝶形閥31、機械昇壓泵32、氣體的積存部33,34等的動作的各部是可與控制部35通訊地連接,從該等各部輸出顯示其動作中的狀態的訊號或按照顯示該狀態的訊號來從控制部35命令各部的動作的指令訊號會互相發送。 控制部35包括: 介面部,其係包含收發上述訊號的連接器(connector)或將訊號變換成預定的別的訊號的變換器; 運算器部,其係包含半導體製的微處理器等的運算器;及 半導體記憶體或CD-ROM,DVD-ROM光碟機、硬碟等的記憶裝置部, 該等可通訊地連接而構成。
控制部35是被配置於上述各部的任意的1個,接收來自顯示其動作的狀態的感測器的檢測訊號,運算器讀出被儲存於記憶裝置內的軟體而根據其算法來從檢測訊號檢測出動作的狀態。進一步,根據比較檢測出的結果與所期的值之結果,而根據記憶裝置內的軟體的算法來算出顯示所期的值的動作的指令訊號,該指令訊號會從控制部35的介面部發送至該任意的1個的部位。接收該指令訊號的部位會根據訊號來調節其動作的狀態接近至成為目標的所期的值。除了特別說明的情況以外,有關以下說明的其他的實施例也同樣。
說明有關本實施例的蝕刻處理的流程。在與底腔室12的側壁面連接的未圖示的真空搬送容器內部的被減壓的空間的真空搬送室內搬送晶圓14,通過在水平方向貫通底腔室12的側壁而配置的通路的閘門來搬入至底腔室12的處理室5內。然後,被交接至平台15來與平台15上面接觸而載置,在構成上面的未圖示的介電質膜內的靜電吸附用的電極供給直流電力,晶圓14會在平台15上藉由靜電氣力來吸附而保持。在晶圓14背面與平台15上面之間的間隙供給具有He等的熱傳達性的氣體,在與被冷卻至-20℃~-50℃的範圍內的預定的值的平台15之間傳達熱的結果晶圓14的溫度會被形成適於與平台15同等的處理的範圍內的值。
上述晶圓14的保持是亦可使用靜電吸附、機械吸附的任一手段。在此狀態下,平台15的溫度會藉由利用冷卻器17來調節溫度的冷媒而被設為-35℃。此時,至晶圓14的溫度到達被預定的適於處理的溫度(本例是-35℃)藉由控制部35依據來自被配置於平台15的內部的未圖示的溫度感測器的輸出而被檢測出為止,處理用的氣體不會被供給至處理室5內部。
一旦控制部35確認平台15的溫度藉由冷卻器17降低至被定的溫度,則根據來自控制部35的指令訊號,來自氣體管線2的積存部33或34的氣體會作為含氟化氫及醇的處理用的氣體,通過該氣體管線2內的配管內來導入至頂腔室11內的緩衝室4。例如,在本例中,氟化氫400sccm、甲醇200sccm、氬氣體100sccm會被導入至緩衝室4內。進一步,在該等的氣體從氣體管線2被持續供給至緩衝室4的狀態下,以處理室5內的壓力能夠成為包含被預先決定的300Pa的容許範圍內的值之方式,在機械昇壓泵32被驅動的狀態下,蝶形閥31會按照來自控制部35的指令訊號而動作來調節排氣的流量或速度。另外,雖未圖示,但實際在頂腔室11及底腔室12是安裝有用以檢測緩衝室4或處理室5內的壓力的壓力感測器,在與控制部35之間可通訊。
被導入至緩衝室4的處理用的氣體是通過氣體淋浴板103的貫通孔104來從貫通孔104的下端部的開口供給至處理室5內的晶圓14上面的氧化鋁膜表面與氣體淋浴板103下面之間(圖1所示的間隙105)。若依據發明者們,則可知處理用的氣體被供給的時間與氧化鋁的蝕刻量是具有線形的相關,因此控制部35是調節質量流單元21或氣體管線2的配管上的閥的動作,僅由上述相關預先決定的時間,供給處理用的氣體至處理室5內。按照來自控制部35的指令訊號,停止處理用的氣體的供給,停止晶圓4上的氧化鋁膜的處理。
然後,排氣部3的動作繼續,至緩衝室4、處理室5內部比上述蝕刻處理的工程中者更充分地高的真空度為止,實施壓力更被減低的高真空排氣的工程。藉由此工程,處理室5內部的處理用的氣體或在先前的處理中產生的化合物、生成物會被充分地排氣。然後,晶圓14之利用吸附的保持會被解除,晶圓14會被交接至從真空搬送室進入至處理室5內的機械手臂等的搬送裝置,從平台15上除去,被搬出至處理室5的外側。然後,按照其次應被處理的未處理的晶圓14是否存在的判定的結果,此蝕刻處理裝置200之晶圓14的處理的繼續或停止會藉由控制部35來判定。
另外,亦可至可取得所期的深度等的蝕刻量為止進行重複複數次將上述的氧化鋁膜的蝕刻工程及該工程之後的氣體或粒子的高真空排氣的工程一起作為一套的晶圓處理的工程(循環)之循環蝕刻,上述的氧化鋁膜的蝕刻工程是在與氣體淋浴板103僅預定的距離取間隙來保持上述晶圓14的狀態下,僅預定的期間導入處理用氣體至處理室5內而進行。 實施例2
其次,利用圖3來概要說明有關本發明的別的實施例。圖3是模式性地表示本發明的別的實施例的蝕刻處理裝置的構成的概略的縱剖面圖。在實施例2的蝕刻處理裝置300是藉由使用電漿來促進氧化鋁膜的蝕刻及其表面的殘渣的除去之構成。
在本例中,有關與圖2所示者同樣的符號的構成,除非構成不同等,否則省略說明。
本實施例的蝕刻處理裝置300與實施例1的蝕刻處理裝置200的差異是在構成底腔室12的上部的環狀蓋部的中央部上方載有上下方向的高度比實施例1的頂腔室11更大的圓筒形狀的頂腔室11’之構成。進一步,頂腔室11’是在上下的金屬製的圓筒形的構件彼此之間設置具有同徑的圓筒形的內周側壁形狀的石英製的介電質筒301,作為圓筒形狀的側壁。此介電質筒301的材料是除了石英以外,可使用氧化鋁、碳化矽、氮化鋁等的陶瓷材料。
介電質筒301的環狀的上下端部是在其上方、下方同樣地配置有構成頂腔室11’的側壁部分的金屬製的環狀構件,在介電質筒301及該等的金屬製的環狀構件之間,是藉由上下夾持O型環等的真空密封墊變形,從被大氣壓的環境所包圍的頂腔室11’外部來氣密地區劃內部的空間。
進一步,在介電質筒構件301的圓筒形的外周側壁的周圍是被捲成包圍彼的放電用的線圈形天線302會與外周側壁取間隙而配置。線圈形天線302是經由匹配單元303來電性連接供給高頻電力的高頻電源304。在圖3是將天線102只捲繞1周,但亦可捲繞2周或3周以上,亦可進一步在上下方向捲繞複數段。
本實施例的頂腔室11’是在內部具有圓筒形狀的空間,包括介電質筒構件301的頂腔室11’的圓筒形的側壁會包圍該空間的周圍。進一步,藉由被供給至在電質筒構件301的外周側壁外側被捲於此的線圈形天線302的高頻電力,在圓筒形的內部的空間內形成感應磁場,從被連接至頂腔室11’的圓板形狀的蓋構件的氣體管線2供給的氣體的原子或分子會以藉由感應磁場所產生的感應電流來激發,產生解離、電離而形成電漿。如此本例的頂腔室11’內部的圓筒形的空間是形成電漿的放電室305。
說明有關實施例2的蝕刻處理裝置300的晶圓14的蝕刻處理的流程。在本實施例中,使被供給至頂腔室11內的空間的放電室305內的處理用的氣體藉由利用被供給至線圈形天線302的高頻電力所發生的感應磁場來激發而產生電漿,將該電漿的粒子利用在氧化鋁膜的蝕刻的促進或其表面殘渣的除去。
與實施例1同樣地,晶圓14被搬送至底腔室12內的處理室4之後,被吸附保持於平台15上,平台15或晶圓14的溫度被設成預定的溫度、例如包括-30℃之適於處理的容許的範圍內的值會藉由控制部35來檢測出。在本實施例中也是至檢測出上述溫度被設成適於處理的範圍內的值為止,處理用氣體往放電室305內的導入或電漿的形成是不被進行。
在本實施例中,當氧化鋁膜表面的氧化的狀態被判定成不夠充分時,亦可在使晶圓14吸附保持於平台15上的狀態下,從氣體管線2供給氧氣體至頂腔室11’內部的放電室305內,在放電室305內形成利用該氧的電漿,使被形成於此電漿內的粒子通過氣體淋浴板103的貫通孔104,供給至處理室5內的晶圓14表面的氧化鋁膜,進行利用電漿的粒子之表面的氧化。藉由進行此表面的氧化的工程,氧化鋁膜表面的氧化的狀態的不均一會被降低而整齊,表面的粗度(粗糙度)更被降低的蝕刻成為可能。
作為形成利用氧的電漿的條件的例子,在放電室305內以100sccm來導入氧氣體,內部的壓力設為50Pa。在此狀態下從高頻電源104供給高頻電力至線圈形天線302,氧氣體會被激發,電離、解離而形成電漿。在本實施例中,氧電漿的粒子是通過氣體淋浴板103的貫通孔104,從其下端的開口供給至晶圓14上面的氧化鋁膜,一部分會進入至氣體淋浴板103與晶圓14的氧化鋁膜之間的間隙內,而於氧化鋁膜表面全體產生氧化的反應。如此的電漿中的粒子的導入是進行15秒鐘。
照射此氧電漿的工程之後,將放電室305及處理室5內部減壓排氣至高的真空度為止而更換氣體之後,通過氣體管線2來將氟化氫的氣體導入至放電室305內,實施與實施例1同樣的氧化鋁膜的蝕刻處理的工程。進一步,與實施例1同樣地,控制部35是僅預定的時間供給處理用的氣體之後,對氣體管線2上的質量流單元21或開閉用的閥發送指令訊號,停止氣體的供給,停止氧化鋁膜的蝕刻工程。進一步,排氣部3繼續排氣,放電室305或處理室5內被減壓至高的真空度而內部的氣體、粒子被充分地排氣之後,晶圓14在平台15上的吸附會被解除,從平台15通過底腔室12側壁的被開放的閘門來搬出至處理室5外的真空搬送室內。
又,上述的晶圓14的處理的例子中,分別各實施1次利用氧電漿之氧化鋁膜的氧化的工程及使用氟化氫之氧化鋁膜的蝕刻工程。但亦可進行至少重複1次以上一套的循環的循環蝕刻,該一套的循環是包含:實施氧化鋁膜的蝕刻處理的工程,排氣至高真空之後,再度將氧氣體導入至放電室305而形成氧電漿之氧化的工程,及之後的高真空排氣的工程,以及利用氟化氫之氧化鋁膜的蝕刻的工程。或,亦可在供給氟化氫氣體而進行的蝕刻處理的工程之前,實施1次氧化鋁膜的氧化工程之後,至少重複1次以上作為包含該蝕刻處理的工程及在其前或後將處理室5或放電室305排氣至高真空度的高真空排氣的工程之複數工程的一套的循環的循環蝕刻。
又,當利用氟化氫(HF)氣體之氟的供給不足時,亦可在平台15上載置保持晶圓14之後,利用氟化氫氣體之蝕刻的工程之前,進行將碳氟化合物的氣體導入至放電室305內而形成電漿來氟化氧化鋁膜的表面之工程,作為上述一套的工程的循環之中的1個的工程。藉由氟化此表面的工程,碳氟化合物會附著於氧化鋁膜的表面,導入氟化氫氣體而實施的蝕刻會被促進。
本例的形成使用碳氟化合物氣體的電漿的條件是CF 4氣體的導入量為100sccm,放電室305內的壓力為50Pa。在此狀態下,利用天線302的高頻電力所致之感應磁場,形成電漿,電漿內的粒子會通過氣體淋浴板103的貫通孔104來15秒鐘供給至晶圓14表面。又,亦可進行至少重複1次以上利用碳氟化合物電漿之氟化的工程及利用氟化氫之蝕刻的工程(亦包含該等的工程之間的高真空排氣的工程)的循環蝕刻,作為1個的循環,或亦可在蝕刻處理的工程之前實施1次供給碳氟化合物氣體的電漿中的粒子的氧化鋁膜的氟化的工程之後,進行以包含前述蝕刻處理的工程及其前或後的高真空排氣的工程之一套的複數工程作為1循環至少重複1次以上的循環蝕刻。
在本例使用的碳氟化合物氣體是不限於CF 4,亦可為C 4F 8或C 4F 6等之類的碳氟化合物氣體,或亦可為CHF 3或CH 2F 2等之類的氫氟碳化合物。又,亦可不是NF 3或SF 6之類的碳氣體者。又,亦可同時流動碳氟化合物與氟化氫來點燃電漿而促進蝕刻反應。
進一步,在使用氟化氫氣體的蝕刻的工程之後,在氧化鋁的表面有殘渣時,亦可在該蝕刻的工程之後,在晶圓14從平台15上面搬出的工程之前,利用電漿來進行該殘渣的除去的工程。在本例中作為形成除去殘渣的電漿的條件,是往放電室305內的氮氣體及氫氣體的流量設為100sccm,放電室305內的壓力設為50Pa。在此狀態被形成於放電室305內的電漿的粒子會僅15秒鐘通過氣體淋浴板103來供給至氧化鋁膜。
亦可進行除去此殘渣的工程作為含在上述循環中的工程,該除去的工程之後,插入將放電室305或處理室5高真空排氣的工程,再度進行利用氟化氫氣體的蝕刻的工程,進行重複該等的循環蝕刻。 實施例3
利用圖4來說明有關本發明的進一步的別的實施例。圖4是模式性地表示本發明的進一步的別的實施例的蝕刻處理裝置的構成的概略的縱剖面圖。在本實施例的蝕刻處理裝置400中,除了實施例2所示的構成以外,還具備利用從燈照射的光的輻射來促進氧化鋁的蝕刻及表面的殘渣的除去之構成。
在本例中,有關與圖2或3所示者相同符號的構成,除非必要否則省略說明。
本實施例的蝕刻處理裝置400與實施例2的蝕刻處理裝置300的差異是頂腔室11”的上部的具有圓板形狀的蓋構件具備石英等的具有透過性而繞著蓋構件的上下方向的中心軸環狀地配置的介電質窗401,在該蓋構件的外側上方,在介電質窗401的上方配置有同樣地繞著中心軸環狀地配置的燈加熱器單元402的點。介電質窗401是在頂腔室11”的蓋構件,之間夾著O型環等的密封墊而安裝,藉由密封墊的變形來氣密地區劃環境為大氣壓的外部的空間與頂腔室11”內的放電室305的內部之間。構成此介電質窗401的材料是除了石英以外,可使用氧化鋁・碳化矽・氮化鋁等的陶瓷的材料。
在介電質窗401的上方是配置有燈加熱器單元402,進一步,燈加熱器單元402是電性連接直流電源403,對燈加熱器單元402供給電力。本例的燈加熱器單元402是使用鹵素燈,但亦可利用使用放射紅外線(Infra-Red,sIR)的光的燈來加熱晶圓14的加熱效果。
頂腔室11”是在被配置於構成底腔室12的上部的蓋構件的中央部的圓形的貫通孔的開口的周圍的蓋構件上,之間夾著O型環等的密封墊而載置安裝,被配置於內側的具有圓筒形的空間之放電室305的直徑是與上述底腔室12的蓋構件中央部的貫通孔的開口相同或視為此程度近似的值。
氣體淋浴板103是具有以石英等的具有透光性的材料所構成的圓板形狀,被配置於底腔室12的蓋構件的貫通孔的下端部的內部,而構成處理室5的頂面。氣體淋浴板103的圓板形狀的直徑是與被乘載於下方的平台15上的晶圓14相同或以上,抑制從上方的燈加熱器單元402放射的光在被照射至晶圓14上面的氧化鋁膜的途中的路徑被遮蔽而光量降低。
其次,說明有關本例的氧化鋁膜的蝕刻處理的流程。在本實施例中,與實施例2同樣地,藉由被供給至線圈形天線302的高頻電力所產生的感應磁場來使被供給至頂腔室11內的空間的放電室305內的處理用的氣體激發而產生電漿,將該電漿的粒子利用在氧化鋁膜的蝕刻的促進或其表面殘渣的除去。進一步,在本實施例中,利用從燈加熱器單元402照射至晶圓14的光的輻射之氧化鋁膜的加熱,來進行氧化鋁膜的蝕刻的加速或表面的殘渣的除去。
與實施例1同樣地,晶圓14被搬送至底腔室12內的處理室4之後,被吸附保持於平台15上,平台15或晶圓14的溫度被設成預定的溫度、例如包括-30℃之適於處理的容許的範圍內的值會藉由控制部35來檢測出。在本實施例中也是至檢測出上述溫度被設成適於處理的範圍內的值為止,處理用氣體往放電室305內的導入或電漿的形成是不被進行。
藉由將來自燈加熱器單元的光照射至晶圓14而加熱使昇溫的效果,與只用氣體的蝕刻的工程作比較,氧化鋁膜的蝕刻的反應會被促進。在將晶圓14載置於平台15上而保持的狀態下,通過放電室305及氣體淋浴板103的貫通孔104來將含氟化氫的氣體供給至氧化鋁膜的上面的狀態下,藉由來自燈加熱器單元402的光的照射,使晶圓14及氧化鋁膜的溫度從上述-25℃以下的溫度昇溫至200℃~300℃的值。或,亦可照射來自燈加熱器單元402的光,使晶圓14的溫度昇溫至200℃~300℃的值之後,從氣體管線2通過放電室305、氣體淋浴板103來供給含氟化氫的氣體至氧化鋁膜的表面。
又,有在放電室305或處理室5中生成的生成物附著或堆積於包含氣體淋浴板103的側壁或貫通孔104內部的表面、晶圓14的表面的情況。為了除去如此的附著物或堆積物,亦可在氧化鋁膜的表面僅預定時間供給處理用的氣體而進行的蝕刻的工程之後,在其次的高真空排氣的工程等的其次晶圓14的表面實施處理的工程的開始前,在真空中或放電室5內導入氣體且在氧化鋁膜上面導入氣體的狀態下,將燈加熱器單元402點燈(ON),照射光至晶圓14而加熱,藉此實施除去堆積物或附著物的工程。在本實施例中也亦可將利用如此的加熱之晶圓14或腔室的內部的表面的脫離或清潔工程含在作為實施例1,2所示的複數工程的一套之1個循環中,實施至少重複1次該循環的循環蝕刻的工程,或亦可在實施例1,2的循環的實施的前或後實施該脫離或清潔的工程。
在本例利用上述加熱的脫離或清潔的工程是使用從圖4所示的具有鹵素燈的燈加熱器單元402照射光至晶圓14的表面的輻射者。加熱晶圓14的手段是不被限定於利用含在鹵素燈的紅外光(IR光)的本例者,亦可藉由被供給至例如配置在平台15內的線狀或膜狀的加熱器之電力來加熱平台15而以熱傳導來加熱晶圓14的方法,或從蝕刻腔室100搬送晶圓14至別的裝置進行加熱。
另外,在上述實施例中,供給含氟化氫的氣體而進行的氧化鋁膜的蝕刻處理是通過貫通孔104供給該氣體的氣體淋浴板103與氧化鋁膜或晶圓14的上面之間的間隙會被維持於1mm以下,進一步氧化鋁膜或晶圓14的溫度會被維持於-50~-20℃的範圍內的值而實施。另一方面,在高真空背筋工程或其他的氧化或氟化、殘渣除去、脫離或清潔的各工程中,上述間隙或晶圓14(或予以支撐的平台15)的溫度是被維持於可有效果地或效率地實施該等的工程的大小。例如,如上述般,本實施例的平台15或氣體淋浴板103是被構成可移動於上下方向,不僅晶圓14的平台15的配置、脫離時的動作,在高真空排氣的工程或利用在放電室305內形成的電漿的粒子之氧化或氟化的工程中,亦可按照來自控制部35的指令訊號來移動平台15或氣體淋浴板103,該等之間的間隙的大小被調節成適當的值。
並且,在藉由從上述燈加熱器單元402照射光至晶圓14的表面而實施的加熱之脫離或清潔的工程中,亦可使移動於平台15的上下方向來調節成適當於該工程的燈加熱器單元402與晶圓14之間的距離。又,圖4的例子是燈加熱器單元402會被配置於放電室305上方的蓋構件的頂腔室11’上方,但亦可在頂腔室11”或介電質筒構件301的外側予以包圍而配置燈加熱器單元402,且氣密地密封該底腔室12內外來配置以石英等的具有透光性的構件所構成的窗構件,替換底腔室12的蓋或頂板的構件。此時,藉由具備將平台15設置於離開窗構件充分距離的上下方向的高度位置之構成,從環狀地被配置於氣體淋浴板103的周圍的燈加熱器單元402放射的光往晶圓14的照射量或強度的不均一會被降低。
1:真空腔室 2:氣體管線 3:排氣部 4:處理室 5:緩衝室 11,11’,11”:頂腔室 12:底腔室 14:晶圓 15:平台 16:排氣埠 17:冷卻器 21:質量流單元 31:蝶形閥 32:機械昇壓泵 200:蝕刻處理裝置 300:蝕刻處理裝置 301:介電質筒構件 302:線圈形天線 303:匹配單元 304:高頻電源 305:放電室 400:蝕刻處理裝置 401:介電質窗 402:燈加熱器單元 403:直流電源
[圖1]是模式性表示在本發明的實施形態的蝕刻處理裝置的處理腔室內配置的包含晶圓的主要部分的構成的概略的縱剖面圖。 [圖2]是模式性表示本實施例的蝕刻處理裝置的構成的概略的縱剖面圖。 [圖3]是模式性表示本發明的別的實施例的蝕刻處理裝置的構成的概略的縱剖面圖。 [圖4]是模式性表示本發明的進一步別的實施例的蝕刻處理裝置的構成的概略的縱剖面圖。 [圖5]是表示圖2所示的實施例的蝕刻處理裝置的氣體淋浴板的構成的上面圖。 [圖6]是模式性表示被蝕刻處理的包含氧化鋁膜的複數層的膜構造的例子的剖面圖。 [圖7]是表示樣品表面的複數的種類的被膜的蝕刻量的圖表。
1:真空腔室
2:氣體管線
3:排氣部
4:處理室
5:緩衝室
11:頂腔室
12:底腔室
14:晶圓
15:平台
16:排氣埠
17:冷卻器
21:質量流單元
31:蝶形閥
32:機械昇壓泵
33,34:積存部
35:控制部
103:氣體淋浴板
200:蝕刻處理裝置

Claims (17)

  1. 一種蝕刻處理方法,其特徵為具備:將在上面配置有氧化鋁膜的晶圓配置於處理室內,且將該晶圓維持於-20℃以下的溫度,從在前述晶圓的前述上面的上方取窄的間隙覆蓋該晶圓而配置的板狀的構件的複數的貫通孔,僅預定的期間供給氟化氫的蒸氣,使凝結後的前述氟化氫進入至該板狀的構件的下面與前述晶圓上面之間的前述間隙,而蝕刻前述氧化鋁膜的工程。
  2. 如請求項1記載的蝕刻處理方法,其中,將前述氟化氫與醇的混合的蒸氣供給至前述間隙。
  3. 如請求項1或2記載的蝕刻處理方法,其中,將前述晶圓的溫度維持於-50℃~-20℃的範圍的值,理想是-35℃,而蝕刻前述氧化鋁膜。
  4. 如請求項1或2記載的蝕刻處理方法,其中,前述間隙的大小為3mm以下,理想是1mm。
  5. 如請求項1或2記載的蝕刻處理方法,其中,前述複數的貫通孔的鄰接者彼此間的的距離設為1mm~3mm的範圍內的值,理想是2mm。
  6. 如請求項1或2記載的蝕刻處理方法,其中,前述貫通孔的直徑設為1mm~3mm的範圍內的值,理想是2mm。
  7. 如請求項1或2記載的蝕刻處理方法,其中,以包括:導入前述氟化氫的蒸氣而蝕刻處理前述氧化鋁膜的工程、及將真空容器內的空間內部排氣至比該蝕刻 處理的工程中更低的壓力的工程,之複數的工程作為一套的循環,予以重複複數次。
  8. 如請求項1或2記載的蝕刻處理方法,其中,具備:在導入前述氟化氫的蒸氣而蝕刻處理前述氧化鋁膜的工程之前或後,將在利用碳氟化合物氣體而形成的電漿中所形成的粒子供給至前述氧化鋁膜的表面之工程。
  9. 如請求項1或2記載的蝕刻處理方法,其中,具備:在導入前述氟化氫的蒸氣而蝕刻處理前述氧化鋁膜的工程之前或後,將利用氮氣體或氫氣體而形成的電漿中的粒子供給至前述氧化鋁膜之工程。
  10. 如請求項1或2記載的蝕刻處理方法,其中,以包括:導入前述氟化氫的蒸氣而蝕刻處理前述氧化鋁膜的工程、及加熱前述晶圓來使附著於前述氧化鋁膜的上方的生成物脫離的工程,之複數的工程作為一套的循環,將該循環重複複數次。
  11. 一種蝕刻處理裝置,其特徵係具備:真空容器;板構件,其係被配置於該真空容器內部的空間內,在預先於表面配置有氧化鋁膜的處理對象的晶圓被載於上面的平台及在前述晶圓被載於前述平台之上的狀態下在該晶圓的前述氧化鋁膜的上方與彼對向而取窄的間隙覆蓋該晶圓而配置的板構件,具備含氟化氫的處理用的氣體的蒸氣 會朝向前述晶圓導入,凝結後的前述氟化氫會被導入至前述晶圓的前述氧化鋁膜與前述板構件的下面之間的前述間隙之複數的貫通孔;及溫度調節的機構,其係將前述平台或被載置的前述晶圓調節成-20℃以下的溫度。
  12. 如請求項11記載的蝕刻處理裝置,其中,具備:具有導入前述氟化氫與醇的蒸氣至前述間隙的複數的前述貫通孔之前述板構件。
  13. 如請求項11或12記載的蝕刻處理裝置,其中,具備:將前述平台或被載置的前述晶圓調節成-50℃~-20℃的範圍內的值,理想是-35℃的溫度之溫度調節的機構。
  14. 如請求項11或12記載的蝕刻處理裝置,其中,前述間隙的大小為3mm以下,理想是1mm以下。
  15. 如請求項11或12記載的蝕刻處理裝置,其中,前述板構件的前述複數的貫通孔彼此之間的距離為1mm~3mm,理想是2mm。
  16. 如請求項11或12記載的蝕刻處理裝置,其中,前述貫通孔的直徑為1mm~3mm,理想是2mm。
  17. 如請求項11或12記載的蝕刻處理裝置,其中,具備將被載於前述平台上的前述晶圓加熱者,為被配置於前述平台上方而將電磁波照射至前述晶圓的燈,或被配置於前述平台內的加熱器。
TW110123793A 2020-06-30 2021-06-29 蝕刻處理方法及蝕刻處理裝置 TWI798733B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/JP2020/025609 WO2022003803A1 (ja) 2020-06-30 2020-06-30 エッチング処理方法およびエッチング処理装置
WOPCT/JP2020/025609 2020-06-30

Publications (2)

Publication Number Publication Date
TW202217940A TW202217940A (zh) 2022-05-01
TWI798733B true TWI798733B (zh) 2023-04-11

Family

ID=79315815

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110123793A TWI798733B (zh) 2020-06-30 2021-06-29 蝕刻處理方法及蝕刻處理裝置

Country Status (6)

Country Link
US (1) US11901192B2 (zh)
JP (1) JP7212444B2 (zh)
KR (1) KR20220004014A (zh)
CN (1) CN114127896A (zh)
TW (1) TWI798733B (zh)
WO (1) WO2022003803A1 (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201103134A (en) * 2009-03-23 2011-01-16 Toshiba Kk Solid-state imaging device and method for manufacturing same
US20170345665A1 (en) * 2016-05-26 2017-11-30 Tokyo Electron Limited Atomic layer etching systems and methods
US20190267249A1 (en) * 2016-08-10 2019-08-29 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
US20190378691A1 (en) * 2018-06-08 2019-12-12 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Plasma-Based Process for Production of F and HF from Benign Precursors and Use of the Same in Room-Temperature Plasma Processing
US20200027746A1 (en) * 2018-07-20 2020-01-23 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5956731A (ja) 1982-09-24 1984-04-02 Fujitsu Ltd 金属膜のエツチング方法
JPH03272135A (ja) 1990-03-22 1991-12-03 Matsushita Electron Corp 半導体装置の製造方法
JPH0831932A (ja) 1994-07-12 1996-02-02 Hitachi Ltd 半導体集積回路装置の製造方法
JPH08236503A (ja) 1995-02-27 1996-09-13 Toshiba Corp 酸化アルミニウム薄膜の選択エッチング方法
US6065481A (en) 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
JP2006156867A (ja) 2004-12-01 2006-06-15 Seiko Epson Corp 半導体基板の製造方法および半導体装置の製造方法
JP6159980B2 (ja) * 2013-04-19 2017-07-12 株式会社Joled 酸化アルミニウム膜用のエッチング液と、当該エッチング液を用いた薄膜半導体装置の製造方法
US8932893B2 (en) * 2013-04-23 2015-01-13 Freescale Semiconductor, Inc. Method of fabricating MEMS device having release etch stop layer
JP2018500767A (ja) * 2014-12-18 2018-01-11 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイトTHE REGENTS OF THE UNIVERSITY OF COLORADO,a body corporate 逐次的な自己制御熱反応を使用する原子層エッチング(ale)の新規の方法
JP6625891B2 (ja) 2016-02-10 2019-12-25 株式会社日立ハイテクノロジーズ 真空処理装置
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201103134A (en) * 2009-03-23 2011-01-16 Toshiba Kk Solid-state imaging device and method for manufacturing same
US20170345665A1 (en) * 2016-05-26 2017-11-30 Tokyo Electron Limited Atomic layer etching systems and methods
US20190267249A1 (en) * 2016-08-10 2019-08-29 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
US20190378691A1 (en) * 2018-06-08 2019-12-12 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Plasma-Based Process for Production of F and HF from Benign Precursors and Use of the Same in Room-Temperature Plasma Processing
US20200027746A1 (en) * 2018-07-20 2020-01-23 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials

Also Published As

Publication number Publication date
KR20220004014A (ko) 2022-01-11
US11901192B2 (en) 2024-02-13
US20220310403A1 (en) 2022-09-29
TW202217940A (zh) 2022-05-01
CN114127896A (zh) 2022-03-01
JPWO2022003803A1 (zh) 2022-01-06
JP7212444B2 (ja) 2023-01-25
WO2022003803A1 (ja) 2022-01-06

Similar Documents

Publication Publication Date Title
US11101174B2 (en) Gap fill deposition process
TWI668749B (zh) Etching method, etching device and memory medium
US10325781B2 (en) Etching method and etching apparatus
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
US11424137B2 (en) Drying process for high aspect ratio features
US10950417B2 (en) Substrate processing apparatus and substrate loading mechanism
KR101867194B1 (ko) 에칭 장치, 에칭 방법 및 기판 적재 기구
JP2008160000A (ja) ガス処理装置およびガス処理方法ならびに記憶媒体
JP2008502135A (ja) 基材を処理するためのプロセス加工システムおよび方法
KR20180051664A (ko) 기판 지지체 및 배플 장치
KR20190022282A (ko) 에칭 방법 및 에칭 장치
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US9780037B2 (en) Method of processing target object
US20170098537A1 (en) Reduced volume processing chamber
TW201327664A (zh) 等離子體處理裝置及聚焦環元件
WO2007052534A1 (ja) エッチング方法及びエッチング装置
US20170098541A1 (en) Drying process for high aspect ratio features
TWI745590B (zh) 蝕刻多孔質膜之方法
TWI798733B (zh) 蝕刻處理方法及蝕刻處理裝置
TWI434343B (zh) Etching method, etching system and etching device
JPH05114582A (ja) 真空処理装置
JPWO2020066172A1 (ja) エッチング方法、エッチング残渣の除去方法、および記憶媒体
WO2023152941A1 (ja) エッチング処理方法
JP2015228433A (ja) エッチング方法
TW202139285A (zh) 蝕刻方法