KR20190097160A - 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거 - Google Patents

첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거 Download PDF

Info

Publication number
KR20190097160A
KR20190097160A KR1020197020533A KR20197020533A KR20190097160A KR 20190097160 A KR20190097160 A KR 20190097160A KR 1020197020533 A KR1020197020533 A KR 1020197020533A KR 20197020533 A KR20197020533 A KR 20197020533A KR 20190097160 A KR20190097160 A KR 20190097160A
Authority
KR
South Korea
Prior art keywords
cleaning composition
oxidant
concentrate
acid
residues
Prior art date
Application number
KR1020197020533A
Other languages
English (en)
Inventor
엠마누엘 아이. 쿠퍼
마코넨 페인
원래 김
에릭 홍
셍-훙 투
치에 주 왕
시아-정 수
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Priority to KR1020217015180A priority Critical patent/KR102372109B1/ko
Publication of KR20190097160A publication Critical patent/KR20190097160A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D11/00Special methods for preparing compositions containing mixtures of detergents ; Methods for using cleaning compositions
    • C11D11/0005Special cleaning or washing methods
    • C11D11/0011Special cleaning or washing methods characterised by the objects to be cleaned
    • C11D11/0023"Hard" surfaces
    • C11D11/0047Electronic devices, e.g. PCBs or semiconductors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0014Cleaning by methods not provided for in a single other subclass or a single group in this subclass by incorporation in a layer which is removed with the contaminants
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/395Bleaching agents
    • C11D3/3956Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/16Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions using inhibitors
    • C23G1/18Organic inhibitors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/20Other heavy metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/24Cleaning or pickling metallic material with solutions or molten salts with neutral solutions
    • C23G1/26Cleaning or pickling metallic material with solutions or molten salts with neutral solutions using inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • C11D2111/22

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

본 개시내용은 알루미늄-함유 에칭 정지 층을 사용하는 반도체의 제조에 있어서 에칭-후 잔류물 및 알루미늄-함유 물질, 예를 들어 산화알루미늄의 제거를 돕는 세정 조성물에 관한 것이다. 조성물은 마이크로전자 소자 상의 저-k 유전 물질, 코발트-함유 물질 및 다른 금속에 비해 에칭-후 잔류물 및 알루미늄-함유 물질에 대한 높은 선택성을 갖는다.

Description

첨단 노드 BEOL 공정을 위한 에칭-후 잔류물 제거
관련 출원
본 출원은 2017년 1월 17일에 출원된 미국 가출원 제62/447,247호의 이익을 주장하며, 상기 가출원의 전체 개시내용은 그 전문이 본원에 참조로 포함된다.
발명의 분야
본 개시내용은 마이크로전자 소자 상의 저-k 유전 물질, 코발트-함유 물질 및 다른 금속에 비해 에칭-후 잔류물 및 알루미늄-함유 물질에 대한 높은 선택성을 갖는, 마이크로전자 소자로부터의 에칭-후 잔류물의 제거를 위한 조성물, 및 그것의 제조 및 사용 방법에 관한 것이다.
첨단 반도체 제조에서 소자 노드가 10 나노미터 (nm) 미만으로 축소됨에 따라, 더 우수한 소자 성능 및 양산능력을 위해 신규한 물질이 도입되었다. 고려되고 있는 신규한 물질의 예는 코발트 비아 컨택트(via contact), 알루미늄-함유 에칭 정지 층, 및 질화티타늄 장벽 층을 포함한다.
코발트-함유 물질, 질화티타늄, 및 저-k 유전 물질과 상용성인 에칭 후 세정 화학은 더 작은 더 첨단 노드에서의 제조 공정을 가능하게 한다. 백 엔드 오브 라인(back end of line: BEOL)에서, 구리 (Cu)는 여전히 인터커넥트(interconnect) 금속 라인으로서 사용되고 있고, 따라서 신규한 물질뿐만 아니라 구리와 상용성인 세정 화학 제제가 유리하다.
코발트-함유 물질, 구리, 저-k 유전 물질, 및 질화티타늄 장벽 층을 포함할 수 있는 소자 내의 다른 층에 비해 에칭-후 잔류물 및 알루미늄-함유 물질, 예를 들어, 산화알루미늄에 대한 선택성 및 제어된 에칭 속도를 갖는 세정 조성물이 필요하다.
코발트 비아 컨택트, 저-k 유전 물질, 및 구리 인터커넥트를 사용하는 마이크로전자 소자의 제조 동안의 에칭 후 잔류물 제거의 문제는 코발트-함유 층, 구리, 및 초저-k 유전 물질을 포함하는 저-k 유전 물질과 같은 다른 층에 비해 에칭-후 잔류물 및 알루미늄-함유 물질에 대한 에칭 속도 선택성을 갖는 조성물에 의해 해결된다.
코발트-함유 물질, 구리 인터커넥트, 및 저-k 유전 물질과 같은 다른 층에 비해 알루미늄-함유 물질, 예를 들어, 산화알루미늄에 대한 에칭 선택성을 갖는 세정 조성물이 본원에 기술된다. 추가로, 에칭-후 잔류물, 및 산화알루미늄을 포함하는 알루미늄-함유 에칭 정지 층을 마이크로전자 소자로부터 효율적으로 제거하기 위한 상기 세정 조성물의 사용 방법이 본원에 개시된다.
참조의 용이성을 위해, "마이크로전자 소자"는 마이크로전자공학, 집적회로, 에너지 수집, 또는 컴퓨터 칩 용도에서의 사용을 위해 제조된, 반도체 기판, 평판 디스플레이, 상 변화 메모리 소자, 태양 전지판, 및 태양 전지 소자, 광전지, 및 마이크로전자기계 시스템 (MEMS)을 포함하는 다른 제품에 상응한다. 용어 "마이크로전자 소자", "마이크로전자 기판" 및 "마이크로전자 소자 구조체"는 어떠한 방식으로든 제한적이지 않으며 최종적으로 마이크로전자 소자 또는 마이크로전자 조립체가 될 임의의 기판 또는 구조체를 포함한다는 것을 이해해야 한다. 마이크로전자 소자는 패턴화되고 블랭킷 처리된 제어 및/또는 시험 소자일 수 있다.
본원에 사용된 바와 같은 "약"은 언급된 값의 ± 5 %에 상응하도록 의도된다.
"실질적으로 갖지 않는"은 본원에서는 2 wt. % 미만, 바람직하게는 1 wt. % 미만, 더 바람직하게는 0.5 wt. % 미만, 더욱 더 바람직하게는 0.1 wt. % 미만, 가장 바람직하게는 0 wt.%로서 정의된다.
본원에 정의된 바와 같은 "알루미늄-함유 물질"은 알루미늄-함유 에칭 정지 층, 예를 들어, 산화알루미늄 또는 질화알루미늄을 포함한다.
본원에 정의된 바와 같은 "산화알루미늄"은 AlxOy로 표현될 수 있고, 이는 산화알루미늄이 원래의 알루미늄-함유 반응물 및 침착 방법뿐만 아니라 임의의 불순물의 존재에 따라 다양한 화학량론을 가질 수 있고 다양한 알루미늄 산화물 (예를 들어, Al2O3)을 포함할 수 있다는 것을 의미한다. 산화알루미늄은 물리적 증기 침착 (PVD), 원자 층 침착 (ALD) 또는 화학적 증기 침착 (CVD)에 의해 침착될 수 있다.
본원에 정의된 바와 같은 "저-k 유전 물질"은 약 3.5 미만의 유전상수를 갖는, 다층 마이크로전자 소자에서 유전 물질로서 사용되는 임의의 물질에 상응한다. 저-k 유전 물질은 초저-k 유전 물질을 포함한다. 바람직하게는 저-k 유전 물질은 저-극성도 물질, 예컨대 규소-함유 유기 중합체, 규소-함유 하이브리드 유기/무기 물질, 유기실리케이트 유리 (OSG), 테트라에틸 오르토실리케이트 (TEOS), 플루오린화 실리케이트 유리 (FSG), 이산화규소, 및 탄소-도핑된 산화물 (CDO) 유리를 포함한다. 저-k 유전 물질은 다양한 밀도 및 다양한 다공도를 가질 수 있다는 것을 알아야 한다.
본원에 기술된 바와 같은 "이산화규소" 또는 "SiO2" 물질은 산화규소 전구체 공급원으로부터 침착된 물질, 예를 들어, TEOS, 열 침착된 산화규소, 또는 상업적으로 입수 가능한 전구체, 예컨대 실크(SiLK)™, 오로라(AURORA)™, 코랄(CORAL)™, 또는 블랙 다이아몬드(BLACK DIAMOND)™를 사용하여 침착된 탄소 도핑된 산화물 (CDO)에 상응한다. 이러한 설명의 취지상, "이산화규소"는 넓게는 SiO2, CDO, 실록산 및 열 산화물을 포함한다. 이산화규소 또는 SiO2 물질은 순수한 이산화규소 (SiO2)뿐만 아니라, 구조체 내의 불순물을 포함하는, 불순한 이산화규소에 상응한다.
본원에 사용된 바와 같은 "에칭-후 잔류물"은 기체상 플라즈마 에칭 공정, 예를 들어, BEOL 이중-상감(dual-damascene) 공정 후에 잔류하는 물질에 상응한다. 에칭-후 잔류물은 본질적으로 유기성, 유기금속성 (예를 들어, 유기규소계), 또는 무기성일 수 있고, 예를 들어, 규소-함유 물질, 티타늄-함유 물질, 질소-함유 물질, 산소-함유 물질, 중합체성 잔류물, 구리-함유 잔류물 (산화구리 잔류물을 포함함), 텅스텐-함유 잔류물, 코발트-함유 잔류물, 에칭 기체 잔류물, 예컨대 염소 및 플루오린, 및 그것의 조합을 포함할 수 있다.
본원에 사용된 바와 같은, 알루미늄-함유 물질 및/또는 에칭-후 잔류물을 갖는 마이크로전자 소자로부터의 상기 물질(들)의 제거에 대한 "적합성"은 마이크로전자 소자로부터의 상기 알루미늄-함유 물질 및/또는 에칭-후 잔류물(들)의 적어도 부분적인 제거에 상응한다. 바람직하게는, 물질(들)의 적어도 약 90 %, 더 바람직하게는 물질(들)의 적어도 95%, 가장 바람직하게는 물질(들)의 적어도 99%가 본원에 기술된 조성물을 사용하여 마이크로전자 소자로부터 제거된다.
본 발명의 조성물은, 이후에 더 상세하게 기술되는 바와 같이, 매우 다양한 특정 제제로서 구현될 수 있다.
조성물의 특정 성분이 0 하한을 포함하는 중량 퍼센트 범위와 관련하여 논의되는 것인 이러한 모든 조성물에서, 이러한 성분은 조성물의 다양한 특정 실시양태에 존재하거나 부재할 수 있고 이러한 성분이 존재하는 경우에 그것은 이러한 성분을 사용하는 조성물의 총중량을 기준으로 0.00001 중량 퍼센트 정도로 낮은 농도로 존재할 수 있다는 것을 이해해야 한다.
첫 번째 측면에서, 수성이며, (a) 적어도 하나의 금속 부식 억제제, 적어도 하나의 에칭제 공급원, 적어도 하나의 실리카 공급원, 적어도 하나의 킬레이트제, 및 적어도 하나의 용매를 포함하거나 그것으로 이루어지거나 본질적으로 그것으로 이루어진 농축물, 및 (b) 적어도 하나의 산화제를 포함하는 세정 조성물이 기술되고, 여기서 상기 농축물을 적어도 하나의 산화제와 합침으로써 상기 세정 조성물을 형성하고, 여기서 세정 조성물은 에칭-후 잔류물 및 알루미늄-함유 물질을 갖는 마이크로전자 소자의 표면으로부터 상기 물질을 제거하기에 적합하다. 에칭-후 잔류물은 티타늄-함유 잔류물, 중합체성 잔류물, 구리-함유 잔류물, 코발트-함유 잔류물, 규소-함유 잔류물, 및 그것의 조합으로 이루어진 군으로부터 선택되는 적어도 하나의 화학종을 포함할 수 있다.
개시내용의 일부 변형양태에서 에칭제 공급원은 수산화암모늄 또는 화학식 NR1R2R3R4OH를 갖는 테트라알킬암모늄 히드록시드 염기를 포함할 수 있고, 여기서 R1, R2, R3 및 R4는 동일하거나 서로 상이할 수 있고, 수소, 직쇄형 또는 분지형 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, C1-C6 히드록시알킬 (예를 들어, 히드록시메틸, 히드록시에틸, 히드록시프로필, 히드록시부틸, 히드록시펜틸, 및 히드록시헥실) 기, 및 치환되거나 치환되지 않은 C6-C10 아릴 기, (예를 들어, 벤질 기)로 이루어진 군으로부터 선택된다. 테트라메틸암모늄 히드록시드 (TMAH), 테트라에틸암모늄 히드록시드 (TEAH), 테트라프로필암모늄 히드록시드 (TPAH), 테트라부틸암모늄 히드록시드 (TBAH), 트리부틸메틸암모늄 히드록시드 (TBMAH), 벤질트리메틸암모늄 히드록시드 (BTMAH), 콜린 히드록시드, 에틸트리메틸암모늄 히드록시드, 트리스(2-히드록시에틸)메틸 암모늄 히드록시드, 디에틸디메틸암모늄 히드록시드, 및 그것의 조합을 포함하는, 상업적으로 입수 가능한 테트라알킬암모늄 히드록시드가 사용될 수 있다. 대안으로서, 에칭제 공급원은 4급 아민의 염, 예컨대 트리메틸프로판올아민, 트리에틸에탄올아민, 디메틸에틸에탄올아민, 디에틸메틸에탄올아민, 디메틸에틸프로판올아민, 디에틸메틸프로판올아민, 및 트리에틸프로판올아민을 포함하지만 이로 제한되지 않는 4급 트리알킬알칸올아민 염기일 수 있다. 하나 이상의 에칭제 공급원은 농축물의 총중량을 기준으로 농축물의 약 0.1 wt% 내지 약 20 wt%를 구성할 수 있다. 일부 실시양태에서, 하나 이상의 에칭제 공급원은 농축물의 총중량을 기준으로 농축물의 약 0.1 wt% 내지 약 10 wt%을 구성할 수 있다. 다른 실시양태에서, 하나 이상의 에칭제 공급원은 농축물의 총중량을 기준으로 농축물의 약 10 wt% 내지 약 20 wt%을 구성할 수 있다. 바람직하게는, 적어도 하나의 에칭제 공급원은 콜린 히드록시드를 포함한다.
금속 부식 억제제가 Cu 및 Co와 같은 접촉 금속을 보호하는 데 사용될 수 있다. 금속 부식 억제제는 5-아미노테트라졸, 5-페닐-벤조트리아졸, 1H-테트라졸-5-아세트산, 1-페닐-2-테트라졸린-5-티온, 벤즈이미다졸, 메틸테트라졸, 피라졸, 5-아미노-1,3,4-티아디아졸-2-티올 (ATDT), 벤조트리아졸 (BTA), 1,2,4-트리아졸 (TAZ), 1,2,3-트리아졸, 톨릴트리아졸, 5-메틸-벤조트리아졸 (mBTA), 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 벤조트리아졸 카르복실산, 3-아미노-5-메르캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸 (3-ATA), 3-메르캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸 (할로 = F, Cl, Br 또는 I), 나프토트리아졸, 2-메르캅토벤즈이미다졸 (MBI), 2-메르캅토벤조티아졸, 4-메틸-2-페닐이미다졸, 2-메르캅토티아졸린, 5-아미노-1,2,4-트리아졸 (5-ATA), 3-아미노-5-메르캅토-1,2,4-트리아졸, 펜틸렌테트라졸, 5-페닐-1H-테트라졸, 5-벤질-1H-테트라졸, 2,4-디아미노-6-메틸-1,3,5-트리아진, 티아졸, 트리아진, 메틸테트라졸, 1,3-디메틸-2-이미다졸리디논, 1,5-펜타메틸렌테트라졸, 1-페닐-5-메르캅토테트라졸, 디아미노메틸트리아진, 이미다졸린 티온, 4-메틸-4H-1,2,4-트리아졸-3-티올, 4-아미노-4H-1,2,4-트리아졸, 3-아미노-5-메틸티오-1H-1,2,4-트리아졸, 벤조티아졸, 이미다졸, 인디아졸, 아데닌, 아데노신, 카르바졸, 및 그것의 조합을 포함하지만 이로 제한되지 않는 하나 이상의 부식 억제제를 포함할 수 있거나 그것으로 이루어질 수 있거나 그것으로 본질적으로 이루어질 수 있다. 농축물에 존재하는 부식 억제제(들)의 양에 대한 범위는, 적어도 하나의 산화제를 포함하는 세정 조성물을 갖는 비이커에 잠긴 시편 상에서 측정된 바와 같은, 본질적으로 억제제와 무관한, 약 2 Å/min 이하의 Cu 에칭 속도 및 약 2 Å/min 이하의 코발트 에칭 속도를 제공하는 양이다. 예를 들어, 농축물에 존재하는 하나 이상의 부식 억제제의 양은 농축물의 총중량을 기준으로 약 0.01 wt% 내지 약 5 wt%의 범위일 수 있다. 다른 실시양태에서, 농축물에 존재하는 하나 이상의 부식 억제제의 양은 농축물의 총중량을 기준으로 약 0.1 wt% 내지 약 2 wt% 또는 약 2 wt% 내지 약 5 wt%일 수 있다. 바람직하게는, 적어도 하나의 부식 억제제는 TAZ, mBTA, 톨릴트리아졸, 또는 그것의 임의의 조합을 포함한다.
킬레이트제 또는 금속 착화제는 4-(2-히드록시에틸)모르폴린 (HEM), 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA), 에틸렌디아민테트라아세트산 (EDTA), m-크실렌디아민 (MXDA), 이미노디아세트산 (IDA), 2-(히드록시에틸)이미노디아세트산 (HIDA), 니트릴로트리아세트산, 티오우레아, 1,1,3,3-테트라메틸우레아, 우레아, 우레아 유도체, 요산, 알라닌, 아르기닌, 아스파라긴, 아스파르트산, 시스테인, 글루탐산, 글루타민, 히스티딘, 이소류신, 류신, 리신, 메티오닌, 페닐알라닌, 프롤린, 세린, 트레오닌, 트립토판, 티로신, 발린, 포스포네이트 (예를 들어, 1-히드록시에틸리덴-1,1-디포스폰산 (HEDP), 1,5,9-트리아자시클로도데칸-N,N',N"-트리스(메틸렌포스폰산) (DOTRP), 1,4,7,10-테트라아자시클로도데칸-N,N',N",N'"-테트라키스(메틸렌포스폰산) (DOTP), 니트릴로트리스(메틸렌)트리포스폰산, 디에틸렌트리아민펜타키스(메틸렌포스폰산) (DETAP), 아미노트리(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 펜타메틸렌 포스폰산, 1,4,7-트리아자시클로노난-N,N',N"-트리스(메틸렌포스폰) 산 (NOTP), 히드록시에틸디포스포네이트, 니트릴로트리스(메틸렌)포스폰산, 2-포스포노-부탄-1,2,3,4-테트라카르복실릭, 카르복시에틸 포스폰산, 아미노에틸 포스폰산, 글리포세이트, 에틸렌 디아민 테트라(메틸렌포스폰산) 페닐포스폰산, 그것의 염, 및 그것의 유도체), 카르복실산 (예를 들어, 옥살산, 숙신산, 말레산, 말산, 말론산, 아디프산, 프탈산, 시트르산, 시트르산나트륨, 시트르산칼륨, 시트르산암모늄, 트리카르발릴산, 트리메틸올프로피온산, 타르타르산, 글루쿠론산, 및 2-카르복시피리딘), 인산염, 인산, 술폰산, 헤테로시클릭 아민 N-옥시드 (예를 들어, 3,5-디메틸피리딘 N-옥시드, 3-메틸피리딘 N-옥시드, 4-메틸모르폴린-N-옥시드 (NMMO), 2-메틸피리딘 N-옥시드, N-메틸 피페리딘-N-옥시드, 및 4-에틸모르폴린-N-옥시드), 및 그것의 임의의 조합을 포함할 수 있지만 이로 제한되지 않는다. 농축물에 존재하는 하나 이상의 킬레이트제의 양은 농축물의 총중량을 기준으로 약 0.01 wt% 내지 약 10 wt%의 범위일 수 있다. 다른 실시양태에서, 농축물에 존재하는 하나 이상의 킬레이트제의 양은 농축물의 총중량을 기준으로 약 0.1 wt% 내지 약 5 wt% 또는 약 5 wt% 내지 약 10 wt%일 수 있다. 적어도 하나의 킬레이트제가 헤테로시클릭 아민 N-옥시드인 경우에, 헤테로시클릭 아민 N-옥시드는 산화제의 존재 하에 산화되지 않은 전구체 분자를 사용하여 계내에서 제조될 수 있다는 것을 유념하도록 한다. 추가로, 헤테로시클릭 아민 N-옥시드는 산화제로서도 작용할 수 있지만, 본 개시내용의 취지상, 그것은 농축물에 존재하고 킬레이트제로서 특징지워진다는 것을 알아야 한다. 바람직하게는, 적어도 하나의 킬레이트제는 EDTA, CDTA, HEDP, 옥살산, 및 NMMO 중 적어도 하나, 바람직하게는 CDTA, HEDP, 및 NMMO 중 적어도 하나를 포함한다.
적어도 하나의 실리카 공급원은 바람직하게는 플루오로규산 (H2SiF6)을 포함한다. 적어도 하나의 플루오라이드 공급원 (예를 들어, HF, 암모늄 플루오라이드, 암모늄 비플루오라이드, 테트라알킬암모늄 플루오라이드 (NR1R2R3R4F) (여기서 R1, R2, R3, R4는 동일하거나 서로 상이할 수 있고 수소, 직쇄형 또는 분지형 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, C1-C6 히드록시알킬 (예를 들어, 히드록시에틸 및 히드록시프로필) 기, 치환되거나 치환되지 않은 아릴 기 (예를 들어, 벤질), 약염기, 및 그것의 조합으로 이루어진 군으로부터 선택됨))을 적어도 하나의 규소-함유 화합물, 예컨대 알콕시실란, 암모늄 헥사플루오로실리케이트, 규산나트륨, 테트라메틸 암모늄 실리케이트 (TMAS), 및 그것의 조합과 합침으로써 계내에서 플루오로규산을 제조할 수 있다는 것을 알아야 한다. 고려되는 알콕시실란은 화학식 SiR1R2R3R4를 갖고, 여기서 R1, R2, R3 및 R4는 동일하거나 서로 상이하고 직쇄형 C1-C6 알킬 (예를 들어, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실) 기, 분지형 C1-C6 알킬 기, C1-C6 알콕시 (예를 들어, 메톡시, 에톡시, 프로폭시, 부톡시, 펜톡시, 및 헥속시) 기, 페닐 기, 및 그것의 조합으로 이루어진 군으로부터 선택된다. 통상의 기술자라면, 알콕시실란으로서 특징지워지기 위해서는, R1, R2, R3 또는 R4 중 적어도 하나가 C1-C6 알콕시 기여야 한다는 것을 알아야 할 것이다. 고려되는 알콕시실란은 메틸트리메톡시실란, 디메틸디메톡시실란, 페닐트리메톡시실란, 테트라에톡시실란 (TEOS), N-프로필트리메톡시실란, N-프로필트리에톡시실란, 헥실트리메톡시실란, 헥실트리에톡시실란, 및 그것의 조합을 포함한다. 농축물에 존재하는 하나 이상의 실리카 공급원의 양은 농축물의 총중량을 기준으로 약 0.01 wt% 내지 약 5 wt%의 범위일 수 있다. 다른 실시양태에서, 농축물에 존재하는 하나 이상의 실리카 공급원의 양은 농축물의 총중량을 기준으로 약 0.01 wt% 내지 약 2 wt% 또는 약 2 wt% 내지 약 5 wt%일 수 있다.
적어도 하나의 용매는 바람직하게는 물, 더욱 더 바람직하게는 탈이온수를 포함한다. 물은 세정 조성물의 총중량을 기준으로 세정 조성물의 약 60 wt% 내지 약 98 wt%를 구성할 수 있다. 더 적은 양의 물이 농축물에 존재할 수 있지만, 적어도 하나의 산화제와 동시에, 상기 양의 물을 갖는 세정 조성물을 생성하도록, 세정 조성물에 첨가될 수 있다는 것을 알아야 한다.
본원에 기술된 세정 조성물을 형성하기 위해, 농축물을 적어도 하나의 산화제와 혼합한다. 본원에서 고려되는 산화제는 과산화수소 (H2O2), FeCl3, FeF3, Fe(NO3)3, Sr(NO3)2, CoF3, MnF3, 옥손, (2KHSO5·KHSO4·K2SO4), 질산 (HNO3), 암모늄 다원자(polyatomic) 염 (예를 들어, 암모늄 퍼옥소모노술페이트, 아염소산암모늄 (NH4ClO2), 염소산암모늄 (NH4ClO3), 아이오딘산암모늄 (NH4IO3), 질산암모늄 (NH4NO3), 과붕산암모늄 (NH4BO3), 과염소산암모늄 (NH4ClO4), 과아이오딘산암모늄 (NH4IO4), 과황산암모늄 ((NH4)2S2O8), 차아염소산암모늄 (NH4ClO)), 및 텅스텐산암모늄 ((NH4)10H2(W2O7)), 나트륨 다원자 염 (예를 들어, 과황산암모늄 (Na2S2O8), 차아염소산나트륨 (NaClO) 및 과붕산나트륨), 칼륨 다원자 염 (예를 들어, 아이오딘산칼륨 (KIO3), 과망간산칼륨 (KMnO4), 과황산칼륨 (K2S2O8), 차아염소산칼륨 (KClO)), 테트라메틸암모늄 다원자 염 (예를 들어, 테트라메틸암모늄 클로라이트 ((N(CH3)4)ClO2), 테트라메틸암모늄 클로레이트 ((N(CH3)4)ClO3), 테트라메틸암모늄 아이오데이트 ((N(CH3)4)IO3), 테트라메틸암모늄 퍼보레이트 ((N(CH3)4)BO3), 테트라메틸암모늄 퍼클로레이트 ((N(CH3)4)ClO4), 테트라메틸암모늄 퍼아이오데이트 ((N(CH3)4)IO4), 테트라메틸암모늄 퍼술페이트 ((N(CH3)4)S2O8)), 테트라부틸암모늄 다원자 염 (예를 들어, 테트라부틸암모늄 퍼옥소모노술페이트), 퍼옥소모노황산, 질산제이철 (Fe(NO3)3), 우레아 히드로젠 퍼옥시드 ((CO(NH2)2)H2O2), 과아세트산 (CH3(CO)OOH), 1,4-벤조퀴논, 톨루퀴논, 디메틸-1,4-벤조퀴논, 클로라닐, 알록산, 및 그것의 조합을 포함하지만 이로 제한되지 않는다. 바람직하게는, 적어도 하나의 산화제는 과산화수소를 포함한다.
농축물과 산화제를 합침으로써, 예를 들어, 적어도 하나의 산화제를 농축물에 첨가함으로써, 세정 조성물을 제조할 수 있다. 예를 들어, 10부의 농축물을 약 0.1부 내지 약 1부의 산화제와 혼합함으로써 세정 조성물을 제조할 수 있다. 특히 바람직한 실시양태에서, 적어도 하나의 산화제의 양은 세정 조성물의 총중량을 기준으로 약 10 wt% 미만, 더욱 더 바람직하게는 약 8 wt% 미만이다. 한 실시양태에서, 세정 조성물은 과산화수소 및 농축물을 포함할 수 있다. 예를 들어, 10부의 농축물을 약 0.1부의 30% H2O2 내지 약 3부의 30% H2O2와 혼합함으로써 세정 조성물을 제조할 수 있다.
농축물과 적어도 하나의 산화제와 합친 후의, 세정 조성물의 pH는, 약 6 내지 약 10의 범위, 바람직하게는 약 6 내지 약 9.5의 범위, 더욱 더 바람직하게는 약 6 내지 약 9의 범위이다. 또 다른 실시양태에서, 농축물과 적어도 하나의 산화제를 합친 후의, 세정 조성물의 pH는 바람직하게는 7 초과 내지 약 9.5, 바람직하게는 7 초과 내지 약 9의 범위이다.
첫 번째 측면의 세정 조성물은 바람직하게는 금속 할라이드, 아미독심 화합물, 유기 용매, 및 카르복실레이트 중 적어도 하나를 실질적으로 갖지 않는다. 본원에 정의된 바와 같은 "금속 할라이드"는 화학식 WzMXy를 갖고, 여기서 M은 Si, Ge, Sn, Pt, P, B, Au, Ir, Os, Cr, Ti, Zr, Rh, Ru, 및 Sb로 이루어진 군으로부터 선택되는 금속이고; X는 F, Cl, Br 및 I로부터 선택되는 할라이드이고; W는 H, 알칼리 또는 알칼리 토금속, 및 금속 이온을 갖지 않는 히드록시드 염기 모이어티로부터 선택되고; y는 금속 할라이드에 따라 4 내지 6의 수이고; z는 1, 2 또는 3의 수이다. 본원에 정의된 바와 같은 "카르복실레이트"는 시트르산삼칼륨 일수화물, 타르타르산나트륨칼륨 사수화물, 포타슘 L-락테이트, 및 옥살산암모늄, 락트산암모늄, 타르트르산암모늄, 시트르산삼암모늄, 아세트산암모늄, 카르밤산암모늄, 탄산암모늄, 벤조산암모늄, 암모늄 에틸렌디아민테트라아세트산, 디암모늄 에틸렌디아민테트라아세트산, 트리암모늄 에틸렌디아민테트라아세트산, 테트라암모늄 에틸렌디아민테트라아세트산, 숙신산암모늄, 포름산암모늄, 및 암모늄 1-H-피라졸-3-카르복실레이트로 이루어진 군으로부터 선택되는 암모늄 카르복실레이트를 포함한다. 본 개시내용의 취지상, "유기 용매"는 디메틸 술폭시드, 에틸렌 글리콜, 에틸렌 글리콜 알킬 에테르, 디에틸렌 글리콜 알킬 에테르, 트리에틸렌 글리콜 알킬 에테르, 프로필렌 글리콜, 프로필렌 글리콜 알킬 에테르, N-치환된 피롤리돈, 에틸렌 디아민, 및 에틸렌 트리아민을 포함한다.
바람직한 실시양태에서, 세정 조성물은 약 10 Å/min 초과, 바람직하게는 약 20 Å/min 초과, 가장 바람직하게는 약 20 내지 약 30 Å/min의 범위의 산화알루미늄 에칭 속도를 보장하도록 배합된다. 유리하게는, 본원에 기술된 세정 조성물은, 마이크로전자 소자 상에 존재하는 코발트-함유 층, 구리, 또는 초저-k 유전 층을 포함하는 저-k 유전 층을 실질적으로 손상시키지 않고서, 알루미늄-함유 물질 및/또는 에칭-후 잔류물을 갖는 마이크로전자 소자로부터 상기 물질(들)을 제거하기에 적합하다.
본원에 기술되는 세정 조성물은 농축물의 각각의 재료 및 적어도 하나의 산화제의 단순 첨가 및 균질한 상태로의 혼합에 의해 용이하게 배합된다. 대안으로서, 본원에 기술되는 세정 조성물은 농축물의 각각의 재료 및 적어도 하나의 산화제 및 부가적인 물의 단순 첨가 및 균질한 상태로의 혼합에 의해 용이하게 배합된다. 더욱이, 조성물은 사용 시점에서 혼합되는 다성분 제제로서 용이하게 배합될 수 있다. 다성분 제제의 개별 성분은 설비에서 또는 설비의 상류에 있는 저장 탱크에서 혼합될 수 있다. 각각의 재료의 농도는 조성물의 특정 배수로 매우 다양할 수 있고, 즉 더 묽거나 더 진할 수 있고, 본원에 기술되는 조성물은 다양하게 및 대안으로서 본원 개시내용에 부합되는 재료의 임의의 조합을 포함하거나 그것으로 이루어지거나 본질적으로 그것으로 이루어질 수 있다는 것을 알 것이다.
따라서, 두 번째 측면은 본원에 기술된 조성물을 형성하도록 적응된 하나 이상의 성분을 하나 이상의 용기 내에 포함하는 키트에 관한 것이다. 키트는 반도체 공장에서 또는 사용 시점에서 적어도 하나의 산화제와 합쳐지기 위한, 적어도 하나의 금속 부식 억제제, 적어도 하나의 에칭제 공급원, 적어도 하나의 실리카 공급원, 적어도 하나의 킬레이트제, 및 적어도 하나의 용매를 포함하거나 그것으로 이루어지거나 그것으로 본질적으로 이루어진 농축물을 하나 이상의 용기 내에 포함할 수 있다. 대안으로서, 키트는 반도체 공장에서 또는 사용 시점에서 적어도 하나의 산화제 및 부가적인 물과 합쳐지기 위한, 적어도 하나의 금속 부식 억제제, 적어도 하나의 에칭제 공급원, 적어도 하나의 실리카 공급원, 적어도 하나의 킬레이트제, 및 적어도 하나의 용매를 포함하거나 그것으로 이루어지거나 그것으로 본질적으로 이루어진 농축물을 하나 이상의 용기 내에 포함할 수 있다. 키트의 용기는 상기 세정 조성물을 저장하고 선적하기에 적합해야 하고, 예를 들어 나우팩(NOWPak)® 용기 (미국 매사추세츠주 빌러리카 소재의 엔테그리스, 인크.)일 수 있다.
세정 조성물의 성분을 보유하는 하나 이상의 용기는 바람직하게는 상기 하나 이상의 용기 내의 성분을 블렌딩 및 분배를 위해 유체 연통시키기 위한 수단을 포함한다. 예를 들어, 나우팩® 용기의 경우에, 기체 압력을 상기 하나 이상의 용기 내의 라이너의 외부에 가하여 라이너의 내용물의 적어도 일부분이 배출되어 블렌딩 및 분배를 위해 유체 연통될 수 있게 한다. 대안으로서, 기체 압력을 종래의 가압 가능한 용기의 상부 공간에 가할 수 있거나 또는 펌프를 사용하여 유체 연통을 가능하게 할 수 있다. 또한, 시스템은 바람직하게는 블렌딩된 세정 조성물을 공정 설비로 분배하기 위해 분배 포트를 포함한다.
세 번째 측면에서, 첫 번째 측면의 세정 조성물이 에칭-후 잔류물 및 알루미늄-함유 물질, 예를 들어, 산화알루미늄을 갖는 마이크로전자 소자로부터 상기 물질을 제거하는 데 사용된다. 세정 조성물은 약 20℃ 내지 약 90℃, 바람직하게는 약 30℃ 내지 약 70℃, 가장 바람직하게는 약 35℃ 내지 약 65℃의 범위의 온도에서, 전형적으로 약 1분 내지 약 30분, 바람직하게는 약 1분 내지 10분의 시간 동안 소자와 정적으로 또는 동적으로 접촉한다. 이러한 접촉 시간 및 온도는 예시적이며, 에칭-후 잔류물 및 알루미늄-함유 물질을 소자로부터 적어도 부분적으로 제거하는 데 효과가 있는 임의의 다른 적합한 시간 및 온도 조건이 사용될 수 있다. 마이크로전자 소자로부터의 잔류물 및 알루미늄-함유 물질의 "적어도 부분적 제거"는 물질의 적어도 90%의 제거, 바람직하게는 적어도 95% 제거에 상응한다. 가장 바람직하게는, 본원에 기술된 세정 조성물을 사용하여 상기 물질의 적어도 99%가 제거된다.
에칭-후 잔류물 및 알루미늄-함유 물질 제거 용도에 있어서, 예를 들어 조성물을 세정할 소자의 표면에 분무함으로써, 세정할 소자를 정적 또는 동적 부피의 조성물에 침지함으로써, 세정할 소자를 조성물이 흡수되어 있는 또 다른 물질, 예를 들어, 패드 또는 섬유상 흡수성 도포기 요소와 접촉시킴으로써, 또는 조성물을 세정할 소자와 제거 접촉시키는 임의의 다른 적합한 수단, 방식 또는 기술을 통해, 세정 조성물을 세정할 소자에 임의의 적합한 방식으로 도포할 수 있다. 추가로, 일괄식 또는 단일 웨이퍼 공정이 본원에서 고려된다.
요망되는 잔류물 및 알루미늄-함유 물질의 제거를 달성한 후에, 세정 조성물을, 본원에 기술된 조성물의 주어진 최종 사용 용도에서 요망되고 효과적일 수 있는 바와 같이, 그것이 원래 도포되어 있는 소자로부터 용이하게 제거할 수 있다. 바람직하게는, 탈이온수를 포함하는 헹굼액을 사용할 수 있다. 그 후에, 질소 또는 회전-건조 사이클을 사용하여 소자를 건조시킬 수 있다.
또 다른 측면은 본원에 기술된 방법에 따라 제조된 개선된 마이크로전자 소자 및 이러한 마이크로전자 소자를 보유하는 제품에 관한 것이다.
또 다른 추가의 측면은 에칭-후 잔류물 및 알루미늄-함유 물질을 갖는 마이크로전자 소자로부터 상기 잔류물 및 물질을 세정해 내기에 충분한 시간 동안 마이크로전자 소자를 세정 조성물과 접촉시키고, 상기 마이크로전자 소자를 상기 물품에 포함시키는 것을 포함하는, 본원에 기술된 세정 조성물을 사용하여 마이크로전자 소자를 포함하는 물품을 제조하는 방법에 관한 것이다.
또 다른 측면은 세정 조성물, 마이크로전자 소자 웨이퍼, 및 에칭-후 잔류물, 알루미늄-함유 물질, 및 그것의 조합으로 이루어진 군으로부터 선택된 물질을 포함하는 제조 물품에 관한 것이며, 여기서 세정 조성물은 (a) 적어도 하나의 금속 부식 억제제, 적어도 하나의 에칭제 공급원, 적어도 하나의 실리카 공급원, 적어도 하나의 킬레이트제, 및 적어도 하나의 용매를 포함하거나 그것으로 이루어지거나 그것으로 본질적으로 이루어진 농축물, 및 (b) 적어도 하나의 산화제를 포함한다.
본 발명은 하나 이상의 구현예에 대해 설명되고 기술되었지만, 관련 기술분야의 통상의 기술자라면 본 명세서 및 첨부된 도면을 읽고 이해한 것을 바탕으로 동등한 변경 및 개질을 수행할 수 있을 것이다. 본 발명은 이러한 모든 개질 및 변경을 포함하며, 본 발명은 하기 청구범위에 의해서만 제한된다. 또한, 본 발명의 특정한 특징 또는 측면이 여러 구현예 중 단 하나에 대해서만 개시되었을 수 있지만, 이러한 특징 또는 측면은 임의의 주어진 또는 특정한 용도에 대해 요망되고 유리할 수 있는 바와 같이 다른 구현예의 하나 이상의 다른 특징 또는 측면과 조합될 수 있다. 더욱이, 용어 "포함한다", "갖는", "갖는다", "소유하는" 또는 그것의 변이형이 상세한 설명 또는 청구범위에서 사용될 때, 이러한 용어는 용어 "포함하는"과 유사한 방식으로 포함적이도록 의도된다. 또한, 용어 "예시적"은 최선이 아닌 단지 예를 의미한다. 또한 본원에 도시된 특징부, 층 및/또는 요소는, 단순성 및 이해의 용이성을 위해, 특정한 치수 및/또는 서로에 대한 방향을 갖도록 도시되며, 실제 치수 및/또는 방향은 본원에 도시된 것과 실질적으로 상이할 수 있다는 것을 알아야 한다.

Claims (20)

  1. (a) 적어도 하나의 금속 부식 억제제, 적어도 하나의 에칭제 공급원, 적어도 하나의 실리카 공급원, 적어도 하나의 킬레이트제, 및 적어도 하나의 용매를 포함하는 농축물, 및 (b) 적어도 하나의 산화제를 포함하며, 에칭-후 잔류물 및 알루미늄-함유 물질을 갖는 마이크로전자 소자의 표면으로부터 상기 물질을 제거하기에 적합한 세정 조성물.
  2. 제1항에 있어서, 에칭-후 잔류물이 티타늄-함유 잔류물, 중합체성 잔류물, 구리-함유 잔류물, 코발트-함유 잔류물, 규소-함유 잔류물, 및 그것의 조합으로 이루어진 군으로부터 선택되는 적어도 하나의 화학종을 포함하는 것인 세정 조성물.
  3. 제1항에 있어서, 적어도 하나의 에칭제 공급원이 수산화암모늄 또는 테트라알킬암모늄 히드록시드를 포함하는 것인 세정 조성물.
  4. 제3항에 있어서, 적어도 하나의 에칭제 공급원이 콜린 히드록시드를 포함하는 것인 세정 조성물.
  5. 제1항에 있어서, 적어도 하나의 금속 부식 억제제가 1,2,4-트리아졸 (TAZ), 5-메틸-벤조트리아졸 (mBTA), 톨릴트리아졸, 또는 그것의 조합을 포함하는 것인 세정 조성물.
  6. 제1항에 있어서, 적어도 하나의 킬레이트제가 에틸렌디아민테트라아세트산 (EDTA), 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA), 1-히드록시에틸리덴-1,1-디포스폰산 (HEDP), 옥살산, 또는 4-메틸모르폴린-N-옥시드 (NMMO)인 세정 조성물.
  7. 제6항에 있어서, 적어도 하나의 킬레이트제가 1,2-시클로헥산디아민-N,N,N',N'-테트라아세트산 (CDTA), 1-히드록시에틸리덴-1,1-디포스폰산 (HEDP), 또는 4-메틸모르폴린-N-옥시드 (NMMO)인 세정 조성물.
  8. 제1항에 있어서, 적어도 하나의 실리카 공급원이 플루오로규산 (H2SiF6)을 포함하는 것인 세정 조성물.
  9. 제8항에 있어서, 플루오로규산이 적어도 하나의 플루오라이드 공급원 및 적어도 하나의 규소-함유 화합물을 합침으로써 계내에서 생성된 것인 세정 조성물.
  10. 제1항에 있어서, 적어도 하나의 산화제가 과산화수소를 포함하는 것인 세정 조성물.
  11. 제1항에 있어서, 세정 조성물이 10부의 농축물 및 약 0.1부 내지 약 1부의 산화제를 포함하는 것인 세정 조성물.
  12. 제1항에 있어서, 적어도 하나의 산화제의 양이 세정 조성물의 총중량을 기준으로 약 10 wt% 미만인 세정 조성물.
  13. 제1항에 있어서, 적어도 하나의 용매가 물을 포함하는 것인 세정 조성물.
  14. 제1항에 있어서, 마이크로전자 소자가 코발트-함유 층, 저-k 유전 층, 및 구리를 포함하는 것인 세정 조성물.
  15. (a) 적어도 하나의 금속 부식 억제제, 적어도 하나의 에칭제 공급원, 적어도 하나의 실리카 공급원, 적어도 하나의 킬레이트제, 및 적어도 하나의 용매를 포함하는 농축물, 및 (b) 적어도 하나의 산화제를 포함하는 세정 조성물을 마이크로전자 소자의 표면과 접촉시키고,
    코발트-함유 층, 저-k 유전 층, 및 구리를 포함하는 마이크로전자 소자로부터 에칭-후 잔류물 및 알루미늄-함유 물질을 적어도 부분적으로 제거하는 것
    을 포함하는, 물질을 갖는 마이크로전자 소자로부터 상기 물질을 제거하는 방법.
  16. 제15항에 있어서, 세정 조성물이 코발트-함유 층, 저-k 유전 층, 및 구리를 실질적으로 손상시키지 않는 것인 방법.
  17. 마이크로전자 소자로부터 에칭-후 잔류물 및 알루미늄-함유 물질을 적어도 부분적으로 제거하기 위한 성분을 갖는 하나 이상의 용기를 포함하는 키트이며, 여기서 키트의 제1 용기는 적어도 하나의 금속 부식 억제제, 적어도 하나의 에칭제 공급원, 적어도 하나의 실리카 공급원, 적어도 하나의 킬레이트제, 및 적어도 하나의 용매를 포함하는 농축물을 보유하는 것인 키트.
  18. 제17항에 있어서, 적어도 하나의 산화제를 보유하는 제2 용기를 추가로 포함하고, 여기서 제1 용기 및 제2 용기는 농축물 및 산화제를 블렌딩 및 분배를 위해 유체 연통시키도록 설계된 것인 키트.
  19. 제18항에 있어서, 제1 용기가 농축물을 보유하는 제1 라이너를 포함하고 제2 용기가 산화제를 보유하는 제2 라이너를 포함하고, 여기서 제1 라이너 및 제2 라이너는 압력을 가함으로써 농축물 및 산화제를 유체 연통시키도록 설계된 것인 키트.
  20. 제19항에 있어서, 제1 용기 또는 제2 용기가 압력을 가함으로써 농축물 및 산화제를 유체 연통시키도록 설계된 가압 가능한 용기인 키트.
KR1020197020533A 2017-01-17 2018-01-17 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거 KR20190097160A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217015180A KR102372109B1 (ko) 2017-01-17 2018-01-17 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762447247P 2017-01-17 2017-01-17
US62/447,247 2017-01-17
PCT/US2018/013970 WO2018136466A1 (en) 2017-01-17 2018-01-17 Post-etch residue removal for advanced node beol processing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217015180A Division KR102372109B1 (ko) 2017-01-17 2018-01-17 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거

Publications (1)

Publication Number Publication Date
KR20190097160A true KR20190097160A (ko) 2019-08-20

Family

ID=61258588

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217015180A KR102372109B1 (ko) 2017-01-17 2018-01-17 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거
KR1020197020533A KR20190097160A (ko) 2017-01-17 2018-01-17 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217015180A KR102372109B1 (ko) 2017-01-17 2018-01-17 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거

Country Status (6)

Country Link
US (1) US10790187B2 (ko)
JP (1) JP6893562B2 (ko)
KR (2) KR102372109B1 (ko)
CN (1) CN110177903A (ko)
TW (1) TWI735732B (ko)
WO (1) WO2018136466A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6203525B2 (ja) * 2013-04-19 2017-09-27 関東化學株式会社 洗浄液組成物
US20210189298A1 (en) * 2018-04-04 2021-06-24 Basf Se IMIDAZOLIDINETHIONE-CONTAINING COMPOSITIONS FOR POST-ASH RESIDUE REMOVAL AND/OR FOR OXIDATIVE ETCHING OF A LAYER OR MASK COMPRISING TiN
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles
CN110911278A (zh) * 2018-09-18 2020-03-24 三星电子株式会社 蚀刻金属阻挡层和金属层的方法和制造半导体器件的方法
TWI730419B (zh) * 2019-09-20 2021-06-11 力晶積成電子製造股份有限公司 鋁層的蝕刻後保護方法
CN113130292A (zh) * 2019-12-31 2021-07-16 安集微电子科技(上海)股份有限公司 一种等离子体刻蚀残留物清洗液
CN113430066B (zh) * 2020-03-23 2024-04-19 上海新阳半导体材料股份有限公司 用于选择性移除硬遮罩的清洗组合物、其制备方法及应用

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
WO2005043245A2 (en) * 2003-10-29 2005-05-12 Mallinckrodt Baker Inc. Alkaline, post plasma etch/ash residue removers and photoresist stripping compositions containing metal-halide corrosion inhibitors
WO2011010872A2 (ko) * 2009-07-22 2011-01-27 동우 화인켐 주식회사 금속 배선 형성을 위한 식각액 조성물
CN102206821B (zh) * 2010-03-31 2013-08-28 比亚迪股份有限公司 一种铝合金蚀刻液及其蚀刻方法
US9546321B2 (en) * 2011-12-28 2017-01-17 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
CN105683336A (zh) * 2013-06-06 2016-06-15 高级技术材料公司 用于选择性蚀刻氮化钛的组合物和方法
CN104233302B (zh) * 2014-09-15 2016-09-14 南通万德科技有限公司 一种蚀刻液及其应用

Also Published As

Publication number Publication date
TW201840826A (zh) 2018-11-16
US10790187B2 (en) 2020-09-29
US20180204764A1 (en) 2018-07-19
JP2020505765A (ja) 2020-02-20
KR20210062099A (ko) 2021-05-28
JP6893562B2 (ja) 2021-06-23
KR102372109B1 (ko) 2022-03-08
CN110177903A (zh) 2019-08-27
WO2018136466A1 (en) 2018-07-26
TWI735732B (zh) 2021-08-11

Similar Documents

Publication Publication Date Title
KR102372109B1 (ko) 첨단 노드 beol 공정을 위한 에칭-후 잔류물 제거
TWI713458B (zh) 用於移除蝕刻後殘留物之具有鎢及鈷相容性之水性及半水性清洗劑
TWI683889B (zh) 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
TWI651396B (zh) 選擇性蝕刻氮化鈦之組成物及方法
KR102352465B1 (ko) 애싱된 스핀-온 유리의 선택적 제거 방법
CN105612599B (zh) 用于选择性移除硬遮罩的移除组合物
TWI655273B (zh) 選擇性蝕刻氮化鈦之組成物及方法
KR101444468B1 (ko) 에칭후 잔류물을 제거하기 위한 산화성 수성 세정제
KR20130088847A (ko) 에칭 후 잔류물을 제거하기 위한 수성 세정제
KR20140132708A (ko) 티타늄 나이트라이드의 선택적인 에칭을 위한 조성물 및 방법
JP2021536669A (ja) セリア粒子向けのcmp後洗浄用組成物
TWI789741B (zh) 蝕刻鉬之方法及組合物

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination