KR20180066940A - 반도체 소자의 제조 방법 - Google Patents

반도체 소자의 제조 방법 Download PDF

Info

Publication number
KR20180066940A
KR20180066940A KR1020160167856A KR20160167856A KR20180066940A KR 20180066940 A KR20180066940 A KR 20180066940A KR 1020160167856 A KR1020160167856 A KR 1020160167856A KR 20160167856 A KR20160167856 A KR 20160167856A KR 20180066940 A KR20180066940 A KR 20180066940A
Authority
KR
South Korea
Prior art keywords
gate electrodes
film
pattern
patterns
forming
Prior art date
Application number
KR1020160167856A
Other languages
English (en)
Other versions
KR102591632B1 (ko
Inventor
배기호
김재석
김호영
윤보언
이경태
김관성
박은지
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020160167856A priority Critical patent/KR102591632B1/ko
Priority to US15/646,300 priority patent/US9997412B1/en
Priority to CN201711281149.1A priority patent/CN108231689B/zh
Publication of KR20180066940A publication Critical patent/KR20180066940A/ko
Application granted granted Critical
Publication of KR102591632B1 publication Critical patent/KR102591632B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 더욱 상세하게는, 기판 상에 서로 인접하는 제1 내지 제4 게이트 전극들을 형성하는 것, 상기 제1 내지 제4 게이트 전극들은 제1 방향으로 연장되고, 상기 제1 내지 제4 게이트 전극들은 제1 방향과 교차하는 제2 방향으로 배열되며; 상기 제1 내지 제4 게이트 전극들 상에 제1 내지 제4 캡핑 패턴들을 각각 형성하는 것; 상기 제1 내지 제4 게이트 전극들 사이의 공간들을 채우는 층간 절연막을 형성하는 것; 상기 층간 절연막 상에 하드 마스크 패턴을 형성하는 것, 상기 하드 마스크 패턴은 상기 제2 내지 제4 캡핑 패턴들을 선택적으로 노출하는 개구부를 갖고; 상기 하드 마스크 패턴을 식각 마스크로 상기 제2 및 제3 게이트 전극들 사이 및 상기 제3 및 제4 게이트 전극들 사이의 상기 층간 절연막을 식각하여, 홀들을 형성하는 것; 상기 홀들을 채우는 배리어막 및 도전막을 순차적으로 형성하는 것; 상기 하드 마스크 패턴이 노출될 때까지 제1 평탄화 공정을 수행하는 것; 상기 제2 내지 제4 캡핑 패턴들을 덮는 상기 배리어막의 일부가 노출될 때까지 제2 평탄화 공정을 수행하는 것; 및 상기 제1 내지 제4 캡핑 패턴들이 완전히 노출될 때까지 제3 평탄화 공정을 수행하는 것을 포함한다.

Description

반도체 소자의 제조 방법{Method for manufacturing semiconductor device}
본 발명은 반도체 소자의 제조 방법에 관한 것으로, 더욱 상세하게는 자기 정렬 콘택들을 포함하는 반도체 소자의 제조 방법에 관한 것이다.
소형화, 다기능화 및/또는 낮은 제조 단가 등의 특성들로 인하여 반도체 소자는 전자 산업에서 중요한 요소로 각광 받고 있다. 반도체 소자들은 논리 데이터를 저장하는 반도체 기억 소자, 논리 데이터를 연산 처리하는 반도체 논리 소자, 및 기억 요소와 논리 요소를 포함하는 하이브리드(hybrid) 반도체 소자 등으로 구분될 수 있다. 전자 산업이 고도로 발전함에 따라, 반도체 소자의 특성들에 대한 요구가 점점 증가되고 있다. 예컨대, 반도체 소자에 대한 고 신뢰성, 고속화 및/또는 다기능화 등에 대하여 요구가 점점 증가되고 있다. 이러한 요구 특성들을 충족시키기 위하여 반도체 소자 내 구조들은 점점 복잡해지고 있으며, 또한, 반도체 소자는 점점 고집적화 되고 있다.
본 발명이 해결하고자 하는 과제는, 전기적 특성이 향상된 반도체 소자의 제조 방법을 제공하는데 있다.
본 발명의 개념에 따른, 반도체 소자의 제조 방법은, 기판 상에 서로 인접하는 제1 내지 제4 게이트 전극들을 형성하는 것, 상기 제1 내지 제4 게이트 전극들은 제1 방향으로 연장되고, 상기 제1 내지 제4 게이트 전극들은 제1 방향과 교차하는 제2 방향으로 배열되며; 상기 제1 내지 제4 게이트 전극들 상에 제1 내지 제4 캡핑 패턴들을 각각 형성하는 것; 상기 제1 내지 제4 게이트 전극들 사이의 공간들을 채우는 층간 절연막을 형성하는 것; 상기 층간 절연막 상에 하드 마스크 패턴을 형성하는 것, 상기 하드 마스크 패턴은 상기 제2 내지 제4 캡핑 패턴들을 선택적으로 노출하는 개구부를 갖고; 상기 하드 마스크 패턴을 식각 마스크로 상기 제2 및 제3 게이트 전극들 사이 및 상기 제3 및 제4 게이트 전극들 사이의 상기 층간 절연막을 식각하여, 홀들을 형성하는 것; 상기 홀들을 채우는 배리어막 및 도전막을 순차적으로 형성하는 것; 상기 하드 마스크 패턴이 노출될 때까지 제1 평탄화 공정을 수행하는 것; 상기 제2 내지 제4 캡핑 패턴들을 덮는 상기 배리어막의 일부가 노출될 때까지 제2 평탄화 공정을 수행하는 것; 및 상기 제1 내지 제4 캡핑 패턴들이 완전히 노출될 때까지 제3 평탄화 공정을 수행하는 것을 포함할 수 있다.
본 발명의 다른 개념에 따른, 반도체 소자의 제조 방법은, 기판 상에 게이트 구조체들을 형성하는 것; 상기 게이트 구조체들 사이의 공간들을 채우는 층간 절연막을 형성하는 것; 층간 절연막 상에, 상기 게이트 구조체들의 일부를 노출하는 하드 마스크 패턴을 형성하는 것; 상기 하드 마스크 패턴을 식각 마스크로 상기 층간 절연막을 식각하는 것; 상기 기판의 전면 상에 배리어막 및 도전막을 순차적으로 형성하는 것; 상기 하드 마스크 패턴이 노출될 때까지 제1 평탄화 공정을 수행하는 것; 상기 게이트 구조체들의 상면들을 덮는 상기 배리어막의 일부가 노출될 때까지 제2 평탄화 공정을 수행하는 것; 및 상기 게이트 구조체들의 캡핑 패턴들이 완전히 노출될 때까지 제3 평탄화 공정을 수행하는 것을 포함할 수 있다. 상기 배리어막 및 상기 도전막이 형성될 때, 상기 게이트 구조체들의 최고 높이는 서로 다를 수 있다.
본 발명에 따른 반도체 소자의 제조 방법은, 불균일한 높이를 갖는 캡핑 패턴들 상에 형성되는 배리어막 및 도전막을 3 단계로 이루어진 평탄화 공정(제1 내지 제3 평탄화 공정들)을 통해 식각할 수 있다. 제1 내지 제3 평탄화 공정들은 서로 다른 정지막을 기준으로 서로 다른 슬러리 조성물을 이용해 수행될 수 있다. 이로써, 활성 콘택들간의 쇼트 없이 서로 완전히 분리된 활성 콘택들이 자기 정렬적으로 형성될 수 있다.
도 1은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 2a 내지 도 2c는 각각 도 1의 A-A'선, B-B'선 및 C-C'선에 따른 단면도들이다.
도 3, 도 5, 도 7, 도 9, 도 11, 도 13, 도 15, 도 17, 도 19 및 도 21은 본 발명의 실시예들에 따른 반도체 소자의 제조방법을 설명하기 위한 평면도들이다.
도 4a, 도 6a, 도 8a, 도 10a, 도 12a, 도 14a, 도 16a, 도 18a, 도 20a 및 도 22a는 각각 도 3, 도 5, 도 7, 도 9, 도 11, 도 13, 도 15, 도 17, 도 19 및 도 21의 A-A'선에 따른 단면도들이다.
도 4b, 도 6b, 도 8b, 도 10b, 도 12b, 도 14b, 도 16b, 도 18b, 도 20b 및 도 22b는 각각 도 3, 도 5, 도 7, 도 9, 도 11, 도 13, 도 15, 도 17, 도 19 및 도 21의 B-B'선에 따른 단면도들이다.
도 6c, 도 8c, 도 10c, 도 12c, 도 14c, 도 16c, 도 18c, 도 20c 및 도 22c는 각각 도 5, 도 7, 도 9, 도 11, 도 13, 도 15, 도 17, 도 19 및 도 21의 C-C'선에 따른 단면도들이다.
도 1은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 2a 내지 도 2c는 각각 도 1의 A-A'선, B-B'선 및 C-C'선에 따른 단면도들이다.
도 1 및 도 2a 내지 도 2c를 참조하면, 기판(100)의 상부에 PMOSFET 영역(PR) 및 NMOSFET 영역(NR)을 정의하는 제2 소자 분리막(ST2)이 제공될 수 있다. PMOSFET 영역(PR) 및 NMOSFET 영역(NR)은 제2 소자 분리막(ST2)을 사이에 두고 기판(100)의 상면에 평행한 제1 방향(D1)으로 서로 이격될 수 있다. PMOSFET 영역(PR) 및 NMOSFET 영역(NR)은 제1 방향(D1)과 교차하는 제2 방향(D2)으로 연장될 수 있다. 기판(100)은 실리콘, 게르마늄, 실리콘-게르마늄 등을 포함하는 반도체 기판이거나 화합물 반도체 기판일 수 있다.
PMOSFET 영역(PR) 및 NMOSFET 영역(NR)은 데이터를 저장하기 위한 메모리 셀 영역을 구성할 수 있다. 일 예로, 기판(100)의 메모리 셀 영역 상에, 복수의 에스램(SRAM) 셀들을 구성하는 메모리 셀 트랜지스터들이 배치될 수 있다. PMOSFET 영역(PR) 및 NMOSFET 영역(NR)은 상기 메모리 셀 트랜지스터들 중 일부를 포함할 수 있다.
반면, PMOSFET 영역(PR) 및 NMOSFET 영역(NR)은 반도체 소자의 로직 회로를 구성하는 로직 트랜지스터들이 배치되는 로직 셀 영역일 수 있다. 일 예로, 기판(100)의 로직 셀 영역 상에 프로세서 코어 또는 I/O 단자를 구성하는 로직 트랜지스터들이 배치될 수 있다. PMOSFET 영역(PR) 및 NMOSFET 영역(NR)은 상기 로직 트랜지스터들 중 일부를 포함할 수 있다. 그러나, 본 발명의 실시예들이 이에 제한되는 것은 아니다.
PMOSFET 영역(PR) 상에 제2 방향(D2)으로 연장되는 복수의 제1 활성 패턴들(FN1)이 제공될 수 있다. NMOSFET 영역(NR) 상에 제2 방향(D2)으로 연장되는 복수의 제2 활성 패턴들(FN2)이 제공될 수 있다. 제1 및 제2 활성 패턴들(FN1, FN2)은 기판(100)의 일부로써, 기판(100)의 상면으로부터 돌출된 부분들일 수 있다. 제1 및 제2 활성 패턴들(FN1, FN2)은 제1 방향(D1)을 따라 배열될 수 있다. 제1 및 제2 활성 패턴들(FN1, FN2) 각각의 양 측에 제2 방향(D2)으로 연장되는 제1 소자 분리막들(ST1)이 배치될 수 있다.
제1 및 제2 활성 패턴들(FN1, FN2)의 상부들은 제1 소자 분리막들(ST1)에 비해 수직하게 돌출될 수 있다. 제1 및 제2 활성 패턴들(FN1, FN2)의 상부들 각각은 한 쌍의 제1 소자 분리막들(ST1) 사이에서 돌출된 핀(fin) 형태를 가질 수 있다.
제2 소자 분리막(ST2)과 제1 소자 분리막들(ST1)은 실질적으로 연결된 하나의 절연막일 수 있다. 제2 소자 분리막(ST2)의 두께는 제1 소자 분리막들(ST1)의 두께보다 두꺼울 수 있다. 이 경우, 제1 소자 분리막들(ST1)은 제2 소자 분리막(ST2)과 별도의 공정에 의하여 형성될 수 있다. 일 예로, 제1 및 제2 소자 분리막들(ST1, ST2)은 실리콘 산화막을 포함할 수 있다.
제1 및 제2 활성 패턴들(FN1, FN2) 각각의 상부에 채널 영역들(AF) 및 소스/드레인 영역들(SD)이 제공될 수 있다. 제1 활성 패턴들(FN1)의 소스/드레인 영역들(SD)은 p형의 불순물 영역들일 수 있다. 제2 활성 패턴들(FN2)의 소스/드레인 영역들(SD)은 n형의 불순물 영역들일 수 있다. 각각의 채널 영역들(AF)은 한 쌍의 소스/드레인 영역들(SD) 사이에 개재될 수 있다.
소스/드레인 영역들(SD)은 선택적 에피택시얼 성장 공정으로 형성된 에피택시얼 패턴들일 수 있다. 소스/드레인 영역들(SD)의 상면들은 채널 영역들(AF)의 상면들보다 더 높은 레벨에 위치할 수 있다. 소스/드레인 영역들(SD)은 기판(100)과 다른 반도체 원소를 포함할 수 있다. 일 예로, 제1 활성 패턴들(FN1)의 소스/드레인 영역들(SD)은 기판(100)의 반도체 원소의 격자 상수보다 큰 격자 상수를 갖는 반도체 원소를 포함할 수 있다. 이로써, 제1 활성 패턴(FN1) 내의 소스/드레인 영역들(SD)은 채널 영역들(AF)에 압축 응력(compressive stress)을 제공할 수 있다. 일 예로, 제2 활성 패턴들(FN2)의 소스/드레인 영역들(SD)은 기판(100)의 반도체 원소의 격자 상수와 같거나 작은 격자 상수를 갖는 반도체 원소를 포함할 수 있다. 이로써, 제2 활성 패턴(FN2) 내의 소스/드레인 영역들(SD)은 채널 영역들(AF)에 인장 응력(tensile stress)을 제공할 수 있다.
제1 및 제2 활성 패턴들(FN1, FN2)을 가로지르며 제1 방향(D1)으로 연장되는 게이트 전극들(GE)이 제공될 수 있다. 게이트 전극들(GE)은 제2 방향(D2)으로 서로 이격될 수 있다. 게이트 전극들(GE)은 채널 영역들(AF)과 수직적으로 중첩될 수 있다. 각각의 게이트 전극들(GE)은, 채널 영역들(AF) 각각의 상면 및 양 측벽들을 둘러쌀 수 있다 (도 2b 참조). 일 예로, 게이트 전극들(GE)은 도전성 금속 질화물(예를 들면, 티타늄 질화물 또는 탄탈늄 질화물) 및 금속 물질(예를 들면, 티타늄, 탄탈늄, 텅스텐, 구리 또는 알루미늄) 중 적어도 하나를 포함할 수 있다.
게이트 전극들(GE) 각각의 양 측벽들 상에 한 쌍의 게이트 스페이서들(GS)이 배치될 수 있다. 게이트 스페이서들(GS)은 게이트 전극들(GE)을 따라 제1 방향(D1)으로 연장될 수 있다. 게이트 스페이서들(GS)의 상면들은 게이트 전극들(GE)의 상면들보다 높을 수 있다. 게이트 스페이서들(GS)의 상면들은 후술할 캡핑 패턴(CP)의 상면 및 제1 층간 절연막(110)의 상면과 공면을 이룰 수 있다. 게이트 스페이서들(GS)은 SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다. 다른 예로, 게이트 스페이서들(GS)은 SiCN, SiCON 및 SiN 중 적어도 두 개로 이루어진 다중 막(multi-layer)을 포함할 수 있다.
게이트 전극들(GE)과 제1 및 제2 활성 패턴들(FN1, FN2) 사이에 게이트 유전 패턴들(GI)이 개재될 수 있다. 각각의 게이트 유전 패턴들(GI)은 각각의 게이트 전극들(GE)의 바닥면을 따라 연장될 수 있다. 각각의 게이트 유전 패턴들(GI)은 채널 영역들(AF) 각각의 상면 및 양 측벽들을 덮을 수 있다. 게이트 유전 패턴들(GI)은 고유전율 물질을 포함할 수 있다. 일 예로, 상기 고유전율 물질은 하프늄 산화물, 하프늄 실리콘 산화물, 란탄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 리튬 산화물, 알루미늄 산화물, 납 스칸듐 탄탈 산화물, 및 납 아연 니오브산염 중 적어도 하나를 포함할 수 있다.
각각의 게이트 전극들(GE) 상에 캡핑 패턴(CP)이 제공될 수 있다. 캡핑 패턴들(CP)은 게이트 전극들(GE)을 따라 제1 방향(D1)으로 연장될 수 있다. 캡핑 패턴들(CP)은 후술하는 제1 내지 제3 층간 절연막들(110, 120, 130)에 대하여 식각 선택성이 있는 물질을 포함할 수 있다. 구체적으로, 캡핑 패턴들(CP)은 SiON, SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다. 게이트 전극(GE), 게이트 유전 패턴(GI), 한 쌍의 게이트 스페이서들(GS) 및 캡핑 패턴(CP)은 하나의 게이트 구조체를 구성할 수 있다.
기판(100) 상에 제1 층간 절연막(110)이 제공될 수 있다. 제1 층간 절연막(110)은 게이트 스페이서들(GS) 및 소스/드레인 영역들(SD)을 덮을 수 있다. 제1 층간 절연막(110)의 상면은 캡핑 패턴들(CP)의 상면들 및 게이트 스페이서들(GS)의 상면들과 실질적으로 공면을 이룰 수 있다.
한 쌍의 게이트 전극들(GE) 사이에, 제1 층간 절연막(110)을 관통하여 소스/드레인 영역들(SD)과 전기적으로 연결되는 활성 콘택(AC)이 배치될 수 있다. 인접하는 활성 콘택들(AC)은 게이트 전극(GE)을 사이에 두고 제2 방향(D2)으로 서로 이격될 수 있다. 일 예로, 각각의 활성 콘택들(AC)은 복수개의 소스/드레인 영역들(SD)과 연결될 수 있다. 다른 예로, 도시되지 않았지만, 적어도 하나의 활성 콘택(AC)은 하나의 소스/드레인 영역(SD)과 연결될 수 있으며, 특별히 제한되는 것은 아니다.
각각의 활성 콘택들(AC)은, 이의 양 측의 게이트 스페이서들(GS)과 직접 접할 수 있다. 각각의 활성 콘택들(AC)의 제2 방향(D2)으로의 폭은, 소스/드레인 영역(SD)을 사이에 두고 서로 인접하는 게이트 스페이서들(GS)간의 거리와 실질적으로 동일할 수 있다. 활성 콘택들(AC)의 상면들은 제1 층간 절연막(110)의 상면과 공면을 이룰 수 있다.
각각의 활성 콘택들(AC)은 도전 패턴(COP), 및 도전 패턴(COP)을 감싸는 배리어 패턴(BP)을 포함할 수 있다. 배리어 패턴(BP)은 도전 패턴(COP)의 측벽들 및 바닥면을 덮을 수 있다. 배리어 패턴(BP)은 도전 패턴(COP)의 상면을 덮지 못할 수 있다. 도전 패턴(COP)은 금속 물질, 예를 들어 코발트, 텅스텐 또는 구리를 포함할 수 있다. 배리어 패턴(BP)은 금속 질화물, 예를 들어 Ti/TiN을 포함할 수 있다.
본 발명의 실시예들에 있어서, 활성 콘택들(AC)은 자기 정렬적으로 형성된 자기 정렬 콘택들(Self-Aligned Contacts)일 수 있다. 활성 콘택(AC)은, 캡핑 패턴(CP) 및 게이트 스페이서들(GS)에 의해 자기 정렬적으로 게이트 스페이서들(GS) 사이의 공간에만 선택적으로 형성될 수 있다.
도시되진 않았지만, 소스/드레인 영역들(SD)과 활성 콘택들(AC) 사이에 실리사이드층들이 개재될 수 있다. 즉, 활성 콘택들(AC)은 상기 실리사이드층들을 통해 소스/드레인 영역들(SD)과 전기적으로 연결될 수 있다. 상기 실리사이드층들은 금속-실리사이드(Metal-Silicide)를 포함할 수 있으며, 일 예로 티타늄-실리사이드, 탄탈륨-실리사이드, 및 텅스텐-실리사이드 중 적어도 하나를 포함할 수 있다.
제1 층간 절연막(110) 상에, 캡핑 패턴들(CP) 및 활성 콘택들(AC)을 덮는 제2 층간 절연막(120)이 제공될 수 있다. 제2 층간 절연막(120) 내에 제1 콘택들(CT1) 및 제2 콘택(CT2)이 배치될 수 있다. 제1 콘택들(CT1)은 활성 콘택들(AC)과 연결될 수 있고, 제2 콘택(CT2)은 게이트 전극(GE)과 연결될 수 있다. 일 예로, 적어도 하나의 제1 콘택(CT1)은 하나의 활성 콘택(AC)과 연결될 수 있다. 다른 예로, 적어도 하나의 제1 콘택(CT1)은 서로 인접하는 복수개의 활성 콘택들(AC)과 연결될 수 있으며, 특별히 제한되는 것은 아니다. 제2 콘택(CT2)은 제2 층간 절연막(120) 및 캡핑 패턴(CP)을 관통하여, 게이트 전극(GE)과 접촉할 수 있다. 제1 및 제2 콘택들(CT1, CT2)의 상면들은 제2 층간 절연막(120)의 상면과 실질적으로 공면을 이룰 수 있다.
각각의 제1 및 제2 콘택들(CT1, CT2)은 도전 패턴(COP), 및 도전 패턴(COP)을 감싸는 배리어 패턴(BP)을 포함할 수 있다. 제1 및 제2 콘택들(CT1, CT2)의 도전 패턴들(COP) 및 배리어 패턴들(BP)에 관한 구체적인 설명은 앞서 활성 콘택들(AC)의 도전 패턴들(COP) 및 배리어 패턴들(BP)에서 설명한 것과 유사할 수 있다.
제2 층간 절연막(120) 상에, 제1 및 제2 콘택들(CT1, CT2)을 덮는 제3 층간 절연막(130)이 제공될 수 있다. 제3 층간 절연막(130) 내에 제1 및 제2 전원 라인들(PL1, PL2) 및 도전 라인들(ML)이 제공될 수 있다. 제1 및 제2 전원 라인들(PL1, PL2) 및 도전 라인들(ML)의 상면들은 제3 층간 절연막(130)의 상면과 실질적으로 공면을 이룰 수 있다.
제1 전원 라인(PL1)은 제1 콘택(CT1) 상에 배치되어 비아(VI)를 통해 제1 콘택(CT1)과 전기적으로 연결될 수 있다. 제2 전원 라인(PL2)은 제2 콘택(CT2) 상에 배치되어 비아(VI)를 통해 제2 콘택(CT2)과 전기적으로 연결될 수 있다. 각각의 도전 라인들(ML)은 비아(VI)를 통해 제1 콘택(CT1) 또는 제2 콘택(CT2)과 전기적으로 연결될 수 있다. 일 예로, 제1 및 제2 전원 라인들(PL1, PL2) 및 도전 라인들(ML)은 비아들(VI)과 서로 일체로 연결될 수 있다.
각각의 제1 및 제2 전원 라인들(PL1, PL2) 및 도전 라인들(ML)은 도전 패턴(COP), 및 도전 패턴(COP)을 감싸는 배리어 패턴(BP)을 포함할 수 있다. 제1 및 제2 전원 라인들(PL1, PL2) 및 도전 라인들(ML)의 도전 패턴들(COP) 및 배리어 패턴들(BP)에 관한 구체적인 설명은 앞서 활성 콘택들(AC)의 도전 패턴들(COP) 및 배리어 패턴들(BP)에서 설명한 것과 유사할 수 있다.
도 3, 도 5, 도 7, 도 9, 도 11, 도 13, 도 15, 도 17, 도 19 및 도 21은 본 발명의 실시예들에 따른 반도체 소자의 제조방법을 설명하기 위한 평면도들이다. 도 4a, 도 6a, 도 8a, 도 10a, 도 12a, 도 14a, 도 16a, 도 18a, 도 20a 및 도 22a는 각각 도 3, 도 5, 도 7, 도 9, 도 11, 도 13, 도 15, 도 17, 도 19 및 도 21의 A-A'선에 따른 단면도들이다. 도 4b, 도 6b, 도 8b, 도 10b, 도 12b, 도 14b, 도 16b, 도 18b, 도 20b 및 도 22b는 각각 도 3, 도 5, 도 7, 도 9, 도 11, 도 13, 도 15, 도 17, 도 19 및 도 21의 B-B'선에 따른 단면도들이다. 도 6c, 도 8c, 도 10c, 도 12c, 도 14c, 도 16c, 도 18c, 도 20c 및 도 22c는 각각 도 5, 도 7, 도 9, 도 11, 도 13, 도 15, 도 17, 도 19 및 도 21의 C-C'선에 따른 단면도들이다.
도 3, 도 4a 및 도 4b를 참조하면, 기판(100)을 패터닝하여 활성 패턴들(FN1, FN2)이 형성될 수 있다. 일 예로, 기판(100)은 실리콘, 게르마늄, 실리콘-게르마늄 등을 포함하는 반도체 기판이거나 화합물 반도체 기판일 수 있다.
기판(100) 상에 활성 패턴들(FN1, FN2) 사이를 채우는 제1 소자 분리막들(ST1)이 형성될 수 있다. 제1 소자 분리막들(ST1)은 활성 패턴들(FN1, FN2)의 상부들을 노출시키도록 리세스될 수 있다. 기판(100) 상에 PMOSFET 영역(PR) 및 NMOSFET 영역(NR)을 정의하는 제2 소자 분리막(ST2)이 형성될 수 있다. 일 예로, 제2 소자 분리막(ST2)을 형성할 때, PMOSFET 영역(PR) 및 NMOSFET 영역(NR)을 제외한 나머지 영역 상의 활성 패턴들이 제거될 수 있다. PMOSFET 영역(PR) 상에 잔류하는 활성 패턴들은 제1 활성 패턴들(FN1)일 수 있고, NMOSFET 영역(NR) 상에 잔류하는 활성 패턴들은 제2 활성 패턴들(FN2)일 수 있다.
제1 및 제2 소자 분리막들(ST1, ST2)은 STI(shallow trench isolation) 공정에 의하여 형성될 수 있다. 제1 및 제2 소자 분리막들(ST1, ST2)은 실리콘 산화물을 이용해 형성될 수 있다. 일 예로, 제1 소자 분리막들(ST1)은 제2 소자 분리막(ST2)보다 얕은 깊이를 갖도록 형성될 수 있다. 이 경우, 제1 소자 분리막들(ST1)은 제2 소자 분리막(ST2)과 별도의 공정에 의하여 형성될 수 있다. 다른 예로, 제1 소자 분리막들(ST1)은 제2 소자 분리막(ST2)과 실질적으로 동일한 깊이를 갖도록 형성될 수 있다. 이 경우, 제1 소자 분리막들(ST1)은 제2 소자 분리막(ST2)과 동시에 형성될 수 있다.
도 5 및 도 6a 내지 도 6c를 참조하면, 제1 및 제2 활성 패턴들(FN1, FN2)을 가로지르는 더미 패턴들(DP)이 형성될 수 있다. 더미 패턴들(DP)은 제1 방향(D1)으로 연장되는 라인 형태로 형성될 수 있다. 더미 패턴들(DP)을 형성하는 것은, 기판(100)의 전면 상에 희생막을 형성하는 것, 상기 희생막 상에 마스크 패턴들(MP)을 형성하는 것, 및 마스크 패턴들(MP)을 식각 마스크로 상기 희생막을 패터닝하는 것을 포함할 수 있다. 상기 희생막은 폴리 실리콘막을 포함할 수 있다.
더미 패턴들(DP) 각각의 양 측벽들 상에 한 쌍의 게이트 스페이서들(GS)이 형성될 수 있다. 게이트 스페이서들(GS)을 형성하는 것은, 기판(100)의 전면 상에 스페이서막을 콘포멀하게 형성하는 것, 및 상기 스페이서막을 이방성 식각하는 것을 포함할 수 있다. 상기 스페이서막은 SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다. 다른 예로, 상기 스페이서막은 SiCN, SiCON 및 SiN 중 적어도 두 개를 포함하는 다중 막(multi-layer)일 수 있다.
더미 패턴들(DP) 각각의 양측에 소스/드레인 영역들(SD)이 형성될 수 있다. 소스/드레인 영역들(SD)은 기판(100)을 씨드층(seed laye)으로 하는 선택적 에피택시얼 성장(Selective Epitaxial Growth) 공정에 의해 형성될 수 있다. 일 예로, 상기 선택적 에피택시얼 성장 공정은 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정 또는 분자 빔 에피택시(Molecular Beam Epitaxy: MBE) 공정을 포함할 수 있다.
각각의 더미 패턴들(DP) 양측의 제1 및 제2 활성 패턴들(FN1, FN2)이 선택적으로 식각될 수 있다. 식각된 제1 및 제2 활성 패턴들(FN1, FN2)의 상부들을 씨드층(seed laye)으로 하여 소스/드레인 영역들(SD)이 형성될 수 있다. 소스/드레인 영역들(SD)이 형성됨에 따라, 한 쌍의 소스/드레인 영역들(SD) 사이에 채널 영역(AF)이 정의될 수 있다.
제1 활성 패턴들(FN1)의 소스/드레인 영역들(SD)은 p형의 불순물로 도핑될 수 있고, 제2 활성 패턴들(FN2)의 소스/드레인 영역들(SD)은 n형의 불순물로 도핑될 수 있다. 일 예로, 불순물들은 소스/드레인 영역들(SD)의 형성 시에 인시튜(in-situ)로 도핑될 수 있다. 다른 예로, 소스/드레인 영역들(SD)을 형성한 후, 불순물들을 소스/드레인 영역들(SD)에 도핑할 수 있다.
도 7 및 도 8a 내지 도 8c를 참조하면, 소스/드레인 영역들(SD), 마스크 패턴들(MP) 및 게이트 스페이서들(GS)을 덮는 제1 층간 절연막(110)이 형성될 수 있다. 일 예로, 제1 층간 절연막(110)은 실리콘 산화막을 포함할 수 있다. 더미 패턴들(DP)의 상면들이 노출될 때까지 제1 층간 절연막(110)이 평탄화될 수 있다. 상기 평탄화 공정 동안 마스크 패턴들(MP)은 완전히 제거될 수 있다. 상기 평탄화 공정은 에치백(Etch Back) 또는 CMP(Chemical Mechanical Polishing) 공정을 이용하여 수행될 수 있다. 결과적으로, 제1 층간 절연막(110)의 상면은 더미 패턴들(DP)의 상면들 및 게이트 스페이서들(GS)의 상면들과 공면을 이룰 수 있다.
상면들이 노출된 더미 패턴들(DP)이 선택적으로 제거될 수 있다. 더미 패턴들(DP)이 제거됨으로써, 게이트 스페이서들(GS)에 의해 정의되는 트렌치들(TR)이 형성될 수 있다. 트렌치들(TR)은 채널 영역들(AF)을 노출할 수 있다.
도 9 및 도 10a 내지 도 10c를 참조하면, 각각의 트렌치들(TR) 내에 게이트 유전 패턴(GI), 게이트 전극(GE) 및 캡핑 패턴(CP)이 형성될 수 있다. 게이트 유전 패턴(GI)은 트렌치(TR)를 완전히 채우지 않도록 콘포말하게 형성될 수 있다. 게이트 유전 패턴(GI)은 원자층 증착(ALD) 또는 케미컬 산화막(Chemical Oxidation) 공정에 의해 형성될 수 있다. 일 예로, 게이트 유전 패턴(GI)은 고유전율 물질을 포함할 수 있다. 상기 고유전율 물질은 하프늄 산화물, 하프늄 실리콘 산화물, 란탄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 리튬 산화물, 알루미늄 산화물, 납 스칸듐 탄탈 산화물 및 납 아연 니오브산염 중 적어도 하나를 포함할 수 있다.
게이트 전극(GE)은 트렌치(TR)를 완전히 채우는 게이트 전극막을 형성하고, 상기 게이트 전극막을 평탄화함으로써 형성될 수 있다. 일 예로, 상기 게이트 전극막은 도전성 금속 질화물(예를 들면, 티타늄 질화물 또는 탄탈늄 질화물) 및 금속 물질(예를 들면, 티타늄, 탄탈늄, 텅스텐, 구리 또는 알루미늄) 중 적어도 하나를 포함할 수 있다.
각각의 트렌치들(TR) 내의 게이트 전극(GE)의 상부 및 게이트 유전 패턴(GI)의 상부가 리세스될 수 있다. 리세스된 게이트 전극(GE) 및 리세스된 게이트 유전 패턴(GI) 상에 캡핑 패턴(CP)이 형성될 수 있다. 캡핑 패턴(CP)은 트렌치(TR)의 상부를 채우도록 형성될 수 있다. 캡핑 패턴(CP)은 SiON, SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다. 각각의 트렌치들(TR)에 형성된 게이트 전극(GE), 게이트 유전 패턴(GI), 한 쌍의 게이트 스페이서들(GS) 및 캡핑 패턴(CP)은 하나의 게이트 구조체를 구성할 수 있다.
일 예로, 게이트 전극들(GE)은 제2 방향(D2)을 따라 나란히 배열된 제1 내지 제5 게이트 전극들(GE1-GE5)을 포함할 수 있다. 제1 내지 제5 게이트 전극들(GE1-GE5) 상에 제1 내지 제5 캡핑 패턴들(CP1-CP5)이 각각 배치될 수 있다.
도 11 및 도 12a 내지 도 12c를 참조하면, 제1 층간 절연막(110) 상에 개구부들(OP)을 갖는 하드 마스크 패턴(HMP)이 형성될 수 있다. 하드 마스크 패턴(HMP)을 형성하는 것은, 제1 층간 절연막(110) 상에 하드 마스크막을 형성하는 것, 상기 하드 마스크막 상에 포토레지스트 패턴(PP)을 형성하는 것, 및 포토레지스트 패턴(PP)을 식각 마스크로 상기 하드 마스크막을 패터닝하는 것을 포함할 수 있다.
하드 마스크 패턴(HMP)의 개구부들(OP)은 소스/드레인 영역들(SD)과 수직적으로 중첩될 수 있다. 일 예로, 하드 마스크 패턴(HMP)의 하나의 개구부(OP)는 제2 내지 제5 캡핑 패턴들(CP2-CP5)을 노출시킬 수 있다. 하드 마스크 패턴(HMP)의 개구부(OP)는 제2 내지 제5 캡핑 패턴들(CP2-CP5) 사이의 제1 층간 절연막(110)을 노출시킬 수 있다.
도 13 및 도 14a 내지 도 14c를 참조하면, 하드 마스크 패턴(HMP)을 식각 마스크로 개구부들(OP)에 의해 노출된 제1 층간 절연막(110)을 식각할 수 있다. 제1 층간 절연막(110)을 식각하기 전, 또는 제1 층간 절연막(110)을 식각하는 동안 포토레지스트 패턴(PP)은 제거될 수 있다. 개구부들(OP)에 의해 노출된 제1 층간 절연막(110)이 제거됨으로써, 소스/드레인 영역들(SD)을 노출하는 홀들(HO)이 형성될 수 있다. 각각의 홀들(HO)은 한 쌍의 게이트 전극들(GE) 사이에 형성될 수 있다. 게이트 스페이서들(GS) 및 캡핑 패턴들(CP)이 게이트 전극들(GE)을 감싸므로, 홀들(HO)에 의해 게이트 전극들(GE)이 노출되지 않을 수 있다.
제1 층간 절연막(110)을 식각할 때, 개구부들(OP)에 의해 노출된 캡핑 패턴들(CP) 및 게이트 스페이서들(GS)의 상부가 함께 식각될 수 있다. 예를 들어, 상기 식각 공정으로 인해 제2 내지 제5 캡핑 패턴들(CP2-CP5) 각각은 굴곡진 상면을 가질 수 있다. 하드 마스크 패턴(HMP)에 의해 보호된 제1 캡핑 패턴(CP1)은 그대로 보존되어, 평평한 상면을 가질 수 있다.
제1 내지 제5 캡핑 패턴들(CP1-CP5)의 상면들은 서로 다른 높이를 가질 수 있다. 제1 캡핑 패턴(CP1)의 최고 높이는 제1 레벨(LV1)에 위치할 수 있고, 제3 및 제4 캡핑 패턴들(CP3, CP4)의 최고 높이는 제2 레벨(LV2)에 위치할 수 있다. 제2 및 제5 캡핑 패턴들(CP2, CP5)의 상면의 높이는 제1 레벨(LV1)에서 제3 레벨(LV3)로 변화될 수 있다. 결론적으로, 상기 식각 공정으로 인해 캡핑 패턴들(CP)의 상면들의 높이는 서로 달라질 수 있고, 불균일한 패턴 단차가 발생할 수 있다.
도 15 및 도 16a 내지 도 16c를 참조하면, 홀들(HO) 및 개구부들(OP)을 채우는 배리어막(BL) 및 도전막(CL)이 형성될 수 있다. 배리어막(BL)은 홀들(HO)을 완전히 채우지 않도록 콘포말하게 형성될 수 있다. 일 예로, 배리어막(BL)은 Ti/TiN을 포함할 수 있다. 도전막(CL)은 배리어막(BL) 상에 홀들(HO) 및 개구부들(OP)을 완전히 채우도록 형성될 수 있다. 도전막(CL)은 금속 물질, 예를 들어 코발트, 텅스텐 또는 구리를 포함할 수 있다. 배리어막(BL) 및 도전막(CL)은 하드 마스크 패턴(HMP)의 상면을 모두 덮도록 형성될 수 있다.
도 17 및 도 18a 내지 도 18c를 참조하면, 하드 마스크 패턴(HMP)의 상면이 노출될 때까지 제1 평탄화 공정이 수행될 수 있다. 상기 제1 평탄화 공정은 하드 마스크 패턴(HMP)을 정지층(stopping layer)으로 하여 수행될 수 있다. 상기 제1 평탄화 공정을 통해 하드 마스크 패턴(HMP)보다 높게 위치하는 도전막(CL) 및 배리어막(BL)이 제거될 수 있다.
일 예로, 상기 제1 평탄화 공정은 CMP 공정을 이용할 수 있다. CMP 공정은 연마입자와 화학물질이 포함된 슬러리를 이용하여 연마하고자 하는 물질에 화학적 연마와 기계적 연마를 수행하는 평탄화 공정일 수 있다. 도전막(CL) 및 배리어막(BL)과 같은 금속막을 연마하기 위해, 상기 슬러리는 연마입자, 산화제, pH조절제 등을 포함할 수 있다. 상기 연마입자는 실리카 입자 또는 알루미나 입자를 포함할 수 있다. 상기 산화제는 상기 금속막의 표면을 산화시키며, 예를 들어, 과산화 수소, 질산철, 또는 과황산일 수 있다. 상기 pH 조절제는 상기 금속막의 연마특성을 향상시키기 위해 상기 슬러리의 pH를 조절할 수 있다. 상기 pH 조절제는, 예를 들어, 칼륨 수산화물(potassium hydroxide, KOH), 암모늄 수산화물(NH4OH), 트리메틸아민(TMA, trimethylamine), 트리에틸아민(TEA,triethylamine) 및 테트라메틸암모늄 수산화물(TMAH, teramethylammonium hydroxide)을 포함하는 염기일 수 있다. 상기 pH 조절제를 통해, 상기 슬러리의 pH는 7 내지 12로 조절될 수 있다.
상기 금속막은 상기 산화제와 화학적인 반응을 일으켜 상기 금속막의 표면에 금속 산화막이 형성될 수 있다. 상기 금속 산화막은 상기 금속막의 최상부에 형성되며, 상기 연마 입자에 의한 연마공정에 의하여 기계적으로 제거될 수 있다. 이러한 과정이 반복되어 상기 금속막이 평탄화될 수 있다.
상기 제1 평탄화 공정은 제1 슬러리 조성물을 이용해 수행될 수 있다. 도전막(CL)에 대한 상기 제1 슬러리 조성물의 제1 식각률은 하드 마스크 패턴(HMP)에 대한 상기 제1 슬러리 조성물의 제2 식각률보다 더 클 수 있다. 일 예로, 상기 제1 식각률은 상기 제2 식각률보다 10배 내지 50배 클 수 있다. 상기 제1 슬러리 조성물 내의 산화제 및 pH 조절제의 종류 및 조성비를 조절하여, 상기 제1 슬러리 조성물의 식각률을 결정할 수 있다.
도 19 및 도 20a 내지 도 20c를 참조하면, 캡핑 패턴들(CP)의 상면을 덮는 배리어막(BL)의 상부(BLt)가 노출될 때까지 제2 평탄화 공정이 수행될 수 있다. 상기 제2 평탄화 공정은 배리어막(BL)을 정지층으로 하여 수행될 수 있다. 상기 제2 평탄화 공정은 노출되는 배리어막(BL)의 패턴 밀도가 소정의 패턴 밀도 이상이 되는 순간 정지될 수 있다. 일 예로, 상기 제2 평탄화 공정은 CMP 공정을 이용할 수 있다.
예를 들어, 제3 및 제4 캡핑 패턴들(CP3, CP4)을 덮는 배리어막(BL)의 상부(BLt)는 제4 레벨(LV4)에 위치할 수 있다. 제2 평탄화 공정이 제4 레벨(LV4)에 도달하기 전까지, 노출되어 있는 배리어막(BL)의 면적은 상대적으로 작을 수 있다. 배리어막(BL)의 상부(BLt)는 비교적 평평한 제3 및 제4 캡핑 패턴들(CP3, CP4) 상에 위치하기 때문에, 제2 평탄화 공정이 제4 레벨(LV4)에 도달하는 순간 노출되어 있는 배리어막(BL)의 면적이 상대적으로 커질 수 있다. 결론적으로, 제2 평탄화 공정이 제4 레벨(LV4)에 도달했을 때 배리어막(BL)의 패턴 밀도가 소정의 패턴 밀도 이상이 될 수 있고, 이때 제2 평탄화 공정을 정지시킬 수 있다.
상기 제2 평탄화 공정을 통해 제4 레벨(LV4)보다 높게 위치하는 도전막(CL), 제1 층간 절연막(110), 캡핑 패턴들(CP), 게이트 스페이서들(GS) 및 하드 마스크 패턴(HMP)이 제거될 수 있다.
상기 제2 평탄화 공정은 제2 슬러리 조성물을 이용해 수행될 수 있다. 도전막(CL)에 대한 제2 슬러리 조성물의 제1 식각률은 배리어막(BL)에 대한 상기 제2 슬러리 조성물의 제2 식각률보다 더 클 수 있다. 일 예로, 상기 제1 식각률은 상기 제2 식각률보다 10배 내지 50배 클 수 있다. 상기 제2 슬러리 조성물 내의 산화제 및 pH 조절제의 종류 및 조성비를 조절하여, 상기 제2 슬러리 조성물의 식각률을 결정할 수 있다. 상기 제2 평탄화 공정에서 사용되는 상기 제2 슬러리 조성물은 상기 제1 평탄화 공정에서 사용되는 상기 제1 슬러리 조성물과 다른 조성을 가질 수 있다.
도 21 및 도 22a 내지 도 22c를 참조하면, 캡핑 패턴들(CP)이 모두 완전히 노출될 때까지 제3 평탄화 공정이 수행될 수 있다. 상기 제3 평탄화 공정은 캡핑 패턴들(CP)을 정지층으로 하여 수행될 수 있다. 상기 제3 평탄화 공정은 노출되는 캡핑 패턴들(CP)의 패턴 밀도가 소정의 패턴 밀도 이상이 되는 순간 정지될 수 있다. 일 예로, 상기 제3 평탄화 공정은 CMP 공정을 이용할 수 있다.
예를 들어, 배리어막(BL)에 의해 덮인 캡핑 패턴들(CP)의 최저 높이는 제5 레벨(LV5)에 위치할 수 있다. 제3 평탄화 공정이 제5 레벨(LV5)에 도달하기 전까지, 모든 캡핑 패턴들(CP)이 완전히 노출되지 못하므로 노출되어 있는 캡핑 패턴들(CP)의 면적은 상대적으로 작을 수 있다. 제3 평탄화 공정이 제5 레벨(LV5)에 도달하는 순간 모든 캡핑 패턴들(CP)이 완전히 노출될 수 있으므로, 노출되어 있는 캡핑 패턴들(CP)의 면적이 상대적으로 커질 수 있다. 결론적으로, 제3 평탄화 공정이 제5 레벨(LV5)에 도달했을 때 캡핑 패턴들(CP)의 패턴 밀도가 소정의 패턴 밀도 이상이 될 수 있고, 이때 제3 평탄화 공정을 정지시킬 수 있다.
상기 제3 평탄화 공정을 통해 제5 레벨(LV5)보다 높게 위치하는 도전막(CL), 제1 층간 절연막(110), 캡핑 패턴들(CP) 및 게이트 스페이서들(GS)이 제거될 수 있다.
상기 제3 평탄화 공정은 제3 슬러리 조성물을 이용해 수행될 수 있다. 도전막(CL)에 대한 제3 슬러리 조성물의 제1 식각률은 캡핑 패턴들(CP)에 대한 상기 제3 슬러리 조성물의 제2 식각률보다 더 클 수 있다. 일 예로, 상기 제1 식각률은 상기 제2 식각률보다 10배 내지 50배 클 수 있다. 상기 제3 슬러리 조성물 내의 산화제 및 pH 조절제의 종류 및 조성비를 조절하여, 상기 제3 슬러리 조성물의 식각률을 결정할 수 있다. 상기 제3 평탄화 공정에서 사용되는 상기 제3 슬러리 조성물은 상기 제1 및 제2 평탄화 공정들에서 사용되는 상기 제1 및 제2 슬러리 조성물들과 다른 조성을 가질 수 있다.
상기 제1 내지 제3 평탄화 공정들을 통해 배리어막(BL) 및 도전막(CL)이 식각되어, 활성 콘택들(AC)이 형성될 수 있다. 활성 콘택들(AC)은 홀들(HO) 내에 선택적으로 형성될 수 있다. 일 예로, 제2 및 제3 게이트 전극들(GE2, GE3) 사이의 제1 활성 콘택(AC), 제3 및 제4 게이트 전극들(GE3, GE4) 사이의 제2 활성 콘택(AC), 및 제4 및 제5 게이트 전극들(GE4, GE5) 사이의 제3 활성 콘택(AC)은 서로 이격될 수 있다. 앞서 설명한 바와 같이, 하나의 개구부(OP)를 형성하고 개구부(OP)에 배리어막(BL) 및 도전막(CL)을 채운 후 상기 제1 내지 제3 평탄화 공정들을 수행할 수 있다. 그 결과, 서로 분리된 제1 내지 제3 활성 콘택들(AC)이 자기 정렬적으로 형성될 수 있다.
도 1 및 도 2a 내지 도 2c를 참조하면, 제1 층간 절연막(110) 상에 제2 층간 절연막(120)이 형성될 수 있다. 일 예로, 제2 층간 절연막(120)은 실리콘 산화막 또는 low-k 산화막을 포함할 수 있다. 제2 층간 절연막(120) 내에 제1 콘택들(CT1) 및 제2 콘택(CT2)이 형성될 수 있다. 제1 콘택들(CT1) 및 제2 콘택(CT2)을 형성하는 것은, 제2 층간 절연막(120)을 관통하는 콘택 홀들을 형성하는 것, 상기 콘택 홀들을 채우는 배리어막 및 도전막을 형성하는 것, 및 상기 배리어막 및 상기 도전막을 평탄화하는 것을 포함할 수 있다.
제2 층간 절연막(120) 상에 제3 층간 절연막(130)이 형성될 수 있다. 일 예로, 제3 층간 절연막(130)은 실리콘 산화막 또는 low-k 산화막을 포함할 수 있다. 제3 층간 절연막(130) 내에 제1 및 제2 전원 라인들(PL1, PL2) 및 도전 라인들(ML)이 형성될 수 있다. 일 예로, 제1 및 제2 전원 라인들(PL1, PL2) 및 도전 라인들(ML)은 듀얼 다마신 공정을 이용하여 형성될 수 있다.
본 발명의 실시예들에 따른 반도체 소자의 제조 방법은, 불균일한 높이를 갖는 캡핑 패턴들(CP) 상에 형성되는 배리어막(BL) 및 도전막(CL)을 3 단계로 이루어진 평탄화 공정(제1 내지 제3 평탄화 공정들)을 통해 식각할 수 있다. 제1 내지 제3 평탄화 공정들은 서로 다른 정지막을 기준으로 서로 다른 슬러리 조성물을 이용해 수행될 수 있다. 이로써, 활성 콘택들(AC)간의 쇼트 없이 서로 완전히 분리된 활성 콘택들(AC)이 자기 정렬적으로 형성될 수 있다.
이상, 첨부된 도면들을 참조하여 본 발명의 실시 예들을 설명하였지만, 본 발명은 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수도 있다. 그러므로 이상에서 기술한 실시 예들에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야 한다.

Claims (10)

  1. 기판 상에 서로 인접하는 제1 내지 제4 게이트 전극들을 형성하는 것, 상기 제1 내지 제4 게이트 전극들은 제1 방향으로 연장되고, 상기 제1 내지 제4 게이트 전극들은 제1 방향과 교차하는 제2 방향으로 배열되며;
    상기 제1 내지 제4 게이트 전극들 상에 제1 내지 제4 캡핑 패턴들을 각각 형성하는 것;
    상기 제1 내지 제4 게이트 전극들 사이의 공간들을 채우는 층간 절연막을 형성하는 것;
    상기 층간 절연막 상에 하드 마스크 패턴을 형성하는 것, 상기 하드 마스크 패턴은 상기 제2 내지 제4 캡핑 패턴들을 선택적으로 노출하는 개구부를 갖고;
    상기 하드 마스크 패턴을 식각 마스크로 상기 제2 및 제3 게이트 전극들 사이 및 상기 제3 및 제4 게이트 전극들 사이의 상기 층간 절연막을 식각하여, 홀들을 형성하는 것;
    상기 홀들을 채우는 배리어막 및 도전막을 순차적으로 형성하는 것;
    상기 하드 마스크 패턴이 노출될 때까지 제1 평탄화 공정을 수행하는 것;
    상기 제2 내지 제4 캡핑 패턴들을 덮는 상기 배리어막의 일부가 노출될 때까지 제2 평탄화 공정을 수행하는 것; 및
    상기 제1 내지 제4 캡핑 패턴들이 완전히 노출될 때까지 제3 평탄화 공정을 수행하는 것을 포함하는 반도체 소자의 제조 방법.
  2. 제1항에 있어서,
    상기 층간 절연막을 식각하는 동안, 제2 내지 제4 캡핑 패턴들의 상부가 식각되는 반도체 소자의 제조 방법.
  3. 제1항에 있어서,
    상기 배리어막 및 상기 도전막이 형성될 때, 상기 제1 캡핑 패턴의 최고 높이는 상기 제3 캡핑 패턴의 최고 높이보다 높은 반도체 소자의 제조 방법.
  4. 제1항에 있어서,
    상기 배리어막 및 상기 도전막이 형성될 때, 상기 제2 내지 제4 캡핑 패턴들 각각은 굴곡진 상면을 갖는 반도체 소자의 제조 방법.
  5. 제1항에 있어서,
    상기 기판의 상부에 활성 패턴을 형성하는 것; 및
    상기 활성 패턴에 소스/드레인 영역들을 형성하는 것을 더 포함하되,
    평면적 관점에서, 상기 소스/드레인 영역들은 상기 제1 내지 제4 게이트 전극들 사이에 위치하고,
    상기 홀들은 상기 소스/드레인 영역들을 노출하는 반도체 소자의 제조 방법.
  6. 제5항에 있어서,
    상기 활성 패턴을 덮는 소자 분리막을 형성하는 것; 및
    상기 소자 분리막을 리세스하여, 상기 활성 패턴의 상부를 노출시키는 것을 포함하는 반도체 소자의 제조 방법.
  7. 제1항에 있어서,
    상기 제1 내지 제4 게이트 전극들 각각의 양 측에 한 쌍의 게이트 스페이서들을 형성하는 것을 더 포함하되,
    상기 제2 내지 제4 게이트 전극들은 상기 게이트 스페이서들에 의해 상기 홀들을 통해 노출되지 않는 반도체 소자의 제조 방법.
  8. 제1항에 있어서,
    상기 제1 평탄화 공정은 제1 슬러리 조성물을 이용하고, 상기 제2 평탄화 공정은 제2 슬러리 조성물을 이용하며, 상기 제3 평탄화 공정은 제3 슬러리 조성물을 이용하고,
    상기 제1 슬러리 조성물의 상기 도전막에 대한 식각률은 상기 제1 슬러리 조성물의 상기 하드 마스크 패턴에 대한 식각률보다 더 크고,
    상기 제2 슬러리 조성물의 상기 도전막에 대한 식각률은 상기 제2 슬러리 조성물의 상기 배리어막에 대한 식각률보다 더 크며,
    상기 제3 슬러리 조성물의 상기 도전막에 대한 식각률은 상기 제2 슬러리 조성물의 상기 제1 내지 제4 캡핑 패턴들 대한 식각률보다 더 큰 반도체 소자의 제조 방법.
  9. 제1항에 있어서,
    상기 제2 평탄화 공정은 상기 배리어막의 패턴 밀도가 소정의 패턴 밀도 이상이 될 때 정지되는 반도체 소자의 제조 방법.
  10. 제1항에 있어서,
    상기 제3 평탄화 공정은 상기 제1 내지 제4 캡핑 패턴들의 패턴 밀도가 소정의 패턴 밀도 이상이 될 때 정지되는 반도체 소자의 제조 방법.
KR1020160167856A 2016-12-09 2016-12-09 반도체 소자의 제조 방법 KR102591632B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020160167856A KR102591632B1 (ko) 2016-12-09 2016-12-09 반도체 소자의 제조 방법
US15/646,300 US9997412B1 (en) 2016-12-09 2017-07-11 Methods of manufacturing semiconductor devices
CN201711281149.1A CN108231689B (zh) 2016-12-09 2017-12-06 制造半导体器件的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160167856A KR102591632B1 (ko) 2016-12-09 2016-12-09 반도체 소자의 제조 방법

Publications (2)

Publication Number Publication Date
KR20180066940A true KR20180066940A (ko) 2018-06-20
KR102591632B1 KR102591632B1 (ko) 2023-10-20

Family

ID=62485399

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160167856A KR102591632B1 (ko) 2016-12-09 2016-12-09 반도체 소자의 제조 방법

Country Status (3)

Country Link
US (1) US9997412B1 (ko)
KR (1) KR102591632B1 (ko)
CN (1) CN108231689B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220118284A (ko) * 2021-02-18 2022-08-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 트랜지스터 소스/드레인 접촉부 및 그 형성 방법

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10332890B2 (en) * 2016-12-02 2019-06-25 Samsung Electronics Co., Ltd. Semiconductor memory device and method of manufacturing the same
US11355399B2 (en) * 2020-05-19 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gap patterning for metal-to-source/drain plugs in a semiconductor device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010011003A (ko) * 1999-07-24 2001-02-15 김영환 반도체소자의 제조방법
KR20100110098A (ko) * 2009-04-02 2010-10-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20130017597A (ko) * 2011-08-11 2013-02-20 에스케이하이닉스 주식회사 반도체장치 제조 방법
US20140217482A1 (en) * 2013-02-05 2014-08-07 International Business Machines Corporation Integrated circuits having replacement gate structures and methods for fabricating the same
KR20160124295A (ko) * 2015-04-16 2016-10-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528418B1 (en) * 2001-09-20 2003-03-04 Hynix Semiconductor Inc. Manufacturing method for semiconductor device
JP2003115488A (ja) 2001-10-03 2003-04-18 Hitachi Ltd 半導体装置の製造方法
KR100475123B1 (ko) * 2003-01-07 2005-03-10 삼성전자주식회사 자기 정렬된 컨택 패드 분리를 위한 화학적 기계적 평탄화공정
KR100596834B1 (ko) 2003-12-24 2006-07-04 주식회사 하이닉스반도체 반도체소자의 폴리실리콘 플러그 형성방법
TWI249774B (en) * 2004-04-23 2006-02-21 Nanya Technology Corp Forming method of self-aligned contact for semiconductor device
KR100641933B1 (ko) 2004-10-06 2006-11-02 주식회사 하이닉스반도체 반도체 소자의 형성 방법
KR100640628B1 (ko) * 2005-01-10 2006-10-31 삼성전자주식회사 반도체 소자의 자기정렬 콘택 플러그 형성 방법
KR100703984B1 (ko) * 2006-03-22 2007-04-09 삼성전자주식회사 반도체 집적 회로 장치의 제조 방법 및 그 구조
KR20090083773A (ko) 2008-01-30 2009-08-04 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
KR20090126544A (ko) 2008-06-04 2009-12-09 삼성전자주식회사 화학 기계 연마 공정을 이용한 반도체 장치의 제조방법
US8367553B2 (en) 2010-12-07 2013-02-05 United Microelectronics Corp. Method for manufacturing through-silicon via
US9245894B2 (en) * 2013-12-12 2016-01-26 Texas Instruments Incorporated Self aligned active trench contact
US9337284B2 (en) 2014-04-07 2016-05-10 Alpha And Omega Semiconductor Incorporated Closed cell lateral MOSFET using silicide source and body regions
US9443738B2 (en) 2015-02-06 2016-09-13 Globalfoundries Inc. Integrated circuits with middle of line capacitance reduction in self-aligned contact process flow and fabrication methods
US10147652B2 (en) 2015-02-24 2018-12-04 Globalfoundries Inc. Method, apparatus and system for advanced channel CMOS integration
KR102317651B1 (ko) * 2015-04-14 2021-10-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010011003A (ko) * 1999-07-24 2001-02-15 김영환 반도체소자의 제조방법
KR20100110098A (ko) * 2009-04-02 2010-10-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20130017597A (ko) * 2011-08-11 2013-02-20 에스케이하이닉스 주식회사 반도체장치 제조 방법
US20140217482A1 (en) * 2013-02-05 2014-08-07 International Business Machines Corporation Integrated circuits having replacement gate structures and methods for fabricating the same
KR20160124295A (ko) * 2015-04-16 2016-10-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220118284A (ko) * 2021-02-18 2022-08-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 트랜지스터 소스/드레인 접촉부 및 그 형성 방법
US11798943B2 (en) 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same

Also Published As

Publication number Publication date
US9997412B1 (en) 2018-06-12
KR102591632B1 (ko) 2023-10-20
CN108231689A (zh) 2018-06-29
CN108231689B (zh) 2023-04-18
US20180166343A1 (en) 2018-06-14

Similar Documents

Publication Publication Date Title
KR102276650B1 (ko) 반도체 소자의 제조 방법
US11456383B2 (en) Semiconductor device having a contact plug with an air gap spacer
US8790988B2 (en) Semiconductor devices having passive element in recessed portion of device isolation pattern and methods of fabricating the same
KR102451417B1 (ko) 반도체 장치
US11342326B2 (en) Self-aligned etch in semiconductor devices
US20130161722A1 (en) Semiconductor devices and methods of manufacturing the same
KR102496973B1 (ko) 반도체 장치 및 그 제조 방법
TWI740201B (zh) 半導體裝置及其製造方法
US10879355B2 (en) Profile design for improved device performance
US20240096897A1 (en) Transistor isolation regions and methods of forming the same
TW202109680A (zh) 半導體裝置及其形成方法
KR20170013722A (ko) 반도체 소자 및 그 제조 방법
CN108231689B (zh) 制造半导体器件的方法
KR102449608B1 (ko) 반도체 소자의 제조 방법
TW202414552A (zh) 半導體裝置及其製造方法
US20230282524A1 (en) Semiconductor device and methods of forming the same
US11552176B2 (en) Integrated circuit devices and methods of manufacturing the same
CN106571341B (zh) 半导体结构及其形成方法
US11978676B2 (en) Semiconductor structure and method of forming the same
TWI827115B (zh) 半導體裝置及其形成方法
TWI853439B (zh) 半導體裝置與其形成方法
TWI790722B (zh) 半導體裝置及其形成方法
US20230317469A1 (en) Semiconductor Device and Methods of Forming the Same
US20240021619A1 (en) Finfet device and method
US20220051950A1 (en) Gapfill structure and manufacturing methods thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant