KR20170055261A - 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR20170055261A
KR20170055261A KR1020150158213A KR20150158213A KR20170055261A KR 20170055261 A KR20170055261 A KR 20170055261A KR 1020150158213 A KR1020150158213 A KR 1020150158213A KR 20150158213 A KR20150158213 A KR 20150158213A KR 20170055261 A KR20170055261 A KR 20170055261A
Authority
KR
South Korea
Prior art keywords
film
brush
polymer
unit
blocks
Prior art date
Application number
KR1020150158213A
Other languages
English (en)
Other versions
KR102402958B1 (ko
Inventor
박정주
권승철
김은성
이경미
이시용
츠요시 구로사와
카츠미 오모리
타수쿠 마츠미야
Original Assignee
삼성전자주식회사
도쿄 오카 고교 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 도쿄 오카 고교 가부시키가이샤 filed Critical 삼성전자주식회사
Priority to KR1020150158213A priority Critical patent/KR102402958B1/ko
Priority to US15/345,910 priority patent/US10101660B2/en
Publication of KR20170055261A publication Critical patent/KR20170055261A/ko
Application granted granted Critical
Publication of KR102402958B1 publication Critical patent/KR102402958B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F20/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F20/02Monocarboxylic acids having less than ten carbon atoms, Derivatives thereof
    • C08F20/10Esters
    • C08F20/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F20/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • C08F212/08Styrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L53/00Compositions of block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Compositions of derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L51/0043
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Nanotechnology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Toxicology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

패턴 형성 방법에 있어서, 기판 상에 대상막을 형성한다. 대상막 상에 가이드 패턴들을 형성한다. 소수성 반복단위 및 적어도 2개의 히드록실기가 결합된 친수성 말단기를 포함하는 제1 브러시 폴리머, 및 소수성 반복단위 및 히드록실기가 결합된 친수성 랜덤 반복단위를 포함하는 제2 브러시 폴리머 중 적어도 하나를 포함하는 브러시 폴리머를 사용하여 상기 가이드 패턴들의 표면들을 커버하는 브러시 막을 형성한다. 브러시 막 상에 블록 공중합체를 사용하여 자기 정렬막을 형성하여 가이드 패턴들 주변에 정렬되는 블록들을 형성한다. 블록들 중 적어도 일부를 대상막에 전사한다.

Description

반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법{METHODS OF FORMING PATTERNS OF SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES}
본 발명은 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법에 관한 것이다. 보다 상세하게는, 본 발명은 자기 정렬 방식에 의한 반도체 장치의 패턴 형성 방법 및 이를 활용한 반도체 장치의 제조 방법에 관한 것이다.
반도체 장치가 갈수록 소형화 및 고집적화 됨에 따라, 미세 패턴 구현 방법이 개발되고 있다. 기존의 사진 식각(photolithography) 공정에 있어, 상기 미세 패턴 구현을 위해 신규한 노광 장비 등의 개발이 이루어지고 있으나, 특정 임계 치수 이하의 선폭을 갖는 패턴 구현에는 한계가 있다. 또한, 두 개의 마스크를 이용하여 상기 미세 패턴을 구현하는 이중 패터닝(double patterning) 공정이 개발되고 있으나, 일반 패터닝 공정 보다 복잡한 공정을 요구하므로 생산성을 저하시킬 수 있다.
이에, 블록 공중합체를 이용한 유도된 자기 정렬(Direct Self Assembly: DSA) 방법이 개발되고 있다.
본 발명의 일 과제는 고신뢰성의 미세 치수를 갖는 반도체 장치의 패턴 형성 방법에 관한 것이다.
본 발명의 일 과제는 상기 패턴 형성 방법을 활용한 반도체 장치의 제조 방법을 제공하는 것이다.
본 발명의 일 과제는 상기 패턴 형성 방법에 활용되는 소수성 브러시막 형성용 조성물을 제공하는 것이다.
그러나, 본 발명이 해결하고자 하는 과제가 상술한 과제들에 한정되는 것이 아니며, 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위에서 다양하게 확장될 수 있을 것이다.
상술한 본 발명의 일 과제를 달성하기 위하여, 본 발명의 실시예들에 따른 패턴 형성 방법에 있어서, 기판 상에 대상막을 형성할 수 있다. 상기 대상막 상에 가이드 패턴들을 형성할 수 있다. 소수성 반복단위 및 적어도 2개의 히드록실기가 결합된 친수성 말단기를 포함하는 제1 브러시 폴리머, 및 소수성 반복단위 및 히드록실기가 결합된 친수성 랜덤 반복단위를 포함하는 제2 브러시 폴리머 중 적어도 하나를 포함하는 브러시 폴리머를 사용하여 상기 가이드 패턴들의 표면들을 커버하는 브러시 막을 형성할 수 있다. 상기 브러시 막 상에 블록 공중합체를 사용하여 자기 정렬막을 형성하여 상기 가이드 패턴들 주변에 정렬되는 블록들을 형성할 수 있다. 상기 블록들 중 적어도 일부를 상기 대상막에 전사할 수 있다.
예시적인 실시예들에 있어서, 상기 소수성 반복단위는 하기의 화학식 1로 표시되는 스티렌(styrene) 단위를 포함할 수 있다.
[화학식 1]
Figure pat00001
상기 화학식 1에 있어서, R1은 수소, C1~C6의 알킬기 또는 C1~C6의 할로겐화(halogenated) 알킬기를 포함할 수 있다. R2는 할로겐 원자, 산소 원자, C1~C20의 알킬기 또는 시클로알킬기, 또는 할로겐 치환기를 포함하거나 실리콘 원자로 부분 치환된 C1~C20의 알킬기 또는 시클로알킬기를 포함할 수 있다. n은 0 내지 5의 정수 중에서 선택될 수 있다.
예시적인 실시예들에 있어서, 상기 친수성 말단기는 하기의 화학식 2로 표시될 수 있다.
[화학식 2]
Figure pat00002
상기 화학식 2에 있어서, R4는 수소, C1~C6의 알킬기 또는 C1~C6의 할로겐화(halogenated) 알킬기를 포함할 수 있다. R3은 할로겐 원자, 산소 원자, C1~C20의 알킬기 또는 시클로알킬기, 또는 할로겐 치환기를 포함하거나 실리콘 원자로 부분 치환된 C1~C20의 알킬기 또는 시클로알킬기를 포함하며, n은 0 내지 5의 정수 중에서 선택될 수 있다. R5는 히드록실기 또는 수소를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 브러시 폴리머는 하기의 화학식 3으로 표시될 수 있다.
[화학식 3]
Figure pat00003
상기 화학식 3에서 x는 상기 제1 브러시 폴리머가 1,000 내지 100,000 범위의 평균 분자량을 갖도록 조절되는 정수일 수 있다.
예시적인 실시예들에 있어서, 상기 친수성 랜덤 반복단위는 하기의 화학식 4로 표시될 수 있다.
[화학식 4]
Figure pat00004
상기 화학식 4에 있어서, R6은 수소, C1~C6의 알킬기, 또는 C1~C6의 할로겐화(halogenated) 알킬기를 포함하며, R7은 C1~C5의 알킬렌기를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제2 브러시 폴리머는 하기의 화학식 5로 표시될 수 있다.
[화학식 5]
Figure pat00005
상기 화학식 5에서, a 및 b는 몰비를 표시하며, a/b는 약 94/6 내지 약 99의 범위일 수 있다.
예시적인 실시예들에 있어서, 상기 브러시 폴리머는 상기 제1 브러시 폴리머 및 상기 제2 브러시 폴리머의 블렌드(blend)를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 브러시 폴리머는 상기 제1 브러시 폴리머 및 상기 제2 브러시 폴리머가 하나의 구조로 병합된 폴리머를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 블록 공중합체는 제1 고분자 유닛 및 제2 고분자 유닛을 포함할 수 있다. 상기 제1 고분자 유닛은 상기 가이드 패턴들 주변에서 그리드 형태 또는 벌집 형태로 배열되는 제1 블록들로 정렬되고, 상기 제2 고분자 유닛은 상기 브러시 막과 접촉하며 상기 제1 블록들의 측벽들을 감싸는 제2 블록들로 정렬될 수 있다.
예시적인 실시예들에 있어서, 상기 제1 고분자 유닛은 폴리메틸메타크릴레이트(polymethylmethacrylate: PMMA)를 포함하며, 상기 제2 고분자 유닛은 폴리스티렌(polystyrene: PS)을 포함할 수 있다. 상기 브러시 막의 외표면은 PS를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 자기 정렬막은 상기 블록 공중합체 및 호모폴리머들의 블렌드를 사용하여 형성될 수 있다.
예시적인 실시예들에 있어서, 상기 호모폴리머들은 상기 제1 고분자 유닛을 포함하는 제1 호모 폴리머 및 상기 제2 고분자 유닛을 포함하는 제2 호모폴리머를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 자기 정렬막은 상기 블록 공중합체 및 상기 블록 공중합체 보다 낮은 분자량의 추가 블록 공중합체의 블렌드를 사용하여 형성될 수 있다. 상기 추가 블록 공중합체는 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛을 포함하며, 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛 각각의 분자량은 약 1,000 내지 약 10,000 범위일 수 있다.
예시적인 실시예들에 있어서, 상기 블록들 중 적어도 일부를 상기 대상막에 전사함에 있어, 상기 제1 블록들을 제거하여 홀들을 형성할 수 있다. 상기 홀들을 통해 상기 대상막을 식각할 수 있다.
상술한 본 발명의 일 과제를 달성하기 위하여, 본 발명의 실시예들에 따른 패턴 형성 방법에 있어서, 기판 상에 대상막을 형성할 수 있다. 상기 대상막 상에 가이드 패턴들을 형성할 수 있다. 상기 가이드 패턴들의 표면들을 커버하는 브러시 막을 형성할 수 있다. 상기 브러시 막 상에 제1 고분자 유닛 및 제2 고분자 유닛이 공중합된 블록 공중합체, 상기 제1 고분자 유닛을 포함하는 제1 호모폴리머 및 상기 제2 고분자 유닛을 포함하는 제2 호모폴리머를 포함하는 블렌드를 사용하여 자기 정렬막을 형성할 수 있다. 상기 자기 정렬막을 열경화시켜 상기 제1 고분자 유닛이 상기 가이트 패턴들의 주변에서 벌집 형태로 방사상으로 정렬되며 필라 형상을 갖는 제1 블록들을 형성하고, 상기 제2 고분자 유닛이 상기 브러시 막과 접촉하며 상기 제1 블록들을 감싸도록 정렬된 제2 블록을 형성할 수 있다. 상기 제1 블록들을 상기 대상막에 전사할 수 있다.
예시적인 실시예들에 있어서, 상기 블록 공중합체는 라멜라(lamellar) 타입 블록 공중합체를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 고분자 유닛은 PMMA를 포함하며, 상기 제2 고분자 유닛은 PS를 포함할 수 있다. 상기 라멜라 타입 블록 공중합체에 있어서, PMMA의 부피비는 35% 내지 65%일 수 있다.
예시적인 실시예들에 있어서, 상기 가이드 패턴들의 각 직경을 상기 블록 공중합체의 유닛 길이 대비 소정의 비율로 조절할 수 있다.
예시적인 실시예들에 있어서, 상기 가이드 패턴들의 상기 각 직경의 상기 유닛 길이 대비 비율은 약 0.5 내지 약 1.5 범위일 수 있다.
예시적인 실시예들에 있어서, 상기 브러시막은 소수성 반복단위 및 적어도 2개의 히드록실기가 결합된 친수성 말단기를 포함하는 제1 브러시 폴리머, 및 소수성 반복단위 및 히드록실기가 결합된 친수성 랜덤 반복단위를 포함하는 제2 브러시 폴리머 중 적어도 하나를 포함하는 브러시 폴리머를 사용하여 형성될 수 있다.
예시적인 실시예들에 있어서, 상기 제1 브러시 폴리머는 PS에 디올(diol)기가 말단기로 결합된 구조(PS-diOH)를 가지며, 상기 제2 브러시 폴리머는 PS 주쇄에 히드록시알킬 메타크릴레이트 단위가 랜덤하게 삽입된 구조를 가질 수 있다.
예시적인 실시예들에 있어서, 상기 가이드 패턴들 각각은 가이드 필라 또는 가이드 링 형상을 가질 수 있다.
예시적인 실시예들에 있어서, 상기 제1 블록들은 상기 가이드 링 주변 및 상기 가이드 링 내부의 중앙부에 정렬될 수 있다.
상술한 본 발명의 일 과제를 달성하기 위하여, 본 발명의 실시예들에 따른 패턴 형성 방법에 있어서, 기판 상에 대상막을 형성할 수 있다. 상기 대상막 상에 가이드 패턴들을 형성할 수 있다. 복수의 히드록실기를 포함하는 친수성 단위 및 소수성 반복단위를 포함하는 브러시 폴리머를 사용하여 상기 가이드 패턴들의 표면들을 커버하는 브러시 막을 형성할 수 있다. 상기 브러시 막 상에 제1 고분자 유닛 및 제2 고분자 유닛을 포함하는 블록 공중합체 및 호모폴리머의 블렌드를 사용하여 자기 정렬막을 형성할 수 있다. 상기 제1 고분자 유닛은 상기 가이드 패턴들 주변에서 그리드 형태 또는 벌집 형태로 배열되는 제1 블록들로 정렬되고, 상기 제2 고분자 유닛은 상기 브러시 막과 접촉하며 상기 제1 블록들의 측벽들을 감싸는 제2 블록들로 정렬될 수 있다. 상기 자기 정렬막 중 일부를 제거하여 홀들을 형성할 수 있다. 상기 홀들을 상기 대상막에 전사할 수 있다.
예시적인 실시예들에 있어서, 상기 친수성 단위는 적어도 2개의 히드록실기가 결합된 말단기 및 히드록시알킬 메타크릴레이트 구조의 랜덤 반복 단위 중 적어도 하나를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 블록 공중합체는 친수성을 갖는 상기 제1 고분자 유닛, 및 소수성을 갖는 상기 제2 고분자 유닛을 포함할 수 있다. 상기 호모폴리머는 상기 제1 고분자 유닛을 포함하는 제1 호모폴리머, 및 상기 제2 고분자 유닛을 포함하는 제2 호모폴리머를 포함할 수 있다. 상기 블렌드는 3원 블렌드일 수 있다.
예시적인 실시예들에 있어서, 상기 블록 공중합체에 포함된 상기 제1 고분자 유닛의 부피비는 약 35% 내지 약 65%이고, 상기 호모폴리머에 있어서 상기 제1 호모폴리머의 부피비는 약 35% 내지 약 65%일 수 있다.
상술한 본 발명의 일 과제를 달성하기 위하여, 본 발명의 실시예들에 따른 반도체 장치의 제조 방법에 있어서, 소자 분리막에 의해 한정되는 복수의 액티브 패턴들을 형성할 수 있다. 상기 액티브 패턴들 상에 게이트 구조물들을 형성할 수 있다. 상기 액티브 패턴들 상에 상기 게이트 구조물들을 덮는 층간 절연막을 형성할 수 있다. 상기 층간 절연막 상에 가이드 패턴들을 형성할 수 있다. 소수성 반복단위 및 적어도 2개의 히드록실기가 결합된 친수성 말단기를 포함하는 제1 브러시 폴리머, 및 소수성 반복단위 및 히드록실기가 결합된 친수성 랜덤 반복단위를 포함하는 제2 브러시 폴리머 중 적어도 하나를 포함하는 브러시 폴리머를 사용하여 상기 가이드 패턴들의 표면들을 커버하는 브러시 막을 형성할 수 있다. 상기 브러시 막 상에 제1 고분자 유닛 및 제2 고분자 유닛을 포함하는 블록 공중합체를 사용하여 자기 정렬막을 형성하여 상기 가이드 패턴들 주변에 정렬되는 블록들을 형성할 수 있다. 상기 블록들은 상기 제1 고분자 유닛이 상기 가이드 패턴들 주변에서 그리드 형태 또는 벌집 형태로 정렬된 제1 블록들, 및 상기 제2 고분자 유닛이 상기 브러시 막과 접촉하며 상기 제1 블록들의 측벽들을 감싸도록 정렬된 제2 블록들을 포함할 수 있다. 상기 블록들 중 적어도 일부를 상기 층간 절연막에 전사하여 상기 액티브 패턴들을 부분적으로 노출시키는 콘택 홀들을 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 콘택 홀들을 채우는 콘택들을 형성할 수 있다. 상기 콘택들 상에 커패시터들을 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 브러시 폴리머는 PS-diOH 구조를 가지며, 상기 제2 브러시 폴리머는 PS 주쇄에 히드록시알킬 메타크릴레이트 단위가 랜덤하게 삽입된 구조를 가질 수 있다. 상기 자기 정렬막은 상기 블록 공중합체 및 상기 블록 공중합체에 포함된 고분자 유닛들의 호모폴리머들이 혼합된 블렌드를 사용하여 형성될 수 있다.
상술한 일 과제를 달성하기 위한 소수성 브러시막 형성용 조성물은 소수성 반복단위 및 적어도 2개의 히드록실기가 결합된 친수성 말단기를 포함하는 제1 브러시 폴리머, 및 소수성 반복단위 및 히드록실기가 결합된 친수성 랜덤 반복단위를 포함하는 제2 브러시 폴리머 중 적어도 하나를 포함하는 브러시 폴리머, 및 용매를 포함한다.
예시적인 실시예들에 있어서, 상기 소수성 반복단위는 스티렌 단위를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 친수성 말단기 및 상기 친수성 랜덤 반복단위는 각각 상기의 화학식 2 및 화학식 4로 표시될 수 있다.
예시적인 실시예들에 있어서, 상기 제1 브러시 폴리머 및 상기 제2 브러시 폴리머는 각각 상기의 화학식 3 및 화학식 5로 표시될 수 있다.
예시적인 실시예들에 있어서, 상기 브러시 폴리머는 상기 제1 브러시 폴리머 및 상기 제2 브러시 폴리머의 블렌드를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 블렌드 내의 상기 제1 브러시 폴리머 및 상기 제2 브러시 폴리머의 혼합 비율은 약 3:7 내지 약 7:3일 수 있다.
전술한 본 발명의 예시적인 실시예들에 따르면, 가이딩 패턴들의 표면 상에 2이상의 히드록실기를 포함하는 말단기 또는 히드록시알킬 메타크릴레이트 삽입기를 포함하는 브러시막을 형성할 수 있다. 상기 브러시막은 상기 가이딩 패턴과 향상된 반응성 및/또는 부착성을 가지며, 상기 브러시막에 의해 예를 들면 PMMA와 같은 상기 브러시막과 다른 화학적 특성을 갖는 고분자 단위들의 상분리 및 자기 정렬이 촉진될 수 있다. 또한, DSA 공정에 사용되는 블록공중합체로서 예를 들면 PS:PMMA 비율이 1:1에 근접한 블록공중합체 및 호모폴리머들의 블렌드를 자기정렬막 재료로서 사용하여 PMMA의 함량 부족에 따른 패턴 형성 불량을 억제할 수 있다.
도 1 내지 도 17은 예시적인 실시예들에 따른 반도체 장치의 패턴 형성방법을 설명하기 위한 평면도 및 단면도들이다.
도 18 내지 도 21은 일부 예시적인 실시예들에 따른 반도체 장치의 패턴 형성 방법을 설명하기 위한 평면도 및 단면도들이다.
도 22 내지 도 25는 예시적인 실시예들에 따른 반도체 장치의 패턴 형성 방법을 설명하기 위한 평면도 및 단면도들이다.
도 26 내지 도 37은 일부 예시적인 실시예들에 따른 반도체 장치의 패턴 형성 방법을 설명하기 위한 평면도 및 단면도들이다.
도 38 내지 도 45는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도 및 단면도들이다.
도 46 내지 도 52는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도 및 단면도들이다.
도 53a 및 도 53b는 순수 라멜라 타입 공중합체를 사용하여 상분리된 결과를 나타내는 이미지들이다.
도 54a 및 도 54b는 블록 공중합체 및 호모폴리머들의 블렌드를 사용하여 상분리된 결과를 나타내는 이미지들이다.
이하, 첨부한 도면들을 참조하여, 본 발명의 바람직한 실시예를 보다 상세하게 설명하고자 한다.
그러나, 본 발명이 하기의 실시예들에 제한되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다.
첨부된 도면에 있어서, 기판, 층(막), 영역, 패턴들 또는 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. 본 발명에 있어서, 각 층(막), 영역, 전극, 패턴들 또는 구조물들이 기판, 각 층(막), 영역, 전극, 구조물들 또는 패턴들 "상에", "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 영역, 전극, 패턴들 또는 구조물들이 직접 기판, 각 층(막), 영역, 구조물 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 영역, 다른 전극, 다른 패턴들 또는 다른 구조물이 기판 상에 추가적으로 형성될 수 있다. 또한, 물질, 층(막), 영역, 전극, 패턴들 또는 구조물들이 "제1", "제2", "제3" 및/또는 "예비"로 언급되는 경우, 이러한 부재들을 한정하기 위한 것이 아니라 단지 각 물질, 층(막), 영역, 전극, 패턴들 또는 구조물들을 구분하기 위한 것이다. 따라서 "제1", "제2", "제3" 및/또는 "예비"는 각 층(막), 영역, 전극, 패턴들 또는 구조물들에 대하여 각기 선택적으로 또는 교환적으로 사용될 수 있다.
다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미로 해석될 수 있으며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
도 1 내지 도 17은 예시적인 실시예들에 따른 반도체 장치의 패턴 형성방법을 설명하기 위한 평면도 및 단면도들이다.
구체적으로, 도 1, 도 3, 도 5, 도 7, 도 9, 도 11 및 도 13은 상기 패턴 형성 방법을 설명하기 위한 평면도들이다. 도 2, 도 4, 도 6, 도 8, 도 10, 도 12 및 도 14 내지 도 17은 상기 평면도들에 표시된 I-I' 라인을 따라 절단한 단면도들이다.
도 1 및 도 2를 참조하면, 기판(100) 상에 식각 대상막(110), 중간막(120) 및 희생막 패턴(130)을 순차적으로 형성할 수 있다.
기판(100)은 예를 들면, 실리콘, 게르마늄, 실리콘-게르마늄, 또는 GaP, GaAs, GaSb 등과 같은 Ⅲ-Ⅴ족 화합물을 포함할 수 있다. 일부 실시예들에 따르면, 기판(100)은 실리콘-온-인슐레이터(Silicon-On-Insulator: SOI) 기판 또는 게르마늄-온-인슐레이터(Germanium-On-Insulator: GOI) 기판일 수 있다.
식각 대상막(110)은 일부가 식각되어 복수의 개구부들 또는 콘택 홀들을 포함하는 패턴으로 변환될 수 있다. 일부 실시예들에 있어서, 식각 대상막(110)은 피이오엑스(Plasma Enhanced Oxide: PEOX), 테오스(TetraEthyl OrthoSilicate: TEOS) 또는 에프옥스(Flowable Oxide: FOX) 계열 물질과 같은 실리콘 산화물 계열의 절연 물질을 포함하도록 형성될 수 있다. 일부 실시예들에 있어서, 식각 대상막(110)은 도핑된 폴리실리콘, 금속, 금속 질화물 또는 금속 실리사이드와 같은 도전 물질을 사용하여 형성될 수도 있다.
중간막(120)은 식각 대상막(110)에 대해 식각 선택비를 갖는 물질을 포함하도록 형성될 수 있다. 일부 실시예들에 있어서, 중간막(120)은 실리콘 질화물, 실리콘 산질화물, 실리콘 탄질화물 및/또는 실리콘 탄화물을 포함하도록 형성될 수 있다. 중간막(120)은 식각 대상막(110)에 대한 식각 정지막 또는 식각 마스크막으로 제공될 수 있다. 중간막(120)은 복수의 층들이 적층되어 형성될 수도 있다. 예를 들면, 중간막(120)은 반사 방지막을 포함할 수도 있다.
희생막 패턴(130)은 예를 들면, 중간막(120) 및 후속 공정에서 형성되는 가이드 막(140, 도 5 및 도 6 참조)에 대해 식각 선택비를 갖는 물질을 사용하여 형성될 수 있다. 일부 실시예들에 있어서, 희생막(130)은 실리콘 또는 탄소 계열의 스핀-온 하드 마스크(Spin-On Hardmask: SOH) 물질을 사용하여 형성될 수 있다.
예시적인 실시예들에 따르면, 희생막 패턴(130)은 내부에 복수의 가이딩 홀들(130a)을 포함할 수 있다. 가이딩 홀들(130a)은 후속 공정에 의해 가이딩 패턴들(145, 도 7 및 도 8 참조)이 형성되는 공간을 제공할 수 있다.
일부 실시예들에 있어서, 상기 SOH 물질을 사용하여 중간막(120) 상에 희생막을 형성하고, 상기 희생막에 대해 포토레지스트를 활용한 사진식각 공정을 수행하여 가이딩 홀들(130a)을 포함하는 희생막 패턴(130)을 형성할 수 있다.
일부 실시예들에 있어서, 희생막 패턴(130)은 포토레지스트 물질을 포함할 수도 있다. 예를 들면, 중간막(120) 상에 포토레지스트 막을 형성하고, 노광 및 현상 공정을 통해 상기 포토레지스트 막을 부분적으로 제거하여 가이딩 홀들(130a)이 형성된 희생막 패턴(130)을 형성할 수도 있다.
도 1에 도시된 바와 같이 가이딩 홀들(130a)이 서로 지그재그 형상으로 배열될 수 있다. 일부 실시예들에 있어서, 복수의 가이딩 홀들(130a)이 수평 방향으로 배열되어 가이딩 홀 행이 형성되고, 서로 다른 상기 가이딩 홀 행에 속한 가이딩 홀들(130a)이 서로 어긋나게 배치되도록 복수의 상기 가이딩 홀 행들이 수직 방향으로 배열될 수 있다.
식각 대상막(110), 중간막(120) 및 희생막 패턴(130)은 예를 들면, 물리 기상 증착(Physical Vapor Deposition: PVD) 공정, 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정, 원자층 증착(Atomic Layer Deposition: ALD) 공정, 스퍼터링(sputtering) 공정, 스핀 코팅(Spin Coating) 공정 등을 통해 형성될 수 있다.
도 3 및 도 4를 참조하면, 희생막 패턴(130)을 마스크로 사용하여 중간막(120)을 부분적으로 식각할 수 있다. 이에 따라, 가이딩 홀(130a)로부부터 길이가 연장된 확장 가이딩 홀(130b)이 형성될 수 있다.
일부 실시예들에 있어서, 확장 가이딩 홀(130b)을 통해 식각 대상막(110)의 상면이 노출될 수 있다. 일부 실시예들에 있어서, 식각 대상막(110) 및 중간막(120) 사이에 예를 들면, 실리콘 질화물을 포함하는 식각 저지막이 더 형성될 수 있다. 이 경우, 확장 가이딩 홀(130b)을 통해 상기 식각 저지막의 상면이 노출될 수 있다.
도 5 및 도 6을 참조하면, 희생막 패턴(130) 상에 확장 가이딩 홀들(130b)을 채우는 가이드 막(140)을 형성할 수 있다.
예시적인 실시예들에 따르면, 가이드 막(140)은 친수성 물질을 포함할 수 있다. 예를 들면, 가이드 막(140)은 ALD(atomic layer deposition) 산화물, 중온 산화물(Middle Temperature Oxide: MTO) 또는 고온 산화물(High Temperature Oxide: HTO) 등과 같은 산화물 계열 물질을 사용하여 형성될 수 있다. 일부 실시예들에 있어서, 가이드 막(140)은 실리콘 산화물, 실리콘 산질화물, 실리콘 질화물과 같은 무기 물질을 포함하도록 형성될 수 있다.
도 7 및 도 8을 참조하면, 가이드 막(140)으로부터 가이드 패턴들(145)을 형성하고, 희생막 패턴(130)을 제거할 수 있다.
예시적인 실시예들에 따르면, 가이드 막(140)의 상부를 화학 기계적 연마(Chemical Mechanical Polish: CMP) 공정을 통해 희생막 패턴(130)의 상면이 노출될 때까지 평탄화할 수 있다. 이에 따라, 확장 가이드 홀(130b) 마다 가이드 막(140)이 분리되어 가이드 패턴(145)이 형성될 수 있다.
이후, 예를 들면 애싱(ashing) 공정 및/또는 스트립(strip) 공정을 통해 희생막 패턴(130)은 제거될 수 있다. 이에 따라, 가이드 패턴(145)은 중간막(120)에 부분적으로 매립되어, 중간막(120) 상면으로부터 돌출될 수 있다. 또한, 가이드 패턴(145)은 가이딩 필라(pillar)로서 제공될 수 있다.
상술한 가이딩 홀들(130a)의 배열에 따라 복수의 가이드 패턴들(145)이 배열될 수 있다. 예를 들면, 상기 수평 방향을 따라 가이드 패턴 행이 형성되고, 가이드 패턴들(145)이 서로 지그재그 형태로 배열되도록 복수의 상기 가이드 패턴 행들이 상기 수직 방향을 따라 배열될 수 있다.
도 9 및 도 10을 참조하면, 중간막(120) 상에 돌출된 가이드 패턴들(145)의 표면을 따라 컨포멀하게 브러시(brush) 막(150)을 형성할 수 있다.
브러시 막(150)은 소수성 단위가 주 반복단위로서 포함되며, 친수성 단위가 부가적으로 포함된 브러시 폴리머를 사용하여 형성될 수 있다. 예시적인 실시예들에 따르면, 브러시 막(150)은 상기 브러시 폴리머 및 용매를 포함하는 브러시 폴리머 조성물을 사용하여 예를 들면, 스핀 코팅 공정 및 열경화 공정을 통해 형성될 수 있다.
상기 용매는 프로필렌 글리콜 모노메틸 에테르 아세테이트(Propylene Glycol Monomethyl Ether Acetate: PGMEA), 프로필렌 글리콜 모노메틸 에테르(Propylene Glycol Monomethyl Ether: PGME), 에틸 3-에톡시 프로피오네이트(Ethyl 3-ethoxy propionate: EEP), 에틸 락테이트(ethyl lactate: EL), 메틸 2-하이드록시-2-메틸 프로피오네이트(methyl 2-hydroxy-2-methyl propionate: HBM), 감마-부티로락톤(gamma-butyrolactone: GBL) 등과 같은 아세테이트 계열, 에테르 계열, 프로피오네이트 계열, 락톤 계열 등의 유기 용매를 포함할 수 있다,
상기 브러시 폴리머의 상기 소수성 단위는 스티렌(styrene) 단위일 수 있으며, 이에 따라 상기 브러시 폴리머는 폴리스티렌(polystyrene: PS) 구조를 가질 수 있다.
일부 예시적인 실시예들에 있어서, 상기 친수성 단위는 적어도 2개의 히드록실기를 포함하며, 상기 브러시 폴리머의 말단기로 포함될 수 있다. 하기에서는 상기 소수성 단위 및 적어도 2개의 히드록실기를 포함하는 친수성 단위 말단기를 포함하는 상기 브러시 폴리머를 제1 브러시 폴리머로 지칭한다.
예를 들면, 상기 친수성 단위는 디올(diol) 형태의 말단기일 수 있다. 이 경우, 상기 제1 브러시 폴리머는 PS-diOH 구조를 가질 수 있다.
일부 실시예들에 있어서, 상기 소수성 단위 및 상기 친수성 단위는 각각 하기의 화학식 1 및 화학식 2로 표시될 수 있다.
[화학식 1]
Figure pat00006
[화학식 2]
Figure pat00007
상기 화학식 1 및 화학식 2에서, R1 및 R4는 각각 독립적으로 수소, C1~C6의 알킬기, 또는 C1~C6의 할로겐화(halogenated) 알킬기를 표시할 수 있다. R2 및 R3은 각각 독립적으로 할로겐 원자, 산소 원자, C1~C20의 알킬기 또는 시클로알킬기, 또는 할로겐 치환기를 포함하거나 실리콘 원자로 부분 치환된 C1~C20의 알킬기 또는 시클로알킬기를 표시할 수 있다. n은 0 내지 5의 정수 중에서 선택될 수 있다. R5는 히드록실기 또는 수소를 표시할 수 있다.
상기 화학식 2에서, R5가 히드록실기인 경우 상기 친수성 단위는 트리올(triol) 형태의 말단기로 제공되며, 상기 제1 브러시 폴리머는 예를 들면, PS-triOH 구조를 가질 수 있다.
예를 들면, 상기 제1 브러시 폴리머는 하기의 화학식 3으로 표시될 수 있다.
[화학식 3]
Figure pat00008
일부 실시예들에 있어서, 상기 제1 브러시 폴리머는 약 1,000 내지 약 100,000의 평균 분자량을 가질 수 있으며, 이에 따라, 상기 화학식 3에서의 x의 정수 값이 조절될 수 있다. 상기 제1 브러시 폴리머의 분자량이 약 1,000 미만인 경우 균일한 두께의 브러시 막(150)이 형성되지 않을 수 있다. 상기 제1 브러시 폴리머의 분자량이 약 100,000을 초과하는 경우 브러시 막(150)의 두께가 지나치게 증가할 수 있다.
일부 실시예들에 있어서, 상기 제1 브러시 폴리머가 가이드 패턴(145)과 반응하여 안정화되는 반응 온도는 약 150oC 내지 약 250oC 범위일 수 있다. 일 실시예에 있어서, 상기 반응 온도는 약 150oC 내지 약 210oC 범위일 수 있다.
일부 예시적인 실시예들에 있어서, 상기 친수성 단위는 히드록실기를 포함하며, 상기 브러시 폴리머의 랜덤 반복단위로서 포함될 수 있다. 이 경우, 상기 브러시 폴리머는 상기 소수성 단위 및 상기 친수성 단위의 랜덤 공중합체(random copolymer)(예를 들면, 소수성 단위-r-친수성 단위) 구조를 가질 수 있다. 하기에서는 상기 친수성 단위가 상기 랜덤 반복단위로 포함된 브러시 폴리머를 제2 브러시 폴리머로 지칭한다.
일부 실시예들에 있어서, 상기 친수성 단위는 히드록시알킬 메타크릴레이트(methacrylate) 단위일 수 있다.
일부 실시예들에 있어서, 상기 소수성 단위는 상술한 화학식 1로 표시될 수 있으며, 상기 친수성 단위는 하기의 화학식 4로 표시될 수 있다.
[화학식 4]
Figure pat00009
상기 화학식 4에 있어서, R6은 수소, C1~C6의 알킬기, 또는 C1~C6의 할로겐화(halogenated) 알킬기를 표시할 수 있다. R7은 C1~C5의 알킬렌기를 표시할 수 있다.
일 실시예에 있어서, R7이 에틸렌기를 표시하는 경우 상기 친수성 단위는 히드록시에틸 메타크릴레이트(hydroxyethyl methacrylaye: HEMA) 단위이며, 상기 제2 브러시 폴리머는 PS-r-HEMA 구조를 가질 수 있다.
예를 들면, 상기 제2 브러시 폴리머는 하기의 화학식 5로 표시될 수 있다.
[화학식 5]
Figure pat00010
상기 화학식 5에 있어서, 좌측 단위 및 우측단위는 각각 상기 소수성 단위 및 상기 친수성 단위를 나타내며, a 및 b는 각각 상기 소수성 단위 및 상기 친수성 단위의 몰비를 표시할 수 있다.
일부 실시예들에 있어서, 상기 친수성 단위의 몰비는 전체 고분자의 약 0.1 몰% 내지 약 10 몰% 범위일 수 있다. 상기 친수성 단위의 몰비가 약 0.1 몰% 미만인 경우 상기 제2 브러시 폴리머의 가이드 패턴(145)과의 충분한 반응성이 확보되지 않을 수 있다. 상기 친수성 단위의 몰비가 약 10 몰%를 초과하는 경우, 상기 제2 브러시 폴리머의 소수성 성질이 손상될 수 있다.
일부 실시예들에 있어서, 상기 친수성 단위의 몰비는 전체 고분자의 약 1 몰% 내지 약 6 몰% 범위일 수 있다. 이 경우, a:b 는 약 0.99:0.01 내지 약 0.94:0.06 범위일 수 있다.
일부 실시예들에 있어서, 상기 제2 브러시 폴리머는 약 1,000 내지 약 200,000의 분자량을 가질 수 있다. 상기 제2 브러시 폴리머의 분자량이 약 1,000 미만인 경우 균일한 두께의 브러시막(150)이 형성되지 않을 수 있다. 상기 제2 브러시 폴리머의 분자량이 약 200,000을 초과하는 경우 브러시막(150)의 두께가 지나치게 증가할 수 있다.
일부 실시예들에 있어서, 예를 들면, 상기 제2 브러시 폴리머가 가이드 패턴(150)과 반응하여 안정화되는 반응 온도는 상기 제1 브러시 폴리머의 반응 온도보다 낮을 수 있다. 예를 들면, 상기 제1 브러시 폴리머의 반응 온도는 약 150oC 내지 약 180oC 범위일 수 있다.
일부 예시적인 실시예들에 있어서, 브러시 막(150)은 상기 제1 브러시 폴리머 및 상기 제2 브러시 폴리머의 블렌드(blend)를 사용하여 형성될 수 있다. 일부 실시예들에 있어서, 상기 제1 브러시 폴리머 및 상기 제2 브러시 폴리머의 혼합 비율은 약 1:9 내지 약 9:1 범위로 조절될 수 있다. 일부 실시예들에 있어서, 상기 제1 브러시 폴리머 및 상기 제2 브러시 폴리머의 혼합 비율은 약 3:7 내지 약 7:3 범위로 조절될 수 있다.
일부 예시적인 실시예들에 있어서, 브러시 막(150)은 상기 제1 브러시 폴리머 및 상기 제2 브러시 폴리머가 하나의 구조로 병합된 브러시 폴리머를 사용하여 형성될 수 있다. 예를 들면, 상기 브러시 폴리머는 주 반복단위인 소수성 단위로서 PS 단위를 포함하며, 말단기로서 적어도 2개의 히드록실기를 갖는 말단 친수성 단위를 포함하며, 히드록실기를 포함하는 랜덤 친수성 반복단위를 포함할 수 있다. 일 실시예에 있어서, 상기 브러시 폴리머는 PS 주쇄(backbone)에 디올 또는 트리올 말단기를 포함하며, 상기 PS 주쇄 사이에 히드록시알킬메타크릴레이트 단위가 랜덤하게 삽입된 구조를 가질 수 있다.
예시적인 실시예들에 따르면, 상술한 브러시 폴리머를 중간막(120) 및 가이드 패턴들(145) 상에 도포한 후, 가이드 패턴들(145) 표면과 미반응한 상기 브러시 폴리머 부분을, 예를 들면 상술한 유기 용매로 제거하여 브러시 막(150)을 형성할 수 있다. 일부 실시예들에 있어서, 브러시 막(150)은 약 2 nm 내지 약 20 nm의 두께로 형성될 수 있다.
일부 실시예들에 있어서, 미반응 브러시 폴리머 부분은 씬너(thinner) 조성물을 사용하여 제거할 수 있다.
도 11 및 도 12를 참조하면, 중간막(120) 상에 자기 정렬막(160)을 형성하여 브러시막(150) 형성된 가이드 패턴들(145)에 의해 자기 정렬 또는 자기 조립되는 블록들(161, 162)을 형성할 수 있다.
예시적인 실시예들에 따르면, 자기정렬 막(160)은 화학적 성질이 다른 두 고분자 유닛을 포함하는 블록 공중합체(block copolymer)를 사용하여 형성될 수 있다. 예를 들면, 상기 블록 공중합체는 제1 고분자 유닛 및 제2 고분자 유닛이 예를 들면, 음이온 중합 또는 양이온 중합에 의해 공중합되어 합성될 수 있다. 상기 제1 고분자 유닛은 상기 제2 고분자 유닛보다 강한 친수성을 가질 수 있다.
상기 제1 고분자 유닛의 예로서 폴리메틸메타크릴레이트(polymethylmethacrylate: PMMA), 폴리디메틸실록산(polydimethylsiloxane: PDMS), 폴리비닐피롤리돈(polyvinylpyrrolidone: PVP), 폴리에틸렌옥사이드(polyethyleneoxide: PEO), 폴리락티드(polylactide: PLA) 또는 폴리이미드(polyimide: PI)를 들 수 있다. 상기 제2 고분자 유닛의 예로서 폴리스티렌(PS)를 들 수 있다.
이에 따라, 상기 블록 공중합체는 PS-b-PMMA, PS-b-PDMS, PS-b-PVP, PS-b-PEO, PS-b-PLA 또는 PS-b-PI로 표시될 수 있다. 이들은 단독으로 혹은 2 이상이 조합되어 사용될 수 있다. 일 실시예에 있어서. 자기정렬 막(160)은 PS-b-PMMA를 사용하여 형성될 수 있다.
예를 들면, 자기정렬 막(160)은 유기 용매에 상기 블록 공중합체를 용해시킨 조성물을 제조하고, 상기 조성물을 예를 들면, 스핀 코팅 공정 또는 슬릿 코팅 공정을 통해 중간막(120) 상에 도포함으로써 형성될 수 있다.
일부 실시예들에 있어서, 도 12에 도시된 바와 같이 자기 정렬막(160)은 가이드 패턴들(145) 사이의 공간을 부분적으로 채우도록 형성될 수 있다. 이에 따라, 자기 정렬막(160)의 상면은 가이드 패턴(145)의 상면보다 낮게 위치할 수 있다. 이와는 달리, 자기 정렬막(160)은 가이드 패턴들(145)을 실질적으로 완전히 커버하도록 형성될 수도 있다.
상기 조성물 도포 후에 어닐링(annealing)과 같은 열 경화 공정을 추가로 수행할 수 있다. 상기 열 경화 공정에 의해 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛의 상분리가 유도될 수 있다. 이에 따라, 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛은 가이드 패턴(145) 및 브러시 막(150)에 의해 유발되는 화학적 및/또는 물리적 차이에 의해 서로 분리되어 자기 정렬 또는 자기 조립될 수 있다.
상기 제1 고분자 유닛은 소수성 외표면(예를 들면, PS 구조에 의해)을 갖는 브러시막(150)에 의해 가이드 패턴(145)과 물리적으로 이격되어 정렬될 수 있다. 예시적인 실시예들에 따르면, 상기 제1 고분자 유닛은 가이드 패턴(145) 주변으로 정렬되어 제1 블록들(161)을 형성할 수 있다.
상기 제2 고분자 유닛은 브러시 막(150)과 친화도를 가지며 정렬되어 제2 블록(163)을 형성할 수 있다. 제2 블록(163)은 가이드 패턴(145)의 측벽을 감싸는 브러시 막(150) 부분과 직접 접촉하며, 제1 블록들(161)의 측벽을 감싸는 구조를 가질 수 있다. 예시적인 실시예들에 따르면, 자기 정렬막(160) 중 제1 블록들(161)을 제외한 부분이 제2 블록(163)으로 정의될 수 있다.
예를 들면, 제1 블록(161) 및 제2 블록(163)은 각각 PMMA 및 PS를 포함할 수 있다.
복수의 제1 블록들(161)이 그리드(grid), 메쉬(mesh) 또는 벌집(honeycomb) 형태로 배열될 수 있다. 일부 예시적인 실시예들에 있어서, 복수의 제1 블록들(161)이 하나의 가이딩 패턴(145) 주위로 예를 들면 다각형 유닛을 형성하며, 복수의 다각형 유닛들이 반복 확장될 수 있다.
도 11에 도시된 바와 같이, 하나의 가이딩 패턴(145) 주위로 6개의 제1 블록들(161)이 배열되어 육각형 유닛이 형성될 수 있다. 이 경우, 하나의 제1 블록(161)은 3개의 가이딩 패턴들(145)에 의해 정의되는 삼각형의 중점 위치에 배열될 수 있다.
예시적인 실시예들에 따르면, 브러시 막(150)은 상술한 제1 브러시 폴리머 및 제2 브러시 폴리머 중 적어도 하나를 포함하는 브러시 폴리머를 사용하여 형성될 수 있다. 상기 브러시 폴리머는 상기 친수성 단위에 의해 가이드 패턴들(145)과 향상된 반응성을 가질 수 있다. 이에 따라, 브러시 막(150)의 내측면은 가이드 패턴들(145)의 표면과 강한 반응성으로 부착되며, 브러시 막(150)의 외측면은 예를 들면, PS 구조에 의해 실질적으로 소수성을 가질 수 있다.
브러시막(150)의 화학적, 기계적 특성이 상술한 바와 같이 안정하게 제공됨에 따라, 예를 들면 PMMA를 포함하는 제1 블록들(161)이 그리드 불량과 같은 정렬 불량 없이 균일한 유닛을 형성하며 배열될 수 있다.
도 13 및 도 14를 참조하면, 제1 블록들(161)을 선택적으로 제거하여 제1 홀들(170)을 형성할 수 있다.
예시적인 실시예들에 따르면, 제1 블록들(161)은 자외선 조사, 예를 들면 이소프로필 알코올(isopropyl alcohol)과 같은 친수성 용액을 활용한 린스(rinse) 공정, 반응성 이온 식각(Reactive Ion Etching: RIE) 공정, 산소를 이용한 플라즈마 식각 공정들을 통해 제거될 수 있다.
제1 블록(161)이 제거된 공간에는 제1 홀(170)이 형성될 수 있다. 복수의 제1 홀들(170)이 상술한 제1 블록들(161)의 배열에 따라 예를 들면, 육각형 유닛들이 반복되는 그리드 형태, 메쉬 형태 또는 벌집 형태로 배열될 수 있다.
제1 블록들(161)이 원하는 위치에 완전히 정렬되지 않는 경우, 일부 홀 형성 지점들이 개방되지 않는 낫-오픈(not-open) 불량이 발생할 수 있다. 그러나, 상술한 바와 같이 기계적, 화학적으로 안정한 브러시 막(150)에 의해 제1 블록들(161)의 정렬 불량이 방지되므로 이에 따라, 상기 낫-오픈 불량 역시 방지될 수 있다.
도 15를 참조하면, 제1 홀들(170)을 통해 브러시막(150) 및 중간막(120)을 예를 들면, 건식 식각 공정을 통해 부분적으로 식각할 수 있다. 이에 따라, 제1 홀들(170)은 중간막(120) 내부로 길이 또는 깊이가 확장될 수 있다. 일부 실시예들에 있어서, 확장된 제1 홀들(170)에 의해 식각 대상막(110) 상면이 노출될 수 있다.
도 16을 참조하면, 가이드 패턴들(145)을 제거하여 제2 홀들(172)을 형성할 수 있다.
예시적인 실시예들에 따르면, 예를 들면 애싱 공정 및/또는 스트립 공정을 통해 잔류하는 제2 블록(163) 및 브러시 막(150)을 제거하여 가이드 패턴들(145)을 노출시킬 수 있다. 이후, 불산 용액, 버퍼 산화물 식각액(Buffer Oxide Etchant: BOE)과 같은 용액을 사용하여 가이드 패턴들(145)을 제거할 수 있다. 이에 따라, 중간막(120) 내부에는 가이딩 패턴들(145)이 제거된 공간에 의해 정의되는 제2 홀들(172)이 추가적으로 형성될 수 있다.
도 17을 참조하면, 식각 대상막(110)에 상기 제1 및 제2 홀들(170, 172)을 전사할 수 있다.
예를 들면, 제1 및 제2 홀들(170, 172)이 내부에 형성된 중간막(120)을 식각 마스크로 사용하여 식각 대상막(110)을 부분적으로 제거할 수 있다. 이에 따라, 식각 대상막(110) 내에서는 제1 홀(170) 및 제2 홀(172)이 각각 전사된 제1 콘택 홀(112) 및 제2 콘택 홀(114)이 형성될 수 있다.
콘택 홀들(112, 114) 형성 후, 중간막(120)은 예를 들면, CMP 공정을 통해 제거할 수 있다.
상술한 바와 같이, 블록 공중합체를 사용한 DSA 방법을 통해 미세 피치, 미세 치수를 갖는 콘택 홀들을 원하는 지점에 형성할 수 있다.
도 1 내지 도 17에서는, 상기 제1 블록들이 방사상으로 확장되는 벌집 형태의 필라들로 정렬되는 DSA 공정이 예시적으로 설명되었으나, 반드시 이에 한정되는 것은 아니다. 일부 실시예들에 있어서, 라인 형태의 가이드 패턴들이 형성되고, 상기 가이드 패턴들의 표면 상에 상술한 예시적인 실시예들에 따른 브러시 막을 형성할 수 있다. 이후, 상기 브러시 막 상에 블록공중합체를 코팅하여 교대로 반복적으로 라인 형태로 자기 정렬되는 제1 블록들(예를 들면, PMMA 블록) 및 제2 블록들(예를 들면, PS 블록)을 형성할 수도 있다.
도 18 내지 도 21은 일부 예시적인 실시예들에 따른 반도체 장치의 패턴 형성 방법을 설명하기 위한 평면도 및 단면도들이다. 구체적으로, 도 18 및 도 20은 상기 패턴 형성 방법을 설명하기 위한 평면도들이다. 도 19 및 도 21은 각각 도 18 및 도 20의 I-I' 라인을 따라 절단한 단면도들이다.
예를 들면, 도 18 내지 도 21은 자기 정렬막에 포함된 제1 블록들의 배열의 변형예들을 설명하고 있다. 따라서, 도 1 내지 도 17을 참조로 설명한 바와 실질적으로 동일하거나 유사한 공정 및/또는 재료들에 대한 상세한 설명은 생략된다.
도 18 및 도 19를 참조하면, 자기 정렬막(160a)에 포함된 제1 블록들(161a)은 각각 실질적으로 인접하는 2개의 가이드 패턴들(145a)의 중점 위치에 배열될 수 있다. 이 경우, 도 18에 도시된 바와 같이, 하나의 가이드 패턴(145a) 주위로 6개의 제1 블록들(160a)이 배열되어 육각형 유닛을 형성할 수 있다. 제2 블록(163a)은 가이드 패턴들(145a) 및 제1 블록들(161a) 사이에 정렬되어 브러시 막(150a)과 접촉할 수 있다.
도 20 및 도 21을 참조하면, 자기 정렬막(160b)에 포함된 제1 블록들(161b)의 일부는 실질적으로 인접하는 2개의 가이드 패턴들(145b)의 중점 위치에 배열될 수 있다. 한편, 제1 블록들(161b)의 일부는 인접하는 4개의 가이드 패턴들(145b)에 의해 정의되는 사각형의 중점위치에 배열될 수 있다. 이에 따라, 하나의 가이드 패턴(145b) 주위로 8개의 제1 블록들(161b)이 배열될 수 있다. 제2 블록(163b)은 가이드 패턴들(145b) 및 제1 블록들(161b) 사이에 정렬되어 브러시 막(150b)과 접촉할 수 있다.
도 18 내지 도 21을 참조로 설명한 바와 같이, 상기 제1 블록들의 배열은 다양하게 변화될 수 있으며, 타겟 홀 형성 지점들의 그리드 디자인에 따라 가이드 패턴들의 배열을 변화시켜, 상기 제1 블록들의 배열을 조절할 수 있다.
도 22 내지 도 25는 예시적인 실시예들에 따른 반도체 장치의 패턴 형성 방법을 설명하기 위한 평면도 및 단면도들이다. 구체적으로, 도 22는 상기 패턴 형성 방법을 설명하기 위한 평면도이다, 도 23 내지 도 25는 도 22에 표시된 I-I' 라인을 따라 절단한 단면도들이다.
도 1 내지 도 17을 참조로 설명한 바와 실질적으로 동일하거나 유사한 공정 및/또는 재료들에 대한 상세한 설명은 생략된다.
도 22 및 도 23을 참조하면, 도 1 내지 도 8을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행할 수 있다.
예시적인 실시예들에 따르면, 기판(100) 상에 식각 대상막(110) 및 중간막(120)을 형성하고, 중간막(120)으로부터 돌출된 복수의 가이드 패턴들(145)을 형성할 수 있다. 이후, 중간막(120)의 상면 및 노출된 가이드 패턴들(145) 표면을 따라 컨포멀하게 브러시 막(151)을 형성할 수 있다.
일부 실시예들에 있어서, 브러시 막(151)은 예를 들면, PS 반복단위를 포함하는 폴리머를 사용하여 형성될 수 있다. 예를 들면, 상기 폴리머는 히드록실 말단기를 포함하며, PS-OH 구조를 가질 수 있다.
일부 실시예들에 있어서, 브러시 막(151)은 PS-r-PMMA와 같은 랜덤 공중합체를 사용하여 형성되며, 실질적으로 중성막으로 제공될 수도 있다.
일부 실시예들에 있어서, 브러시 막(151)은 도 9 및 도 10을 참조로 설명한 제1 브러시 폴리머 및/또는 제2 브러시 폴리머를 사용하여 형성될 수 있다. 이에 따라, 브러시 막(151)은 보다 강화된 반응성으로 가이드 패턴들(145)과 부착되어 안정적으로 소수성 특성을 부여할 수 있다.
도 22 및 도 23에 도시된 바와 같이, 브러시 막(151)이 표면에 형성된 가이드 패턴(145)의 직경은 "D1"으로 표시될 수 있다. 또한, 가이드 패턴들(145)의 피치는 "D2"로 표시될 수 있다.
도 24를 참조하면, 브러시 막(151) 상에 블록 공중합체를 포함하는 자기 정렬막(165)을 형성할 수 있다. 예시적인 실시예들에 따르면, 자기 정렬막(165)은 블록 공중합체를 포함하는 3원(ternary) 블렌드 조성물을 사용하여 형성될 수 있다.
상기 블록 공중합체는 상술한 바와 같이, 제1 고분자 유닛 및 제2 분자 유닛을 포함하며, 예를 들면 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛은 각각 PMMA 및 PS를 포함할 수 있다.
상기 호모폴리머는 상기 제1 고분자 유닛을 포함하는 제1 호모폴리머 및 상기 제2 고분자 유닛을 포함하는 제2 호모폴리머를 포함할 수 있다. 예를 들면, 상기 제1 호모폴리머 및 상기 제2 호모폴리머는 각각 PMMA 및 PS를 포함할 수 있다.
일부 예시적인 실시예들에 따르면, 상기 블록 공중합체는 라멜라(lamellar) 타입일 수 있다. 라멜라 타입의 블록 공중합체는 일반적인 DSA 방법에 의해 라인 패턴으로 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛이 분리되는 블록 공중합체를 지칭할 수 있다.
상기 라멜라 타입의 블록 공중합체에 있어서, 상기 제1 고분자 유닛(예를 들면, PMMA)이 차지하는 부피비는 약 35% 내지 약 65% 범위일 수 있다. 일부 실시예들에 있어서, 상기 제1 고분자 유닛의 부피비는 약 40% 내지 약 60% 범위일 수 있다.
한편, 블록 공중합체의 또 다른 타입으로서 실린더 타입 블록 공중합체를 들 수 있다. 실린더 타입의 블록 공중합체는 일반적인 DSA 방법에 의 상기 제1 고분자 유닛이 필라 형태로 분리되는 블록 공중합체를 지칭할 수 있다. 상기 실린더 타입의 블록 공중합체는 상기 제1 고분자 유닛(예를 들면, PMMA)의 비율이 상기 라멜라 타입에 비해 상대적으로 작을 수 있다. 예를 들면, 상기 실린더 타입의 블록 공중합체에 있어서, 상기 제1 고분자 유닛이 차지하는 부피비는 약 15% 내지 약 65%의 범위이며, 일부 실시예들에 있어서, 약 15% 내지 약 40%일 수 있다.
예시적인 실시예들에 따르면, 상기 가이드 패턴(145)의 직경(D1) 및 가이드 패턴들(145)의 피치(D2)를 상기 블록 공중합체의 유닛 길이 대비 소정의 범위로 조절할 수 있다. 상기 블록 공중합체의 유닛 길이는 제2 고분자 유닛-제1 고분자 유닛-제2 고분자 유닛(예를 들면, PS-PMMA-PS)을 하나의 유닛으로 측정한 길이일 수 있다.
일부 실시예들에 있어서, 가이드 패턴(145)의 직경(D1)의 상기 유닛 길이 대비 비율은 약 0.5 내지 약 1.5의 범위일 수 있다. 또한, 가이드 패턴들(145)의 피치(D2)의 상기 유닛 길이 대비 비율은 약 1.5 내지 약 3의 범위일 수 있다.
상기 제1 호모폴리머 및 상기 제2 호모 폴리머의 비율은 상기 블록 공중합체에 포함된 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛의 비율과 실질적으로 동일하거나 유사할 수 있다. 예를 들면, 상기 라멜라 타입의 블록 공중합체를 사용하며 상기 제1 고분자 유닛의 부피비가 약 45%인 경우, 상기 제1 호모폴리머 및 상기 제2 호모폴리머의 부피비는 약 45:55로 조절될 수 있다.
일부 실시예들에 있어서, 상기 제1 호모폴리머 및 상기 제2 호모폴리머는 약 1,000 내지 약 10,000 범위의 상대적으로 작은 분자량을 가질 수 있다.
일부 실시예들에 있어서, 상기 3원 블렌드 대신 2원 블렌드를 사용할 수도 있다. 상기 2원 블렌드는 상술한 블록 공중합체 및 저분자량의 추가 블록 공중합체를 포함할 수 있다.
상기 추가 블록 공중합체는 상술한 제1 고분자 유닛 및 제2 고분자 유닛을 포함할 수 있다. 상기 추가 블록 공중합체에 포함된 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛 각각의 분자량은 약 1,000 내지 약 10,000 범위일 수 있다. 상기 추가 블록 공중합체는 상기 제1 및 제2 호모폴리머들과 실질적으로 동일한 성능 또는 작용을 제공할 수 있다.
도 25를 참조하면, 자기 정렬막(165)을 열 경화시켜 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛을 상분리시킬 수 있다. 이에 따라, 예를 들면 각각 PMMA 및 PS를 포함하는 제1 블록들(166) 및 제2 블록(168)을 형성할 수 있다.
제1 블록들(166) 및 제2 블록(168)은 예를 들면, 도 11을 참조로 설명한 배열과 실질적으로 동일한 배열로 자기 정렬 또는 자기 조립될 수 있다.
예를 들면 PMMA를 포함하는 제1 블록들(166)이 일부 미정렬되어 육각형 유닛이 완전히 형성되지 않는 경우, 상술한 낫-오픈 불량이 야기될 수 있다. 예시적인 실시예들에 따르면, 상기 낫-오픈 불량을 방지하기 위해 PMMA 비율이 실린더 타입 블록 공중합체에 비해 상대적으로 높은 라멜라 타입 블록 공중합체를 사용할 수 있다.
가이드 패턴(145)의 직경(D1) 및/또는 피치(D2)를 블록 공중합체의 유닛 길이 대비 소정의 비율로 조절함으로써, 상기 라멜라 타입 블록 공중합체를 사용하더라도, 실질적으로 실린더 또는 필라 형태의 제1 블록들(166)의 형성을 유도할 수 있다.
또한, 상기 3원 블렌드 내에 포함된 상기 제1 호모폴리머 및 상기 제2 호모폴리머는 상기 라멜라 타입 블록 공중합체에 포함된 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛의 정렬 시드(seed)로 작용할 수 있다.
따라서, 상대적으로 증가된 양의 PMMA, 가이드 패턴(145)의 사이즈, 및/또는 제1 및 제2 호모폴리머의 상호 작용을 통해 원하는 배열 구조의 제1 블록들(166)을 실질적으로 불량 없이 형성할 수 있다.
일부 실시예들에 있어서, 브러시 막(151)으로서 도 9 및 도 10을 참조로 설명한 예시적인 실시예들에 따른 브러시 막(150)을 사용함으로써 제1 블록들(166)의 정렬 신뢰성을 보다 향상시킬 수 있다.
일부 실시예들에 있어서, 자기 정렬막(165) 형성을 위해 상기 실린더 타입 블록 공중합체를 사용할 수도 있다. 이 경우, 가이드 패턴(145)의 사이즈, 제1 및 제2 호모폴리머의 상호 작용 및/또는 브러시 막(151)의 조성에 의해 제1 블록들(166)의 정렬 신뢰성이 향상될 수 있다.
이후, 도 13 내지 도 17을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행할 수 있다. 따라서, 식각 대상막(110) 내에 제1 블록들(166) 및/또는 가이드 패턴들(145)이 전사된 콘택 홀들이 형성될 수 있다.
도 26 내지 도 37은 일부 예시적인 실시예들에 따른 반도체 장치의 패턴 형성 방법을 설명하기 위한 평면도 및 단면도들이다. 구체적으로, 도 26, 도 28, 도 30, 도 32, 도 34 및 도 36 상기 패턴 형성 방법을 설명하기 위한 평면도들이다. 도 27, 도 29, 도 31, 도 33, 도 35 및 도 37은 상기 평면도들에 표시된 I-I' 라인을 따라 절단한 단면도들이다.
도 1 및 도 17, 또는 도 22 내지 도 25를 참조로 설명한 공정 및/또는 재료들에 대한 상세한 설명은 생략된다.
도 26 및 도 27을 참조하면, 도 1 및 도 2를 참조로 설명한 바와 같이, 기판(100) 상에 식각 대상막(110) 및 중간막(125)을 형성할 수 있다. 중간막(125) 상에는 희생막 패턴들(200)을 형성할 수 있다.
희생막 패턴들(200)은 각각 기둥 또는 필라 형상을 가지며, 서로 물리적으로 이격될 수 있다. 희생막 패턴(200)은 포토레지스트 물질로부터 형성될 수 있다.
일부 실시예들에 있어서, 네거티브(negative) 타입의 포토레지스트 물질을 사용하여 중간막(125) 상에 희생막을 형성할 수 있다. 이후, 상기 희생막 상부에 복수의 투과부들을 포함하는 노광 마스크를 배치하고, 상기 투과부들을 통해 상기 희생막 상에 노광 공정을 수행할 수 있다. 이후, 현상 공정을 통해 상기 희생막의 미노광 부분을 제거하여 희생막 패턴들(200)을 형성할 수 있다. 상기 노광 공정은 예를 들면, 자외선 혹은 전자빔 광원을 통해 수행될 수 있다. 중간막(125)은 예를 들면, 반사방지막으로 제공될 수 있다.
희생막 패턴들(200) 도 1 및 도 2에 도시된 가이딩 홀들(130a)의 배열과 실질적으로 동일하거나 유사한 배열로 형성될 수 있다.
도 28 및 도 29를 참조하면, 희생막 패턴들(200)의 각 측벽 상에 가이드 패턴들(210)을 형성할 수 있다.
예시적인 실시예들에 따르면, 중간막(125)의 상면 및 희생막 패턴들(200)의 표면들을 따라 컨포멀하게 가이드 막을 형성할 수 있다. 상기 가이드 막의 상부(예를 들면, 희생막 패턴들(200)의 상면들 상에 형성된 부분) 및 저부(예를 들면, 중간막(125)의 상기 상면 상에 형성된 부분)를 에치-백 공정을 통해 제거할 수 있다. 이에 따라, 희생막 패턴들(200)의 상기 측벽 들 상에 잔류하는 상기 가이드 막 부분들로부터 가이드 패턴들(210)이 형성될 수 있다.
예시적인 실시예들에 따르면, 가이드 패턴들(210)은 각각 희생막 패턴(200)의 상기 측벽을 감싸는 링 형상을 가지며, 서로 물리적으로 이격될 수 있다.
상기 가이드 막은 예를 들면, ALD 산화물과 같은 스텝 커버리지(step coverage) 및/또는 컨포멀 특성이 우수한 물질을 사용하여 형성될 수 있다.
도 30 및 도 31을 참조하면, 희생막 패턴들(200)을 제거할 수 있다. 예를 들면, 희생막 패턴들(200)은 상기 포토레지스트 물질에 대한 용해도를 갖는 씬너 조성물을 사용하여 제거될 수 있다. 일 실시예에 있어서, 희생막 패턴들(200)은 애싱(ashing) 및/또는 스트립(strip) 공정을 통해 제거될 수도 있다.
희생막 패턴들(200)이 제거됨에 따라, 중간막(125) 상에는 각각 예비 개구부(220)를 포함하는 링 형상을 갖는 가이드 패턴들(210)이 잔류할 수 있다. 예를 들면, 예비 개구부(220)를 통해 중간막(125)의 상기 상면이 노출될 수 있다.
도 32 및 도 33을 참조하면, 중간막(125)의 상기 상면 및 가이드 패턴들(210)의 표면을 따라 컨포멀하게 브러시 막(230)을 형성할 수 있다.
예시적인 실시예들에 따르면, 브러시 막(230)은 도 9 및 도 10을 참조로 설명한 바와 같이 상술한 제1 브러시 폴리머 및/또는 제2 브러시 폴리머를 사용하여 형성될 수 있다. 이에 따라, 브러시 막(230)은 보다 강화된 반응성으로 가이드 패턴들(210)과 부착되어 안정적으로 소수성 특성을 부여할 수 있다.
일부 실시예들에 있어서, 브러시 막(210)은 예를 들면, PS 반복단위를 포함하는 폴리머를 사용하여 형성될 수 있다. 예를 들면, 상기 폴리머는 히드록실 말단기를 포함하며, PS-OH 구조를 가질 수 있다.
일부 실시예들에 있어서, 브러시 막(210)은 PS-r-PMMA와 같은 랜덤 공중합체를 사용하여 형성되며, 실질적으로 중성막으로 제공될 수도 있다.
가이드 패턴(210)의 표면 상에 브러시 막(210)이 형성됨에 따라, 예비 개구부(220) 보다 직경이 감소한 개구부(225)가 정의될 수 있다. 도 32 및 도 33에 도시된 바와 같이, 개구부(225)의 직경은 "D3"으로 표시되며, 가이드 패턴들(210)의 피치는 "D4"로 표시될 수 있다.
도 34 및 도 35를 참조하면, PS-b-PMMA와 같은 블록 공중합체를 사용하여 브러시 막(230) 상에 자기 정렬막(255)을 형성할 수 있다.
예시적인 실시예들에 따르면, PMMA와 같은 제1 고분자 유닛은 개구부(225)의 중앙부 및 가이드 패턴(210)의 주위로 자기 정렬 또는 조립되어 제1 블록들(245)이 형성될 수 있다. PS와 같은 제2 고분자 유닛은 개구부(225)의 주변부 및 가이드 패턴(210)의 외부에 자기 정렬 또는 자기 조립되어 제2 블록들(255)이 형성될 수 있다.
제1 블록들(245)은 개구부(225)의 상기 중앙부에 정렬된 제1 내부 블록(240a) 및 가이드 패턴들(210) 주변에서 벌집 배열을 형성하는 제1 외부 블록(240b)으로 구분될 수 있다.
제2 블록들(255)은 개구부(225)의 상기 주변부에 정렬되어 제1 내부 블록(240a)을 감싸는 제2 내부 블록(250a), 및 가이드 패턴들(210)의 외부에서 제1 외부 블록들(240b)을 감싸는 제2 외부 블록(250b)으로 구분될 수 있다. 제2 블록들(255)은 브러시 막(230)의 측면과 직접 접촉할 수 있다.
상술한 바와 같이, 브러시 막(230)이 도 9 및 도 10을 참조로 설명한 제1 브러시 폴리머 및/또는 제2 브러시 폴리머를 사용하여 형성된 경우, 보다 기계적, 화학적으로 안정적인 소수성 특성이 부여될 수 있다. 따라서, 예를 들면 제1 블록들(245)의 정렬 불량 없이 원하는 그리드 형태 또는 벌집 형태로 제1 블록들(245)이 배열될 수 있다.
일부 예시적인 실시예들에 있어서, 자기 정렬막(255) 형성을 위해 도 24를 참조로 설명한 바와 같이, 블록 공중합체와 호모폴리머의 3원 블렌드를 사용할 수도 있다. 일부 실시예들에 있어서, 상기 블록 공중합체로서 라멜라 타입 블록 공중합체를 사용하고, 개구부(225)의 직경(D3) 및 가이드 패턴들(210)의 피치(D4)를 조절하여 필라 형태로 정렬되는 제1 블록들(245) 형성을 유도할 수 있다.
예를 들면, 개구부(225)의 직경(D3)의 상기 라멜라 타입 블록 공중합체의 유닛 길이 대비 비율은 약 0.5 내지 약 1.5 범위일 수 있다. 또한, 가이드 패턴들(210)의 피치(D4)의 상기 유닛 길이 대비 비율은 약 1.5 내지 약 3의 범위일 수 있다.
일부 실시예들에 있어서, 상기 3원 블렌드 대신 상술한 블록 공중합체 및 저분자량의 추가 블록 공중합체를 포함하는 2원 블렌드를 사용할 수도 있다.
도 36 및 도 37을 참조하면, 예를 들면 도 13 및 도 14를 참조로 설명한 공정과 실질적으로 동일하거나 유사한 공정을 통해 제1 블록들(245)을 제거할 수 있다.
제1 내부 블록(240a)이 제거된 공간에는 제1 홀(260)이 형성되고, 제1 외부 블록(240b)이 제거된 공간에는 제2 홀(265)이 형성될 수 있다.
이후, 도 15 내지 도 17을 참조로 설명한 공정들과 실질적으로 동일하거나 유사한 공정들을 수행할 수 있다. 이에 따라, 제1 홀들(260) 및 제2 홀들(265)을 식각 대상막(110) 내부로 전사하여 콘택 홀들을 형성할 수 있다.
상술한 예시적인 실시예들에 따르면, 링 형태의 가이드 패턴을 사용하는 경우에도, 예시적인 실시예들에 따른 브러시 막 및/또는 자기 정렬막의 재료, 조성을 활용하여, 예를 들면 PMMA를 상기 가이드 패턴의 내부 및 주변부에 정렬 불량 없이 원하는 그리드 형태로 배열되도록 유도할 수 있다.
도 38 내지 도 45는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도 및 단면도들이다. 구체적으로, 도 38, 도 40 및 도 42는 상기 반도체 장치의 제조 방법을 설명하기 위한 평면도들이다. 도 39, 도 41, 도 43, 도 44 및 도 45는 도 38, 도 40 및 도 42에 표시된 I-I' 라인 및 II-II'라인을 따라 절단한 서브 단면도들을 포함하고 있다.
도 38 내지 도 45에 있어서, 기판 상면에 평행하며 서로 수직한 두 방향을 제1 방향 및 제2 방향으로 정의한다.
예를 들면, 도 38 내지 도 45는 디램(Dynamic Random Access Memory: DRAM) 장치의 제조 방법을 예시적으로 도시하고 있다. 한편, 도 1 내지 도 17, 도 22 내지 도 25, 및/또는 도 26 내지 도 37을 참조로 설명한 바와 실질적으로 동일하거나 유사한 공정 및/또는 재료들에 대한 상세한 설명은 생략된다.
도 38 및 도 39를 참조하면, 기판(300) 상부에 소자 분리막(302)에 의해 한정되는 액티브 패턴들(305)을 형성할 수 있다.
예를 들면, 소자 분리막(302) 및 액티브 패턴(305)은 얕은 트렌치 소자 분리(Shallow trench Isolation: STI) 공정을 통해 형성될 수 있다. 예를 들면, 이방성 식각 공정을 통해 기판(300) 상부를 제거하여 소자 분리 트렌치를 형성할 수 있다. 이후, 상기 소자 분리 트렌치를 채우며 예를 들면, 실리콘 산화물을 포함하는 절연막을 기판(300) 상에 형성할 수 있다. 이어서 상기 절연막 상부를 액티브 패턴(305)의 상면이 노출될 때까지 예를 들면, CMP 공정을 통해 평탄화하여 소자 분리막(302)을 형성할 수 있다.
소자 분리막(302)이 형성됨에 따라, 소자 분리막(302)에 의해 한정되어 서로 이격된 복수의 액티브 패턴들(305)이 형성될 수 있다. 도 38에 도시된 바와 같이, 각 액티브 패턴(305)은 상기 제1 방향 또는 상기 제2 방향에 소정의 각도로 경사진 사선 방향으로 연장될 수 있다. 또한, 복수의 액티브 패턴들(305)이 상기 제1 및 제2 방향을 따라 배열될 수 있다.
일부 실시예들에 있어서, 소자 분리막(302)을 형성하기 전에 상기 소자 분리 트렌치의 내벽을 따라 예를 들면, 실리콘 질화물을 포함하는 라이너막을 더 형성할 수 있다.
도 40 및 도 41을 참조하면, 액티브 패턴들(305) 및 소자 분리막(302)의 상부들을 관통하며 연장하는 게이트 구조물들(328)을 형성할 수 있다.
예를 들면, 상기 제1 방향을 따라 액티브 패턴들(305) 및 소자 분리막(302)의 상기 상부들을 관통하여 연장하는 게이트 트렌치(309)를 형성할 수 있다. 복수의 게이트 트렌치들(309)이 상기 제2 방향을 따라 형성될 수 있다. 일부 실시예들에 있어서, 하나의 액티브 패턴(302)에 2 개의 게이트 트렌치들(309)이 형성될 수 있다.
게이트 트렌치(309)의 내벽 상에 게이트 절연막을 형성하고, 상기 게이트 절연막 상에 게이트 트렌치들(309)을 채우는 게이트 도전막을 형성할 수 있다. 이후, CMP 공정 및/또는 에치-백 공정을 통해 상기 게이트 도전막 및 게이트 절연막의 상부들을 제거할 수 있다. 이에 따라, 각 게이트 트렌치(309)의 저부를 채우는 게이트 절연막 패턴(322) 및 게이트 전극(324)이 형성될 수 있다.
이후, 게이트 절연막 패턴(322) 및 게이트 전극(324) 상에 게이트 트렌치들(309) 나머지 부분을 채우는 게이트 마스크막을 형성하고, 상기 게이트 마스크막의 상부를 CMP 공정을 통해 평탄화하여, 각 게이트 트렌치(309)의 상부를 채우는 게이트 마스크(326)를 형성할 수 있다.
이에 따라, 각 게이트 트렌치(309) 내부에는 게이트 절연막 패턴(322), 게이트 전극(324) 및 게이트 마스크(326)가 적층된 게이트 구조물(328)이 형성될 수 있다.
상술한 게이트 트렌치(309) 배열 형태에 따라, 게이트 구조물(326)은 상기 제1 방향으로 연장되며, 상기 제2 방향을 따라 복수로 형성될 수 있다.
상기 게이트 절연막은 실리콘 산화물 또는 금속 산화물을 포함하도록 형성될 수 있다. 상기 게이트 도전막은 금속, 금속 질화물, 금속 실리사이드 및/또는 도핑된 폴리실리콘을 포함하도록 형성될 수 있다. 상기 게이트 마스크막은 실리콘 질화물을 포함하도록 형성될 수 있다.
도 41에 도시된 바와 같이, 예를 들면 소자 분리막(302) 상부를 에치-백 공정을 통해 일부 제거하여 액티브 패턴들(305)의 상부를 노출시킬 수 있다. 노출된 액티브 패턴들(305)의 상기 상부에 이온 주입 공정을 통해 불순물 영역(301, 303)을 형성할 수 있다. 이에 따라, 예를 들면 게이트 구조물(328) 및 불순물 영역들(301, 303)을 포함하는 BCAT(Buried Cell Array Transistor) 구조가 정의될 수 있다.
예를 들면, 2 개의 게이트 구조물들(328) 사이의 액티브 패턴(305) 부분에 제1 불순물 영역(301)이 형성되며, 액티브 패턴(305)의 주변부에 제2 불순물 영역(303)이 형성될 수 있다.
이어서, 액티브 패턴(305) 및 소자 분리막(302)을 커버하는 캡핑막(330)을 형성하고, 캡핑막(330) 상에 제1 층간 절연막(335)을 형성할 수 있다. 예를 들면, 캡핑막(330) 및 제1 층간 절연막(335)은 각각 실리콘 질화물 및 실리콘 산화물을 사용하여 형성될 수 있다. 캡핑막(330)은 후속 식각 공정들에 있어서, 실질적으로 식각 저지막으로 기능할 수 있다.
도 42 및 도 43을 참조하면, 상기 제2 방향을 따라 연장하며 복수의 제1 불순물 영역들(301)과 전기적으로 연결되는 도전라인 구조물(355)을 형성할 수 있다. 복수의 도전라인 구조물들(355)이 상기 제1 방향을 따라 형성될 수 있다.
예를 들면, 제1 층간 절연막(335) 및 캡핑막(330)을 순차적으로, 부분적으로 식각하여 제1 불순물 영역들(301)을 노출시키는 그루브(groove)(337)를 형성할 수 있다. 그루브(337)는 상기 제2 방향을 따라 연장하며, 상기 제1 방향을 따라 복수로 형성될 수 있다.
제1 층간 절연막(335) 상에 그루브(337)를 채우는 제1 도전막, 배리어 도전막 및 제2 도전막을 순차적으로 형성하고, 상기 제2 도전막 상에는 마스크 패턴(350)을 형성할 수 있다.
마스크 패턴(350)을 식각 마스크로 사용하여 상기 제2 도전막, 상기 배리어 도전막 및 상기 제1 도전막을 순차적으로 식각할 수 있다. 이에 따라, 제1 불순물 영역(301) 상에 순차적으로 적층되는 제1 도전막 패턴(342), 배리어 도전막 패턴(346) 및 제2 도전막 패턴(348)이 형성될 수 있다.
상술한 공정에 의해, 제1 도전막 패턴(342), 배리어 도전막 패턴(346), 제2 도전막 패턴(348) 및 마스크 패턴(350)을 포함하며, 제1 불순물 영역(301) 상에서 상기 제2 방향을 따라 연장하는 도전라인 구조물(355)이 형성될 수 있다. 예시적인 실시예들에 따르면, 도전라인 구조물(355)는 비트 라인으로 제공될 수 있다. 일부 실시예들에 있어서, 도전라인 구조물(355)은 그루브(337) 보다 작은 폭을 가질 수 있다.
예를 들면, 상기 제1 도전막은 도핑된 폴리실리콘을 사용하여 형성될 수 있으며, 상기 배리어 도전막은 금속 질화물 또는 금속 실리사이드 질화물을 사용하여 형성되며, 상기 제2 도전막은 금속을 사용하여 형성될 수 있다. 마스크 패턴(350)은 실리콘 질화물을 포함할 수 있다.
도 43에 도시된 바와 같이, 도전라인 구조물(355)의 측벽 상에 스페이서(357)를 형성할 수 있다. 예를 들면, 실리콘 질화물을 사용하여 제1 층간 절연막(335) 상에 도전라인 구조물(355)을 덮는 스페이서 막을 형성하고, 상기 스페이서 막을 이방성 식각하여 스페이서(357)를 형성할 수 있다.
이어서, 제1 층간 절연막(335) 상에 도전라인 구조물(355)을 덮는 제2 층간 절연막(360)을 형성할 수 있다. 제2 층간 절연막(360)은 그루브(337)의 나머지 부분을 채울 수 있다. 일부 실시예들에 있어서, CMP 공정을 통해 제2 층간 절연막(360)의 상부를 평탄화하여 마스크 패턴(350) 상면을 노출시킬 수 있다. 제2 층간 절연막(360)은 제1 층간 절연막(335)과 실질적으로 동일하거나 유사한 실리콘 산화물을 사용하여 형성될 수 있다
설명의 편의를 위해, 도 42에서 제1 층간 절연막(335) 및 캡핑막(330)의 도시는 생략되었다.
도 44를 참조하면, 제2 층간 절연막(360), 제1 층간 절연막(335) 및 캡핑막(330)을 관통하여 제2 불순물 영역(303)을 노출시키는 콘택 홀(370)을 형성할 수 있다. 콘택 홀(370)은 도 42에 도시된 홀 형성 지점(358) 마다 대응하도록 형성될 수 있다.
예시적인 실시예들에 따르면, 콘택 홀들(370)은 제2 층간 절연막(360)을 식각 대상막으로 사용하여, 도 1 내지 도 17, 도 22 내지 도 25, 및/또는 도 26 내지 도 37을 참조로 설명한 패턴 형성 방법을 통해 형성될 수 있다.
예를 들면, 제2 층간 절연막(360) 및 마스크 패턴(350) 상에 중간막을 형성하고, 상기 중간막 상에 가이드 패턴을 형성할 수 있다. 상기 가이드 패턴 표면 상에 브러시 막을 형성한 후, 블록 공중합체를 포함하는 자기 정렬막을 형성할 수 있다. 상기 자기 정렬막에 포함된 예를 들면, PMMA가 제1 블록들로 정렬될 수 있다. 상기 제1 블록은 홀 형성 지점(358)에 대응되는 위치에 정렬될 수 있다.
상술한 바와 같이, 상기 브러시 막은 도 9 및 도 10을 참조로 설명한 제1 브러시 폴리머 및/또는 제2 브러시 폴리머를 사용하여 형성될 수 있다. 이에 따라, 상기 브러시 막은 보다 강화된 반응성으로 상기 가이드 패턴들과 부착되어 안정적으로 소수성 특성을 부여할 수 있다.
상기 자기 정렬막은 도 24를 참조로 설명한 바와 같이, 블록 공중합체와 호모폴리머의 3원 블렌드를 사용하여 형성될 수 있다. 일부 실시예들에 있어서, 상기 블록 공중합체로서 라멜라 타입 블록 공중합체를 사용하고,상기 가이드 패턴의 직경 및/또는 피치를 조절하여 필라 형태로 정렬되는 상기 제1 블록들 형성을 유도할 수 있다.
이후, 상기 제1 블록들을 제거하여 홀들을 형성하고, 상기 홀들을 제2 층간 절연막(360) 내로 전사하여 콘택 홀들(370)을 형성할 수 있다.
도 45를 참조하면, 콘택 홀들(370) 내부에 도전 콘택(375)을 형성할 수 있다. 도전 콘택(375) 상에는 커패시터(390)를 형성할 수 있다. 이 경우, 도전 콘택(375)은 커패시터 콘택으로 기능할 수 있다.
도전 콘택(375)은 구리 또는 텅스텐과 같은 금속 물질을 콘택 홀(370) 내에 충진하여 형성될 수 있다.
예를 들면, 마스크 패턴(350), 제2 층간 절연막(360) 및 도전 콘택(375) 상에 식각 저지막(도시되지 않음) 및 몰드막(도시되지 않음)을 형성하고, 상기 몰드막 및 상기 식각 저지막의 일부를 제거하여 도전 콘택(375)의 상면을 노출시키는 개구부(도시되지 않음)를 형성할 수 있다.
상기 개구부의 내벽 및 상기 몰드막의 상면을 따라 하부 전극막을 형성할 수 있다. 상기 하부 전극막 상에 희생막(도시되지 않음)을 형성한 후, 상기 몰드막의 상면이 노출되도록 상기 희생막 및 하부 전극막의 상부를 평탄화할 수 있다. 이후, 상기 희생막 및 상기 몰드막을 제거함으로써, 하부 전극(380)을 형성할 수 있다.
상기 식각 저지막 및 하부 전극(380)의 표면을 따라 유전막(385)을 형성하고, 유전막(385) 상에 상부 전극(387)을 형성하여 커패시터(390)를 형성할 수 있다. 유전막(385)은 실리콘 산화물 또는 고유전율의 금속 산화물을 포함하도록 형성될 수 있다. 하부 전극(380) 및 상부 전극(387)은 금속 혹은 금속 질화물을 포함하도록 형성될 수 있다.
도 46 내지 도 52는 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도 및 단면도들이다. 구체적으로, 도 46, 도 48 및 도 50은 상기 제조 방법을 설명하기 위한 평면도들이다. 도 47, 도 49, 도 51 및 도 52는 도 46, 도 48 및 도 50에 표시된 III-III' 라인을 따라 절단된 단면도들이다.
예를 들면, 도 46 내지 도 52은 기판에 대해 수직한 방향으로 연장하는 채널을 포함하는 수직형 메모리 장치의 제조 방법을 도시하고 있다. 도 1 내지 도 17, 도 22 내지 도 25, 및/또는 도 26 내지 도 37을 참조로 설명한 바와 실질적으로 동일하거나 유사한 공정 및/또는 재료들에 대한 상세한 설명은 생략된다.
한편, 도 46 내지 도 52에서 기판 상면에 실질적으로 수직한 방향을 제1 방향, 상기 기판 상면에 평행하면서 실질적으로 서로 수직한 두 방향을 각각 제2 방향 및 제3 방향으로 정의한다. 도면상에 화살표로 표시된 방향과 이의 반대 방향은 동일 방향으로 설명한다.
도 46 및 도 47을 참조하면, 기판(400) 상에 몰드 구조물을 형성하고, 상기 몰드 구조물을 관통하는 복수의 채널 홀들(410)을 형성할 수 있다. 채널 홀(410)은 상기 제1 방향을 따라 연장하며 기판(400)의 상면을 노출시킬 수 있다.
상기 몰드 구조물은 기판(400) 상에 층간 절연막들(402) 및 희생막들을(404)을 교대로 반복 적층하여 형성될 수 있다. 층간 절연막(402) 및 희생막(404)은 예를 들면, 각각 실리콘 산화물 및 실리콘 질화물을 포함할 수 있다.
일부 예시적인 실시예들에 따르면, 채널 홀들(410)은 상기 몰드 구조물을 식각 대상막으로 사용하여 도 1 내지 도 17, 도 22 내지 도 25, 및/또는 도 26 내지 도 37을 참조로 설명한 패턴 형성 방법을 통해 형성될 수 있다.
예를 들면, 최상층의 층간 절연막(402) 상에 중간막을 형성하고, 상기 중간막 상에 가이드 패턴을 형성할 수 있다. 상기 가이드 패턴 표면 상에 브러시 막을 형성한 후, 블록 공중합체를 포함하는 자기 정렬막을 형성할 수 있다. 상기 자기 정렬막에 포함된 예를 들면, PMMA가 제1 블록들로 정렬될 수 있다.
상술한 바와 같이, 상기 브러시 막은 도 9 및 도 10을 참조로 설명한 제1 브러시 폴리머 및/또는 제2 브러시 폴리머를 사용하여 형성될 수 있다. 이에 따라, 상기 브러시 막은 보다 강화된 반응성으로 상기 가이드 패턴들과 부착되어 안정적으로 소수성 특성을 부여할 수 있다.
상기 자기 정렬막은 도 24를 참조로 설명한 바와 같이, 블록 공중합체와 호모폴리머의 3원 블렌드를 사용하여 형성될 수 있다. 일부 실시예들에 있어서, 상기 블록 공중합체로서 라멜라 타입 블록 공중합체를 사용하고, 상기 가이드 패턴의 직경 및/또는 피치를 조절하여 필라 형태로 정렬되는 상기 제1 블록들 형성을 유도할 수 있다.
이후, 상기 제1 블록들을 제거하여 홀들을 형성하고, 상기 홀들을 상기 몰드 구조물로 전사하여 채널 홀들(410)을 형성할 수 있다. 일부 실시예들에 있어서, 상기 가이드 패턴들이 제거되어 추가 홀들이 형성되고, 상기 추가 홀들 역시 전사되어 채널 홀들(410)로 변환될 수 있다.
도 46에 도시된 바와 같이, 복수의 채널 홀들(410)이 상기 제2 방향을 따라 배열되어 채널 홀 열이 정의되고, 복수의 상기 채널 홀 열들이 상기 제3 방향을 따라 형성될 수 있다. 채널 홀들(410)은 다각형 유닛이 반복되는 그리드 배열 또는 벌집 배열을 형성할 수 있다.
도 48 및 도 49를 참조하면, 각 채널 홀(410) 내부에 수직 채널 구조체를 형성할 수 있다.
예를 들면, 채널 홀(410)의 측벽 상에 유전막 구조물(412)을 형성하고, 유전막 구조물(412)의 내측벽 및 기판(400)의 상기 상면 상에 채널(414)을 형성할 수 있다. 채널(414) 상에는 채널 홀(410)의 나머지 부분을 채우는 매립 패턴(416)을 형성할 수 있다. 유전막 구조물(412), 채널(414) 및 매립 패턴(416) 상에는 채널 홀(410) 상부를 캡핑하는 패드(420)가 형성될 수 있다.
유전막 구조물(412)은 실질적으로 스트로우(straw) 형상을 가질 수 있다. 일부 실시예들에 있어서, 유전막 구조물(412)은 채널 홀(410)의 상기 측벽으로부터 순차적으로 적층된 블로킹 막, 전하 저장막 및 터널 절연막을 포함할 수 있다. 예를 들면, 유전막 구조물(412)은 산화막-질화막-산화막이 순차적으로 적층된 ONO(Oxide-Nitride-Oxide) 구조로 형성될 수 있다.
채널(414)은 실질적으로 컵(cup) 형상을 가지며, 폴리실리콘을 포함하도록 형성될 수 있다. 매립 패턴(416)은 실리콘 산화물과 같은 절연물질을 포함하도록 형성될 수 있다.
유전막 구조물(412), 채널(414) 및 매립 패턴(416)의 상부들을 부분적으로 제거하여, 리세스를 형성할 수 있다. 상기 리세스 내에 예를 들면, n형 불순물이 도핑된 폴리실리콘을 충진하여 패드(420)가 형성될 수 있다.
상술한 바와 같이, 각 채널 홀(410) 내부에는 유전막 구조물(412), 채널(414), 매립 패턴(416) 및 패드(420)를 포함하는 상기 수직 채널 구조체가 형성될 수 있다. 복수의 수직 채널 구조체가 상기 제2 방향을 따라 배열되어 채널 열이 정의되며, 복수의 상기 채널 열들이 상기 제3 방향을 따라 배열될 수 있다.
상기 수직 채널 구조체들 형성 후, 상기 몰드 구조물을 부분적으로 식각하여 개구부(430)를 형성할 수 있다. 개구부(430)는 상기 제2 방향을 따라 연장하며, 복수의 개구부들(430)이 상기 제3 방향을 따라 형성될 수 있다. 개구부(430)는 상기 제1 방향을 따라 상기 몰드 구조물을 관통하며 기판(400)의 상기 상면을 부분적으로 노출시킬 수 있다.
상기 제3 방향으로 이웃하는 개구부들(430) 사이에는 소정의 개수의 상기 채널 열들이 포함될 수 있다. 예를 들면, 도 46에 도시된 바와 같이 4개의 상기 채널 열들이 이웃하는 개구부들(430) 사이에 포함될 수 있다.
개구부들(430)이 형성됨에 따라, 각 층의 층간 절연막들(402)은 층간 절연막 패턴들(406)로 변환되며, 각 층의 희생막들(404)은 희생막 패턴들(도시되지 않음)로 변환될 수 있다.
개구부(430)를 통해 노출된 상기 희생막 패턴들을 질화물에 식각 선택비를 갖는 습식 식각 공정을 통해 제거할 수 있다. 상기 희생막 패턴들이 제거된 공간에는 갭들(gap)이 형성되며, 상기 갭들을 도전 물질로 충진함으로써 게이트 라인들(408)을 형성할 수 있다.
게이트 라인들(408)은 기판(400)의 상기 상면으로부터 순차적으로 적층되는 그라운드 선택 라인(Ground Selection Line: GSL), 워드 라인(word line) 및 스트링 선택 라인(String Selection Line: SSL)을 포함할 수 있다. 상기 GSL, 워드 리인, SSL의 적층 수는 상기 반도체 장치의 집적도, 용량 등을 고려하여 결정될 수 있다.
이후, 개구부(430)를 통해 이온 주입 공정을 수행하여 노출된 기판(400) 상부에 불순물 영역(403)을 형성할 수 있다. 불순물 영역(403)은 예를 들면, n형 불순물을 포함하며, 상기 제2 방향을 따라 연장할 수 있다. 불순물 영역(403)은 상기 반도체 장치의 공통 소스 라인(Common Source Line: CSL)으로 제공될 수 있다.
불순물 영역(403) 상에는 개구부(430)를 채우며 실리콘 산화물과 같은 절연 물질을 포함하는 커팅 절연 패턴(440)을 형성할 수 있다. 게이트 라인들(408)은 상기 제3 방향을 따라 커팅 절연 패턴들(440)에 의해 소정의 개수의 상기 채널 열들을 둘러싸도록 절단될 수 있다. 또한, 게이트 라인들(408)은 층간 절연막 패턴들(406)에 의해 상기 제1 방향으로 서로 절연될 수 있다.
도 50 및 도 51을 참조하면, 최상층의 층간 절연막 패턴(406), 커팅 절연 패턴들(440) 및 패드들(420) 상에 상부 절연막(450)을 형성할 수 있다. 상부 절연막(450)은 예를 들면, 실리콘 산화물을 포함하도록 형성될 수 있다.
이후, 상부 절연막(450)을 부분적으로 식각하여 패드들(420)을 각각 적어도 부분적으로 노출시키는 콘택 홀들(460)을 형성할 수 있다.
일부 예시적인 실시예들에 따르면, 콘택 홀들(460)은 상부 절연막(450)을 식각 대상막으로 사용하여 도 1 내지 도 17, 도 22 내지 도 25, 및/또는 도 26 내지 도 37을 참조로 설명한 바와 실질적으로 동일하거나 유사한 패턴 형성 방법을 통해 형성될 수 있다. 이에 대한 상세한 설명은 생략된다.
도 52를 참조하면, 콘택 홀들(460) 내부에 각각 패드(420)와 전기적으로 연결되는 콘택(470)을 형성할 수 있다. 상부 절연막(480) 상에는 복수의 콘택들(470)과 전기적으로 연결되는 비트 라인(480)을 형성할 수 있다.
비트 라인(480)은 상기 제3 방향으로 연장하며, 복수의 콘택들(470)과 전기적으로 연결되며, 상기 제2 방향을 따라 복수의 비트 라인들(480)이 배열될 수 있다.
콘택(470) 및 비트 라인(480)은 금속, 금속 질화물, 도핑된 폴리실리콘 등과 같은 도전 물질을 포함하도록 형성될 수 있다.
이하에서는, 구체적인 실험예를 통해 예시적인 실시예들에 따른 패턴 형성 방법의 특성을 보다 상세히 설명한다. 하기의 실험예는 단지 예시적인 것이며, 실험예에 특정된 수치, 조건들은 한정적으로 해석되어서는 안된다.
실험예 1: 브러시막 특성 평가
실리콘 웨이퍼 상에 실리콘 산화물을 포함하는 필라 형태의 가이드 패턴을 형성하고, 브러시 폴리머의 종류를 변화시키면서 브러시 막의 반응성 및 소수성을 평가하였다.
상술한 화학식 3로 표현되는 PS-diOH 구조의 브러시 폴리머(실시예 1), 상술한 화학식 5로 표현되는 PS-r-HEMA 구조의 브러시 폴리머(실시예 2) 및 하기의 화학식 6으로 표현되는 PS-OH 구조의 브러시 폴리머(비교예 1)를 각각 사용하여 브러시 막들을 형성하였다.
[화학식 6]
Figure pat00011
각 브러시 막들의 두께가 상기 가이드 패턴 상에서 포화되는 온도(이하, 반응 온도로 지칭함)를 측정하였으며, 포화된 두께를 갖는 브러시 막들의 물에 대한 접촉각을 측정하였다. 실험 결과는 하기의 표 1에 나타낸다.
구 분 반응 온도 접촉각
비교예 1 약 210oC 85o
실시예 1 약 180oC 내지 약 200 oC 사이 87.4o
실시예 2 약 150oC 내지 약 180 oC 사이 86.1o
표 1을 참조하면, 실시예들의 경우 비교예에 비해 큰 접촉각을 가지며, 따라서 보다 안정한 소수성 특성을 부여함을 알 수 있다. 또한, 말단에 하나의 히드록실기를 포함하는 브러시 폴리머를 사용한 비교예 1의 경우, 반응 온도가 상대적으로 높아 원하는 브러시 막 형성을 위해 높은 온도가 필요하며, 구조적으로 안정한 브러시 막 형성이 곤란함을 알 수 있다.
한편, 접촉각 측면에서는 실시예 1이 유리할 수 있으며, 반응 온도 측면에서는 실시예 2가 유리할 수 있음을 표 1의 결과를 통해 알 수 있다. 따라서, PS-diOH 구조 및 PS-r-HEMA 구조를 블렌드로 사용하거나, 이들 구조가 병합된 폴리머를 사용함으로써 반응성 및 소수성 모두 향상된 브러시 막을 형성할 수 있음을 예측할 수 있다.
실험예 2: 블록 공중합체 타입에 따른 PMMA 정렬 불량 평가
직경이 70nm 인 산화물 가이드 패턴들을 형성하고, PS 및 PMMA의 부피비가 55:45인 라멜라 타입 블록 공중합체를 사용하여 유닛 길이를 변화시키면서 필라 형태의 PMMA 블록들이 관찰되는 유닛 길이를 측정하였다. 상기 유닛 길이가 약 50nm에 도달하면서 필라 형태의 PMMA 블록들이 형성됨을 관찰하였다. 이에 따라, 가이드 패턴들의 직경 및 블록 공중합체의 유닛 길이의 비율을 소정의 범위 내로 조절함으로써, 라멜라 타입 블록 공중합체를 사용하더라도 필라 형태의 자기 정렬이 유도됨을 알 수 있다.
상기 결과를 바탕으로, 상기의 산화물 가이드 패턴들 상에 10nm 두께의 PS-diOH 구조의 브러시 막을 형성한 후 순수 라멜라 타입 PS-b-PMMA, 및 상기 라멜라 타입 PS-b-PMMA 및 호모폴리머와의 블렌드를 각각 사용하여 정렬 불량 여부를 관찰하였다. 상기 호모폴리머들로서 각각 분자량 2000의 PMMA 및 PS를 사용하였다.
도 53a 및 도 53b는 순수 라멜라 타입 공중합체를 사용하여 상분리된 결과를 나타내는 이미지들이다. 구체적으로, 도 53a 및 도 53b는 각각 51nm 및 54nm의 유닛 길이를 갖는 순수 라멜라 타입 공중합체를 사용하여 상분리된 결과를 나타내고 있다.
도 53a 및 도 53b를 참조하면, 가이드 패턴 주변에 정렬된 PMMA 필라들의 일부가 서로 연결되는 브릿지가 발생하거나, 상기 PMMA 필라들의 일부가 생략되었음을 확인할 수 있다.
도 54a 및 도 54b는 블록 공중합체 및 호모폴리머들의 블렌드를 사용하여 상분리된 결과를 나타내는 이미지들이다. 구체적으로, 도 54a 및 도 54b는 각각 51nm 및 54nm의 유닛 길이를 갖는 라멜라 타입 공중합체를 포함하는 블렌드를 사용하여 상분리된 결과를 나타내고 있다.
도 54a 및 도 54b를 참조하면, 3원 블렌드를 사용하는 경우 브릿지 또는 필라 생략 없이 육각형 배열의 PMMA 필라들이 형성되었음을 확인할 수 있다.
실험예 3: 브러시막 및 블록 공중합체 타입에 따른 PMMA 정렬 불량 평가
웨이퍼 상에 실리콘 탄질화막(SiCN)을 형성하고, 상기 실리콘 탄질화막 상에 70nm 직경의 산화물 가이드 패턴들을 형성하였다. 상기 가이드 패턴들 표면 상에 브러시 막을 형성하고, 실린더 타입 PS-b-PMMA(PS 및 PMMA의 부피비 7:3) 블렌드 및 라멜라 타입 PS-b-PMMA(PS 및 PMMA의 부피비 55:45) 블렌드를 사용하여 상분리를 진행시켰다. 이후, PMMA 필라들을 제거하여 홀을 형성하고, 상기 홀을 통해 실리콘 탄질화막을 식각한 후 단위 웨이퍼 면적당 낫-오픈 불량 또는 브릿지 개수를 측정하였다. 실험 결과는 하기의 표 2에 나타낸다.
블록 공중합체 브러시 폴리머 불량 개수

실린더 타입
3원 블렌드

PS-OH >125,000
PS-HEMA 827
PS-diOH 4,802
PS-HEMA(30wt%)+PS-diOH(70wt%) 655
PS-HEMA(70wt%)+PS-diOH(30wt%) 561
라멜라 타입
3원 블렌드
PS-HEMA 929
PS-diOH 361
표 2를 참조하면, 실린더 타입 블렌드를 사용한 경우, PS-HEMA 또는 PS-diOH 구조의 브러시 폴리머를 채용함으로써 정렬 불량이 감소하였으며, 특히 PS-HEMA 및 PS-diOH 구조를 조합하여 브러시 막을 형성함으로써 정렬 불량이 더욱 감소하였다.
라멜라 타입 3원 블렌드를 사용한 경우, PS-diOH 구조의 브러시 폴리머와 조합되어 실린더 타입 3원 블렌드에서 보다 우수한 정렬 불량 감소 효과를 획득하였다,
전술한 예시적인 실시예들에 따른 패턴 형성 방법은, 디램 장치와 같은 휘발성 메모리 소자뿐만 아니라, 플래시 장치와 같은 비휘발성 메모리 소자, 로직 소자 등에 포함되는 미세 콘택 또는 미세 콘택 홀의 형성을 위해 광범위하게 적용될 수 있다.
상술한 바와 같이 본 발명의 바람직한 실시예들을 참조하여 설명하였지만 해당 기술 분야에서 통상의 지식을 가진 자라면 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
100, 300, 400: 기판 110: 식각 대상막
120, 125: 중간막 130, 200: 희생막 패턴
130a: 가이딩 홀 130b: 확장 가이딩 홀
140: 가이드 막
145, 145a, 145b, 210: 가이드 패턴
150, 150a, 150b, 151, 230: 브러시 막
160, 160a, 160b, 165, 255: 자기 정렬막
161, 161a, 161b, 166, 245: 제1 블록
163, 163a, 163b, 168, 255: 제2 블록
170: 제1 홀 172: 제2 홀
210: 가이드 패턴 220: 예비 개구부
225: 개구부 240a: 제1 내부 블록
240b: 제1 외부 블록 250a: 제2 내부 블록
250b: 제2 외부 블록 301: 제1 불순물 영역
303: 제2 불순물 영역 302: 소자 분리막
305: 액티브 패턴 309: 게이트 트렌치
322: 게이트 절연막 패턴 324: 게이트 전극
326: 게이트 마스크 328: 게이트 구조물
330: 캡핑막 335: 제1 층간 절연막
337: 그루브 342: 제1 도전막 패턴
346: 배리어 도전막 패턴 348: 제2 도전막 패턴
350: 마스크 패턴 355: 도전라인 구조물
357: 스페이서 358: 홀 형성 지점
360: 제2 층간 절연막 370, 460: 콘택 홀
375: 도전 콘택 402: 층간 절연막
403: 불순물 영역 404: 희생막
406: 층간 절연막 패턴 408: 게이트 라인
410: 채널 홀 412: 유전막 구조물
414: 채널 416: 매립 패턴
420: 패드 430: 개구부
440: 커팅 절연 패턴 450: 상부 절연막
470: 콘택 480: 비트 라인

Claims (20)

  1. 기판 상에 대상막을 형성하고;
    상기 대상막 상에 가이드 패턴들을 형성하고;
    소수성 반복단위 및 적어도 2개의 히드록실기가 결합된 친수성 말단기를 포함하는 제1 브러시 폴리머, 및 소수성 반복단위 및 히드록실기가 결합된 친수성 랜덤 반복단위를 포함하는 제2 브러시 폴리머 중 적어도 하나를 포함하는 브러시 폴리머를 사용하여 상기 가이드 패턴들의 표면들을 커버하는 브러시 막을 형성하고;
    상기 브러시 막 상에 제1 고분자 유닛 및 제2 고분자 유닛을 포함하는 블록 공중합체를 사용하여 자기 정렬막을 형성하여 상기 가이드 패턴들 주변에 정렬되는 블록들을 형성하고, 상기 블록들은 상기 제1 고분자 유닛이 상기 가이드 패턴들 주변에서 그리드 형태 또는 벌집 형태로 정렬된 제1 블록들, 및 상기 제2 고분자 유닛이 상기 브러시 막과 접촉하며 상기 제1 블록들의 측벽들을 감싸도록 정렬된 제2 블록들을 포함하고; 그리고
    상기 블록들 중 적어도 일부를 상기 대상막에 전사하는 것을 포함하는 패턴 형성 방법.
  2. 제1항에 있어서, 상기 소수성 반복단위는 하기의 화학식 1로 표시되는 스티렌(styrene) 단위를 포함하는 패턴 형성 방법.
    [화학식 1]
    Figure pat00012

    (상기 화학식 1에 있어서, R1은 수소, C1~C6의 알킬기 또는 C1~C6의 할로겐화(halogenated) 알킬기이고, R2는 할로겐 원자, 산소 원자, C1~C20의 알킬기 또는 시클로알킬기, 또는 할로겐 치환기를 포함하거나 실리콘 원자로 부분 치환된 C1~C20의 알킬기 또는 시클로알킬기이고, n은 0 내지 5의 정수 중에서 선택된다)
  3. 제2항에 있어서, 상기 친수성 말단기는 하기의 화학식 2로 표시되는 패턴 형성 방법.
    [화학식 2]
    Figure pat00013

    (상기 화학식 2에 있어서, R4는 수소, C1~C6의 알킬기 또는 C1~C6의 할로겐화(halogenated) 알킬기이고, R3은 할로겐 원자, 산소 원자, C1~C20의 알킬기 또는 시클로알킬기, 또는 할로겐 치환기를 포함하거나 실리콘 원자로 부분 치환된 C1~C20의 알킬기 또는 시클로알킬기이고, n은 0 내지 5의 정수 중에서 선택되며, R5는 히드록실기 또는 수소이다)
  4. 제3항에 있어서, 상기 제1 브러시 폴리머는 하기의 화학식 3으로 표시되는 패턴 형성 방법.
    [화학식 3]
    Figure pat00014

    (상기 화학식 3에서 x는 상기 제1 브러시 폴리머가 1,000 내지 100,000 범위의 평균 분자량을 갖도록 조절되는 정수이다)
  5. 제2항에 있어서, 상기 친수성 랜덤 반복단위는 하기의 화학식 4로 표시되는 패턴 형성 방법.
    [화학식 4]
    Figure pat00015

    (상기 화학식 4에 있어서, R6은 수소, C1~C6의 알킬기, 또는 C1~C6의 할로겐화(halogenated) 알킬기이고, R7은 C1~C5의 알킬렌기이다)
  6. 제5항에 있어서, 상기 제2 브러시 폴리머는 하기의 화학식 5로 표시되는 패턴 형성 방법.
    [화학식 5]
    Figure pat00016

    (상기 화학식 5에서, a 및 b는 몰비를 표시하며, a/b는 94/6 내지 99/1)
  7. 제1항에 있어서, 상기 브러시 폴리머는 상기 제1 브러시 폴리머 및 상기 제2 브러시 폴리머의 블렌드(blend)를 포함하는 패턴 형성 방법.
  8. 제1항에 있어서, 상기 브러시 폴리머는 상기 제1 브러시 폴리머 및 상기 제2 브러시 폴리머가 하나의 구조로 병합된 폴리머를 포함하는 패턴 형성 방법.
  9. 제1항에 있어서, 상기 제1 고분자 유닛은 폴리메틸메타크릴레이트(polymethylmethacrylate: PMMA)를 포함하며, 상기 제2 고분자 유닛은 폴리스티렌(polystyrene: PS)을 포함하고,
    상기 브러시 막의 외표면은 PS를 포함하는 패턴 형성 방법.
  10. 제1항에 있어서, 상기 자기 정렬막은 상기 블록 공중합체 및 호모폴리머들의 블렌드를 사용하여 형성되는 패턴 형성 방법.
  11. 제10항에 있어서, 상기 호모폴리머들은 상기 제1 고분자 유닛을 포함하는 제1 호모 폴리머 및 상기 제2 고분자 유닛을 포함하는 제2 호모폴리머를 포함하는 패턴 형성 방법.
  12. 제1항에 있어서, 상기 자기 정렬막은 상기 블록 공중합체 및 상기 블록 공중합체 보다 낮은 분자량의 추가 블록 공중합체의 블렌드를 사용하여 형성되며,
    상기 추가 블록 공중합체는 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛을 포함하며, 상기 제1 고분자 유닛 및 상기 제2 고분자 유닛 각각의 분자량은 1,000 내지 10,000인 패턴 형성 방법.
  13. 제1항에 있어서, 상기 블록들 중 적어도 일부를 상기 대상막에 전사하는 것은,
    상기 제1 블록들을 제거하여 홀들을 형성하고; 그리고
    상기 홀들을 통해 상기 대상막을 식각하는 것을 포함하는 패턴 형성 방법.
  14. 기판 상에 대상막을 형성하고;
    상기 대상막 상에 가이드 패턴들을 형성하고;
    상기 가이드 패턴들의 표면들을 커버하는 브러시 막을 형성하고;
    상기 브러시 막 상에 제1 고분자 유닛 및 제2 고분자 유닛이 공중합된 라멜라 타입 블록 공중합체, 상기 제1 고분자 유닛을 포함하는 제1 호모폴리머 및 상기 제2 고분자 유닛을 포함하는 제2 호모폴리머를 포함하는 블렌드를 사용하여 자기 정렬막을 형성하고;
    상기 자기 정렬막을 열경화시켜 상기 제1 고분자 유닛이 상기 가이드 패턴들의 주변에서 벌집 형태로 방사상으로 정렬되며 필라 형상을 갖는 제1 블록들을 형성하고, 상기 제2 고분자 유닛이 상기 브러시 막과 접촉하며 상기 제1 블록들을 감싸도록 정렬된 제2 블록을 형성하고; 그리고
    상기 제1 블록들을 상기 대상막에 전사하는 것을 포함하는 패턴 형성 방법.
  15. 제14항에 있어서, 상기 제1 고분자 유닛은 PMMA를 포함하며, 상기 제2 고분자 유닛은 PS를 포함하고,
    상기 라멜라 타입 블록 공중합체에 있어서, PMMA의 부피비는 35% 내지 65%인 패턴 형성 방법.
  16. 제14항에 있어서, 상기 가이드 패턴들을 형성하는 것은 상기 가이드 패턴들의 각 직경을 상기 블록 공중합체의 유닛 길이 대비 소정의 비율로 조절하는 것을 포함하는 패턴 형성 방법.
  17. 제16항에 있어서, 상기 가이드 패턴들의 상기 각 직경의 상기 유닛 길이 대비 비율은 0.5 내지 1.5인 패턴 형성 방법.
  18. 제14항에 있어서, 상기 브러시막은 소수성 반복단위 및 적어도 2개의 히드록실기가 결합된 친수성 말단기를 포함하는 제1 브러시 폴리머, 및 소수성 반복단위 및 히드록실기가 결합된 친수성 랜덤 반복단위를 포함하는 제2 브러시 폴리머 중 적어도 하나를 포함하는 브러시 폴리머를 사용하여 형성되는 패턴 형성 방법.
  19. 기판 상에 대상막을 형성하고;
    상기 대상막 상에 가이드 패턴들을 형성하고;
    복수의 히드록실기를 포함하는 친수성 단위 및 소수성 반복단위를 포함하는 브러시 폴리머를 사용하여 상기 가이드 패턴들의 표면들을 커버하는 브러시 막을 형성하고;
    상기 브러시 막 상에 제1 고분자 유닛 및 제2 고분자 유닛을 포함하는 블록 공중합체 및 호모폴리머의 블렌드를 사용하여 자기 정렬막을 형성하고, 상기 제1 고분자 유닛은 상기 가이드 패턴들 주변에서 그리드 형태 또는 벌집 형태로 배열되는 제1 블록들로 정렬되고, 상기 제2 고분자 유닛은 상기 브러시 막과 접촉하며 상기 제1 블록들의 측벽들을 감싸는 제2 블록들로 정렬되고;
    상기 자기 정렬막 중 일부를 제거하여 홀들을 형성하고; 그리고
    상기 홀들을 상기 대상막에 전사하는 것을 포함하는 패턴 형성 방법.
  20. 제19항에 있어서, 상기 친수성 단위는 적어도 2개의 히드록실기가 결합된 말단기 및 히드록시알킬 메타크릴레이트 구조의 랜덤 반복 단위 중 적어도 하나를 포함하는 패턴 형성 방법.
KR1020150158213A 2015-11-11 2015-11-11 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법 KR102402958B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020150158213A KR102402958B1 (ko) 2015-11-11 2015-11-11 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
US15/345,910 US10101660B2 (en) 2015-11-11 2016-11-08 Methods of forming patterns of semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150158213A KR102402958B1 (ko) 2015-11-11 2015-11-11 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법

Publications (2)

Publication Number Publication Date
KR20170055261A true KR20170055261A (ko) 2017-05-19
KR102402958B1 KR102402958B1 (ko) 2022-05-27

Family

ID=58664170

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150158213A KR102402958B1 (ko) 2015-11-11 2015-11-11 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법

Country Status (2)

Country Link
US (1) US10101660B2 (ko)
KR (1) KR102402958B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200020228A (ko) * 2018-08-16 2020-02-26 주식회사 엘지화학 기판의 제조 방법

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10312435B1 (en) * 2018-01-09 2019-06-04 Spin Memory, Inc. Method for manufacturing high density magnetic tunnel junction devices using photolithographic VIAS and chemically guided block copolymer self assembly
CN110828301B (zh) * 2018-08-09 2023-02-28 长鑫存储技术有限公司 用于形成图形的方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008203452A (ja) * 2007-02-19 2008-09-04 Shin Etsu Chem Co Ltd レジスト材料及びこれを用いたパターン形成方法
KR20150042378A (ko) * 2013-10-10 2015-04-21 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
JP2015115599A (ja) * 2013-12-13 2015-06-22 株式会社東芝 パターン形成方法
KR20150088203A (ko) * 2014-01-23 2015-07-31 도오꾜오까고오교 가부시끼가이샤 상 분리 구조를 포함하는 구조체의 제조 방법, 패턴 형성 방법, 미세 패턴 형성 방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8133534B2 (en) * 2004-11-22 2012-03-13 Wisconsin Alumni Research Foundation Methods and compositions for forming patterns with isolated or discrete features using block copolymer materials
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US9183870B2 (en) * 2007-12-07 2015-11-10 Wisconsin Alumni Research Foundation Density multiplication and improved lithography by directed block copolymer assembly
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
KR101535227B1 (ko) 2008-12-31 2015-07-08 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US8821978B2 (en) * 2009-12-18 2014-09-02 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
US8673541B2 (en) 2010-10-29 2014-03-18 Seagate Technology Llc Block copolymer assembly methods and patterns formed thereby
US9469525B2 (en) 2011-01-31 2016-10-18 Seagate Technology Llc Modified surface for block copolymer self-assembly
US9389511B2 (en) 2011-03-18 2016-07-12 Cornell University Methods of making patterned structures of materials, patterned structures of materials, and methods of using same
JP5542766B2 (ja) 2011-09-26 2014-07-09 株式会社東芝 パターン形成方法
KR102012765B1 (ko) 2012-03-02 2019-08-22 에이에스엠엘 네델란즈 비.브이. 디바이스 리소그래피에서 사용되는 자가­조립가능한 블록 공중합체들에 대한 패터닝된 화학적 에피택시 템플릿을 제공하는 방법들
JP5802233B2 (ja) 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
US8853085B1 (en) * 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US10202479B2 (en) 2013-06-07 2019-02-12 Regents Of The University Of Minnesota Poly(cyclohexylethylene)-polyacrylate block copolymers, methods of manufacture thereof and articles comprising the same
KR102127784B1 (ko) 2013-06-12 2020-06-29 삼성전자주식회사 패턴 형성 방법
JP2015032815A (ja) * 2013-08-07 2015-02-16 株式会社東芝 パターン形成方法
US9054043B2 (en) 2013-10-30 2015-06-09 HGST Netherlands B.V. Method for directed self-assembly (DSA) of block copolymers
CN104749905B (zh) * 2013-12-31 2018-02-13 罗门哈斯电子材料有限公司 定向自组装图案形成方法和组合物
US9523917B2 (en) * 2014-09-16 2016-12-20 SK Hynix Inc. Methods of forming patterns
KR102395336B1 (ko) * 2014-12-05 2022-05-06 도오꾜오까고오교 가부시끼가이샤 하지제, 및 상 분리 구조를 포함하는 구조체의 제조 방법
KR102335109B1 (ko) * 2014-12-15 2021-12-03 삼성전자 주식회사 미세 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US9574104B1 (en) * 2015-10-16 2017-02-21 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions and processes for self-assembly of block copolymers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008203452A (ja) * 2007-02-19 2008-09-04 Shin Etsu Chem Co Ltd レジスト材料及びこれを用いたパターン形成方法
KR20150042378A (ko) * 2013-10-10 2015-04-21 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
JP2015115599A (ja) * 2013-12-13 2015-06-22 株式会社東芝 パターン形成方法
KR20150088203A (ko) * 2014-01-23 2015-07-31 도오꾜오까고오교 가부시끼가이샤 상 분리 구조를 포함하는 구조체의 제조 방법, 패턴 형성 방법, 미세 패턴 형성 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200020228A (ko) * 2018-08-16 2020-02-26 주식회사 엘지화학 기판의 제조 방법

Also Published As

Publication number Publication date
US10101660B2 (en) 2018-10-16
KR102402958B1 (ko) 2022-05-27
US20170129972A1 (en) 2017-05-11

Similar Documents

Publication Publication Date Title
US9576975B2 (en) Monolithic three-dimensional NAND strings and methods of fabrication thereof
US10153295B2 (en) Nonvolatile memory devices and methods of forming same
US9461061B2 (en) Vertical memory devices and methods of manufacturing the same
KR101784695B1 (ko) 수직형 메모리 장치 및 그 제조 방법
KR102190370B1 (ko) 도전 패턴의 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US8293603B2 (en) Methods of fabricating semiconductor devices
CN105390542B (zh) 具有旁路栅极的半导体器件及其制备方法
US8435876B2 (en) Method of manufacturing semiconductor device
US20130109148A1 (en) Methods of forming a pattern and methods of manufacturing semiconductor devices using the same
US8643076B2 (en) Non-volatile memory device and method for fabricating the same
US20120064680A1 (en) Methods of forming a capacitor structure and methods of manufacturing semiconductor devices using the same
US20200328227A1 (en) Three-dimensional semiconductor memory device
US9276058B2 (en) Methods of manufacturing semiconductor devices
US8617950B2 (en) Method of forming a capacitor and method of manufacturing a semiconductor device using the same
CN110277403B (zh) 制造三维半导体存储器件的方法
KR20170011218A (ko) 커패시터 구조물 및 이의 형성 방법, 및 상기 커패시터 구조물을 포함하는 반도체 장치
KR102353280B1 (ko) 반도체 장치의 패턴 형성 방법
WO2023077666A1 (zh) 半导体结构及其制作方法
US10101660B2 (en) Methods of forming patterns of semiconductor devices
US8936983B2 (en) Method of fabricating a semiconductor memory device
US9627201B2 (en) Methods of forming holes using mask pattern structures
KR102606236B1 (ko) 반도체 기억 소자
TW202211384A (zh) 記憶裝置
KR102522161B1 (ko) 반도체 소자 및 이의 제조 방법
US20240130116A1 (en) Semiconductor device

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant