KR20160141851A - 리소그래피 공정들에 대한 최적화의 흐름들 - Google Patents

리소그래피 공정들에 대한 최적화의 흐름들 Download PDF

Info

Publication number
KR20160141851A
KR20160141851A KR1020167031687A KR20167031687A KR20160141851A KR 20160141851 A KR20160141851 A KR 20160141851A KR 1020167031687 A KR1020167031687 A KR 1020167031687A KR 20167031687 A KR20167031687 A KR 20167031687A KR 20160141851 A KR20160141851 A KR 20160141851A
Authority
KR
South Korea
Prior art keywords
source
mask
design layout
obtaining
optimizing
Prior art date
Application number
KR1020167031687A
Other languages
English (en)
Other versions
KR102006321B1 (ko
Inventor
두안-푸 스티븐 슈
라파엘 씨. 하웰
샤오펭 리우
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20160141851A publication Critical patent/KR20160141851A/ko
Application granted granted Critical
Publication of KR102006321B1 publication Critical patent/KR102006321B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

조명 소스 및 투영 광학기를 포함한 리소그래피 투영 장치를 이용하여 기판 상에 디자인 레이아웃의 부분을 이미징하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법이 본 명세서에 개시되며, 상기 방법은: 소스 형상 및 마스크 디포커스 값을 얻는 단계; 리소그래피 공정의 도즈를 최적화하는 단계; 조명 소스의 복수의 슬릿 위치들 각각에 대해 디자인 레이아웃의 부분을 최적화하는 단계를 포함한다.

Description

리소그래피 공정들에 대한 최적화의 흐름들{FLOWS OF OPTIMIZATION FOR LITHOGRAPHIC PROCESSES}
본 출원은 2014년 4월 14일에 출원된 US 가출원 61/979,232의 이익을 주장하고, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은 리소그래피 장치들 및 공정들에 관한 것으로, 특히 리소그래피 장치 또는 공정에서 사용되는 조명 소스 및/또는 패터닝 디바이스/디자인 레이아웃의 최적화를 위한 방법 또는 툴에 관한 것이다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별층에 대응하는 회로 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 회로 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 회로 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 회로 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 패터닝 디바이스 상의 회로 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 웨이퍼 스테퍼(wafer stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게(같은 방향으로 평행하게) 또는 역-평행하게(반대 방향으로 평행하게) 기판이 이동된다. 패터닝 디바이스 상의 회로 패턴의 상이한 부분들은 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 배율 인자(M)(일반적으로 < 1)를 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 인자(M) 배가 될 것이다. 본 명세서에 서술된 바와 같은 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792로부터 얻을 수 있다.
패터닝 디바이스로부터 기판으로 회로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 과정들을 거칠 수 있다. 노광 이후, 기판은 노광후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 회로 패턴의 측정/검사와 같은 다른 과정들을 거칠 수 있다. 이러한 일련의 과정들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 캐리어에 장착되고 핀에 연결되는 등의 단계를 거칠 수 있다.
유의되는 바와 같이, 마이크로리소그래피는 IC의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 IC들의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
조명 소스 및 투영 광학기를 포함한 리소그래피 투영 장치를 이용하여 기판 상에 디자인 레이아웃의 부분을 이미징하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법이 설명되며, 상기 방법은: 소스 형상 및 마스크 디포커스 값(mask defocus value)을 얻는 단계; 리소그래피 공정의 도즈(dose)를 최적화하는 단계; 조명 소스의 복수의 슬릿 위치(slit position)들 각각에 대해 디자인 레이아웃의 부분을 최적화하는 단계를 포함한다.
일 실시예에서, 상기 방법은 디자인 레이아웃을 최적화하는 단계를 더 포함하고, 디자인 레이아웃의 부분의 모든 위치들에서의 투과(transmission)가 다수의 이산 값(discrete value)들로 제한되지 않는다.
일 실시예에서, 상기 방법은 디자인 레이아웃의 부분으로 1 이상의 어시스트 피처(assist feature)를 배치하는 단계를 더 포함한다.
상기 방법의 일 실시예에서, 어시스트 피처들은 서브 분해능 어시스트 피처(sub resolution assist feature), 프린트가능한 분해능 어시스트 피처(printable resolution assist feature), 또는 그 조합을 포함한다.
일 실시예에서, 상기 방법은 소스의 모델, 디자인 레이아웃의 부분의 모델, 투영 광학기의 모델, 또는 그 조합을 얻는 단계를 더 포함하고, 모델들은 소스, 디자인 레이아웃의 부분 및 투영 광학기에 의해 생성되는 에어리얼 이미지(aerial image)를 시뮬레이션하도록 구성된다.
상기 방법의 일 실시예에서, 모델들은 아베(Abbe) 또는 홉킨스(Hopkins)의 공식을 이용함으로써 에어리얼 이미지를 계산하기 위해 구성된다.
상기 방법의 일 실시예에서, 리소그래피 공정의 도즈를 최적화하는 단계는 모델들 중 적어도 하나를 이용하는 단계를 포함한다.
일 실시예에서, 상기 방법은 슬릿 위치들 각각에 대해 공정 윈도우를 결정하는 단계를 포함한다.
상기 방법의 일 실시예에서, 모델들을 얻는 단계는 조명 소스가 프리폼(freeform) 소스라는 가정 하에, 3-차원 마스크 모델을 이용하여 조명 소스 및 디자인 레이아웃의 부분을 최적화하는 단계를 포함한다.
상기 방법의 일 실시예에서, 모델들을 얻는 단계는 3-차원 마스크 모델을 이용하여 최적화된 조명 소스 및 디자인 레이아웃의 부분에 의해 형성되는 에어리얼 이미지의 최적 포커스(best focus)의 평면을 결정하는 단계를 더 포함한다.
상기 방법의 일 실시예에서, 조명 소스 및 디자인 레이아웃의 부분을 최적화하는 단계는 적어도 하나의 확률적 변동(stochastic variation)을 나타내는 비용 함수를 이용하는 단계를 포함한다.
상기 방법의 일 실시예에서, 모델들을 얻는 단계는 프리폼 소스를 이산 소스(discrete source)에 매핑(map)하는 단계를 더 포함한다.
상기 방법의 일 실시예에서, 모델들을 얻는 단계는 디자인 레이아웃의 부분 및 이산 소스를 최적화하는 단계를 더 포함한다.
상기 방법의 일 실시예에서, 모델들을 얻는 단계는 투영 광학기를 최적화하는 단계를 더 포함한다.
상기 방법의 일 실시예에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 마스크 디포커스의 초기 값을 얻는 단계를 포함한다.
상기 방법의 일 실시예에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 얇은 마스크 모델을 이용하여 조명 소스 및 디자인 레이아웃의 부분을 최적화하는 단계를 더 포함하고, 조명 소스는 프리폼 소스이다.
상기 방법의 일 실시예에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 3-차원 마스크 모델을 이용함으로써 마스크 디포커스를 최적화하는 단계를 더 포함한다.
상기 방법의 일 실시예에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 복수의 퓨필 프로파일들을 이용하여 복수의 마스크 디포커스 값들을 결정하는 단계를 포함한다.
상기 방법의 일 실시예에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 수 개의 마스크 디포커스 값들을 평균하는 단계를 포함한다.
상기 방법의 일 실시예에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 조명 소스, 디자인 레이아웃의 부분, 및 투영 광학기에 의해 형성되는 에어리얼 이미지의 최적 포커스의 평면을 결정하는 단계를 포함한다.
컴퓨터에 의해 실행되는 경우 앞선 실시예들 중 어느 하나의 방법을 구현하는 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함하는 컴퓨터 프로그램 제품이 설명된다.
첨부된 도면들과 관련하여 특정 실시예들의 다음 설명을 검토함으로써, 앞선 실시형태와 특징들, 및 다른 실시형태와 특징들이 당업자에게 분명해질 것이다:
도 1은 일 실시예에 따른 리소그래피 시스템의 다양한 서브시스템들의 블록 다이어그램;
도 2는 도 1의 서브시스템들에 대응하는 시뮬레이션 모델들의 블록 다이어그램;
도 3은 리소그래피 투영 장치를 최적화하는 일반적인 방법의 흐름도;
도 4는 모든 디자인 변수들의 최적화가 교대로(alternately) 실행되는 리소그래피 투영 장치를 최적화하는 방법의 흐름도;
도 5는 비용 함수가 최소화되는 일 예시적인 최적화 방법을 나타내는 도면;
도 6은 일 실시예에 따른 최적화들의 흐름을 나타내는 도면;
도 7a는 일 실시예에 따른 단계 640을 상세히 나타내는 도면;
도 7b는 확률적 영향에 의해 야기되는 편차 및 비-확률적 영향들에 의해 야기되는 편차를 개략적으로 예시하는 도면;
도 8은 일 실시예에 따른 단계 610을 상세히 나타내는 도면;
도 9는 일 실시예에 따른 단계 610을 상세히 나타내는 도면;
도 10은 실시예들이 구현될 수 있는 예시적인 컴퓨터 시스템의 블록 다이어그램;
도 11은 실시예들이 적용가능한 리소그래피 투영 장치의 개략적인 다이어그램;
도 12는 또 다른 리소그래피 투영 장치의 개략적인 다이어그램;
도 13은 도 12의 장치의 더 상세한 도면; 및
도 14는 도 12 및 도 13의 장치의 소스 컬렉터 모듈(SO)의 더 상세한 도면이다.
이제 도면들을 참조하여 실시예들이 상세히 설명될 것이며, 이는 당업자가 실시예들을 실행할 수 있도록 예시들로서 제공된다. 아래에서 숫자(figure) 및 예시들은 본 발명의 범위를 단일 실시예에 제한하도록 의도되지 않으며, 설명되거나 예시된 요소들 중 일부 또는 모두의 상호교환에 의해 다른 실시예들이 가능하다는 것을 유의한다. 편리하다면 언제나, 동일한 참조 번호들은 도면 전체에서 동일하거나 같은 부분들을 칭하도록 사용될 것이다. 이 실시예들의 소정 요소들이 알려진 구성요소들을 이용하여 부분적으로 또는 전체적으로 구현될 수 있는 경우, 이러한 알려진 구성요소들 중 실시예들을 이해하는 데 필요한 부분들만이 설명될 것이며, 이러한 알려진 구성요소들의 다른 부분들의 세부 설명은 실시예들의 설명을 애매하게 하지 않도록 생략될 것이다. 본 명세서에서, 단수의 구성요소를 나타내는 실시예는 제한하는 것으로 간주되어서는 안 된다; 오히려, 본 발명의 범위는 본 명세서에서 달리 명확히 설명되지 않는 한 복수의 동일한 구성요소를 포함한 다른 실시예들을 포함하도록 의도되며, 그 역도 가능하다. 또한, 출원인은 이러한 것으로서 명확히 설명되지 않은 경우에 명세서 또는 청구항들에서의 여하한의 용어가 두드러지거나 특수한 의미로 여겨지도록 의도하지 않는다. 또한, 본 발명의 범위는 예시의 방식으로 본 명세서에 언급된 구성요소들의 현재 알려진 등가물 및 미래에 알려질 등가물을 포함한다.
반도체 제조 공정이 계속해서 진보함에 따라, 통상적으로 "무어의 법칙"이라 칭하는 추세를 따라 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 심(deep)-자외선 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되어, 100 nm보다 훨씬 낮은 치수들, 즉 조명 소스(예를 들어, 193 nm 조명 소스)로부터의 방사선의 파장의 절반보다 작은 치수들을 갖는 개별적인 기능 요소들을 생성한다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 이 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택된 방사선의 파장(통상적으로, 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 실험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정 단계들이 리소그래피 투영 장치 및/또는 디자인 레이아웃에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤 조명 방식(customized illumination schemes), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접성 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 칭함), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다. 본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처 및 카타디옵트릭(catadioptric) 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 투영 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
일 예시로서, OPC는 기판 상에 투영된 디자인 레이아웃의 이미지의 최종 크기 및 배치가 단순히 패터닝 디바이스 상의 디자인 레이아웃의 크기 및 배치에만 의존하거나 이와 동일하지 않을 것이라는 사실을 설명한다. "마스크", "레티클", "패터닝 디바이스"라는 용어들은 본 명세서에서 교환가능하게 이용된다는 것을 유의한다. 또한, 리소그래피 시뮬레이션/최적화에서는 물리적 패터닝 디바이스가 반드시 사용되는 것이 아니라 디자인 레이아웃이 물리적 패터닝 디바이스를 나타내도록 사용될 수 있기 때문에, 당업자라면 특히 리소그래피 시뮬레이션/최적화와 관련하여 "마스크", "패터닝 디바이스" 및 "디자인 레이아웃"이라는 용어가 교환가능하게 이용될 수 있다는 것을 알 것이다. 일부 디자인 레이아웃에 존재하는 작은 피처 크기들 및 높은 피처 밀도들에 대해, 주어진 피처의 특정 에지의 위치는 다른 인접한 피처들의 존재나 부재에 의해 어느 정도 영향을 받을 것이다. 이 근접 효과들은 한 피처에서 다른 피처로 커플링(couple)된 미세한 양의 방사선, 및/또는 회절 및 간섭과 같은 비-기하학적 광학 효과들로부터 일어난다. 이와 유사하게, 근접 효과들은 일반적으로 리소그래피에 따라오는 노광후 베이크(PEB), 레지스트 현상, 및 에칭 시의 확산 및 다른 화학적 영향들로부터 일어날 수 있다.
디자인 레이아웃의 투영 이미지가 주어진 타겟 회로 디자인의 요건들에 부합될 것을 보장하기 위해, 정교한 수치 모델들, 디자인 레이아웃의 보정들 또는 전치-왜곡(pre-distortion)들을 이용하여 근접 효과들이 예측되고 보상될 필요가 있다. 논문 "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design"(C. Spence, Proc. SPIE, Vol.5751, pp 1-14, 2005)은 통상적인 "모델-기반" 광 근접성 보정 공정들의 개요를 제공한다. 전형적인 고성능(high-end) 디자인에서는, 타겟 디자인에 대한 투영 이미지의 고 충실도(high fidelity)를 달성하기 위해 디자인 레이아웃의 거의 모든 피처가 약간 수정된다. 이 수정들은 라인 폭 또는 에지 위치의 시프팅 또는 편향(biasing), 및 다른 피처들의 투영을 돕도록 의도되는 "어시스트" 피처들의 적용을 포함할 수 있다.
타겟 디자인에 대한 모델-기반 OPC의 적용은, 칩 디자인에 전형적으로 존재하는 수백만의 피처들을 감안하면 상당한 연산 리소스(computational resource)들 및 우수한 공정 모델들을 수반한다. 하지만, OPC를 적용하는 것은 일반적으로 정밀 과학이 아니라, 모든 가능한 근접 효과를 항상 보상하지는 않는 실험적인 반복 공정이다. 그러므로, 패터닝 디바이스 패턴으로 형성되는 디자인 결함들의 가능성을 최소화하기 위해, OPC의 효과, 예를 들어 OPC 및 여하한의 다른 RET의 적용 후 디자인 레이아웃들이 디자인 검사, 즉 캘리브레이션된 수치 공정 모델들을 이용한 집약적인 풀-칩 시뮬레이션(intensive full-chip simulation)에 의해 검증되어야 한다. 이는 고성능 패터닝 디바이스들을 제작하는 막대한 비용 -이는 수백만 달러 범위에서 운영됨- 에 의해, 그리고 일단 제조되면 실제 패터닝 디바이스들을 재가공하거나 수리하는 데 소요되는 시간에 대한 영향에 의해 좌우된다.
OPC 및 풀-칩 RET 검증은 둘 다, 예를 들어 미국 특허 출원 제 10/815,573호 및 "Optimized Hardware and Software For Fast, Full Chip Simulation"(Y. Cao 외, Proc. SPIE, Vol.5754, 405, 2005)이라는 제목의 논문에서 설명되는 수치 모델링 시스템들 및 방법들에 기초할 수 있다.
한(one) RET는 디자인 레이아웃의 전역적 편향("마스크 편향"이라고도 함)의 조정과 관련된다. 전역적 편향은 기판 상에 프린트되도록 의도된 패턴들과 디자인 레이아웃의 패턴들 간의 차이이다. 예를 들어, 25 nm 직경의 원형 패턴이 디자인 레이아웃의 50 nm 직경 패턴에 의해 또는 디자인 레이아웃의 20 nm 직경 패턴에 의해 하지만 높은 도즈로 기판 상에 프린트될 수 있다.
디자인 레이아웃들 또는 패터닝 디바이스들에 대한 최적화(예를 들어, OPC)에 더하여, 전체 리소그래피 충실도를 개선하려는 노력으로, 패터닝 디바이스 최적화와 함께 또는 개별적으로, 조명 소스도 최적화될 수 있다. "조명 소스" 및 "소스"라는 용어들은 본 명세서에서 교환가능하게 사용된다. 1990 년대 이래로, 환형, 쿼드러폴(quadrupole) 및 다이폴(dipole)과 같은 많은 오프-액시스(off-axis) 조명 소스들이 도입되고, OPC 디자인에 대해 더 많은 자유를 제공하였으며, 이로 인해 이미징 결과들이 개선되었다. 알려져 있는 바와 같이, 오프-액시스 조명은 패터닝 디바이스에 포함된 미세 구조체들(즉, 타겟 피처들)을 분해하는 증명된 방식이다. 하지만, 종래의 조명 소스에 비해, 오프-액시스 조명 소스는 통상적으로 에어리얼 이미지(AI)에 대해 더 적은 방사선 세기를 제공한다. 따라서, 더 미세한 분해능과 감소된 방사선 세기 간의 최적 밸런스를 달성하도록 조명 소스를 최적화하려는 시도가 바람직해진다.
무수한 조명 소스 최적화 접근법들은, 예를 들어 Rosenbluth 외의 논문 "Optimum Mask and Source Patterns to Print A Given Shape"(Journal of Microlithography, Microfabrication, Microsystems 1(1), pp.13-20, 2002)에서 찾아볼 수 있다. 소스는 수 개의 구역들로 분할되고, 이 각각은 퓨필 스펙트럼의 소정 구역에 대응한다. 이때, 소스 분포는 각 소스 구역에서 균일하다고 가정되며, 각 구역의 휘도는 공정 윈도우에 대해 최적화된다. 하지만, 각 소스 구역에서 소스 분포가 균일하다는 이러한 가정이 항상 유효하지는 않으며, 결과로서 이 접근법의 유효성이 불리해진다. Granik의 논문 "Source Optimization for Image Fidelity and Throughput"(Journal of Microlithography, Microfabrication, Microsystems 3(4), pp.509-522, 2004)에서 설명된 또 다른 예시에서, 몇몇 기존 소스 최적화 접근법들의 개요가 제공되고, 소스 최적화 문제를 일련의 비-음수 최소 제곱 최적화(non-negative least square optimization)들로 전환하는 일루미네이터 픽셀들에 기초한 방법이 제안된다. 이 방법들은 몇몇 성공들을 증명하였지만, 이들은 전형적으로 수렴을 위해 다수의 복잡한 반복들을 요구한다. 또한, Granik의 방법에서의 γ와 같은, 소스의 평활도(smoothness) 요건과 기판 이미지 충실도를 위한 소스의 최적화 간의 조화(trade-off)를 좌우하는 몇몇 여분의 파라미터들에 대해 적절한/최적 값들을 결정하는 것이 어려울 수 있다.
저 k1 포토리소그래피에 대해, 소스 및 패터닝 디바이스 둘의 최적화는 임계 회로 패턴들의 투영을 위한 실행가능한 공정 윈도우를 보장하는 데 유용하다. 몇몇 알고리즘들(예를 들어, Socha 외, Proc. SPIE vol.5853, 2005, p.180)이 공간 주파수 도메인에서 조명을 독립적인 소스점들로, 그리고 마스크를 회절 차수들로 분할(discretize)하고, 소스점 세기들 및 패터닝 디바이스 회절 차수들로부터의 광학 이미징 모델들에 의해 예측될 수 있는 노출 관용도(exposure latitude)와 같은 공정 윈도우 메트릭에 기초하여 개별적으로 비용 함수(이는 선택된 디자인 변수들의 함수로서 정의됨)를 공식화한다. 본 명세서에서 사용되는 바와 같은 "디자인 변수"라는 용어는 리소그래피 투영 장치의 파라미터들, 예를 들어 리소그래피 투영 장치의 사용자가 조정할 수 있는 파라미터들의 세트를 포함한다. 소스, 패터닝 디바이스, 투영 광학기 및/또는 레지스트 특성들을 포함한 리소그래피 투영 공정의 여하한의 특성들이 최적화에서의 디자인 변수들 사이에 있을 수 있음을 이해하여야 한다. 비용 함수는 흔히 디자인 변수들의 비-선형 함수이다. 이때, 비용 함수를 최소화하기 위해 표준 최적화 기술들이 사용된다.
관련적으로, 지속된 감소 디자인 규칙들(decreasing design rules)의 압박은 반도체 제조업자가 기존 193 nm ArF 리소그래피를 이용한 저 k1 리소그래피 시대로 더 깊이 이동하게 하였다. 더 낮은 k1을 향한 리소그래피는 RET, 노광 툴들, 및 리소-친화적(litho-friendly) 디자인의 필요성에 대한 막대한 요구를 부여한다. 1.35 ArF 하이퍼 개구수(NA) 노광 툴들이 장차 사용될 수 있다. 운용가능한 공정 윈도우로 기판 상에 회로 디자인이 생성될 수 있을 것을 보장하도록 돕기 위해, (본 명세서에서, 소스-마스크 최적화 또는 SMO라고 칭하는) 소스-패터닝 디바이스 최적화가 2x nm 노드를 위한 중요한 RET가 되고 있다.
실행가능한 시간 내에 제약 없이 비용 함수를 이용하여 소스 및 패터닝 디바이스의 동시 최적화를 허용하는 소스 및 패터닝 디바이스(디자인 레이아웃) 최적화 방법 및 시스템이, 일반적으로 승인된 "Fast Freeform Source and Mask Co-Optimization Method"라는 제목의 WO2010/059954로 공개되고 2009년 11월 20일 출원된 국제 특허 출원 PCT/US2009/065359호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다.
소스의 픽셀들을 조정함으로써 소스를 최적화하는 것을 수반하는 또 다른 소스 및 패터닝 디바이스 최적화 방법 및 시스템이, 일반적으로 승인된 "Source-Mask Optimization in Lithographic Apparatus"라는 제목의 미국 특허 출원 공개공보 2010/0315614호로 공개되고 2010년 6월 10일 출원된 미국 특허 출원 제 12/813456호에서 설명되며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 명세서에서는, IC의 제조에 있어서 실시예들의 특정 사용예에 대하여 언급되지만, 실시예들은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 한다는 것을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 사용되는 바와 같은 "최적화하는" 및 "최적화"라는 용어는 리소그래피의 결과들 및/또는 공정들이 기판 상의 디자인 레이아웃들의 더 정확한 투영, 더 큰 공정 윈도우 등과 같은 더 바람직한 특성들을 갖도록 리소그래피 투영 장치를 조정하는 것을 의미한다.
또한, 리소그래피 투영 장치는 2 이상의 기판 테이블(및/또는 2 이상의 패터닝 디바이스 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가 테이블들이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비 작업 단계들이 수행될 수 있다. 트윈 스테이지(twin stage) 리소그래피 투영 장치는, 예를 들어 본 명세서에서 인용참조되는 US 5,969,441에서 설명된다.
앞서 언급된 패터닝 디바이스는 디자인 레이아웃들을 포함한다. 디자인 레이아웃들은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 프로세스는 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 디자인 레이아웃/패터닝 디바이스를 생성하기 위해 사전설정된 디자인 규칙들의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙들은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들은 통상적으로 "임계 치수"(CD)라고도 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기 및 밀도를 결정한다. 집적 회로 제작의 목표들 중 하나는 원래 회로 디자인을 (패터닝 디바이스를 통해) 기판 상에 충실하게 재현(reproduce)하는 것이다.
본 명세서에서 채택된 패터닝 디바이스라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다; 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형; 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 여타의 이러한 패터닝 디바이스의 예시들로 다음을 포함한다:
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일 예시는 점탄성 제어층 및 반사 표면을 갖는 매트릭스-어드레서블 표면(matrix-addressable surface)이다. 이러한 장치의 기본 원리는, (예를 들어) 반사 표면의 어드레싱된 영역들은 입사 방사선을 회절 방사선(diffracted radiation)으로서 반사시키는 반면, 어드레싱되지 않은 영역들은 입사 방사선을 비회절 방사선으로서 반사시킨다는 것이다. 적절한 필터를 사용하면, 반사된 빔 중에서 상기 비회절 방사선을 필터링하여 회절 방사선만이 남게 할 수 있다; 이러한 방식으로 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 빔이 패터닝되게 된다. 매트릭스 어드레싱은 적절한 전자 수단을 이용하여 수행될 수 있다. 이러한 거울 어레이들에 관한 더 많은 정보는, 예를 들어 미국 특허 제 5,296,891호 및 제 5,523,193호로부터 얻을 수 있으며, 이들은 본 명세서에서 인용참조된다.
- 프로그램가능한 LCD 어레이. 이러한 구성의 일 예시는 미국 특허 제 5,229,872호에서 주어지며, 이는 본 명세서에서 인용참조된다.
간략한 도입부로서, 도 1는 예시적인 리소그래피 투영 장치(10)를 나타낸다. 주요 구성요소들은 심-자외선 엑시머 레이저 소스 또는 극자외선(EUV) 소스를 포함한 다른 형태의 소스들일 수 있는 조명 소스(12); (시그마로서 표시된) 부분 간섭성(partial coherence)을 정의하고, 상기 소스(12)로부터의 방사선을 성형하는 광학기(14, 16a 및 16b)를 포함할 수 있는 조명 광학기; 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(18); 및 기판 평면(22) 상에 패터닝 디바이스 패턴의 이미지를 투영하는 투과 광학기(16c)이다. 투영 광학기의 퓨필 평면에서의 조정가능한 필터 또는 어퍼처(aperture: 20)가 기판 평면(22) 상에 부딪히는 빔 각도들의 범위를 제한할 수 있으며, 이때 가능한 최대 각도는 투영 광학기의 개구수를 정의한다[NA = sin(Θmax)].
시스템의 최적화 공정에서, 시스템의 성능 지수(figure of merit)가 비용 함수로서 표현될 수 있다. 최적화 공정은 비용 함수를 최소화하는 시스템의 파라미터들(디자인 변수들)의 세트를 발견하는 공정으로 압축된다. 비용 함수는 최적화의 목표에 따라 여하한의 적절한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 소정 특성들의 의도된 값들(예를 들어, 이상적인 값들)에 대한 이러한 특성들[평가 포인트(evaluation point)들]의 편차들의 가중 RMS(root mean square)일 수 있다; 또한, 비용 함수는 이 편차들의 최대값일 수도 있다. 본 명세서에서 "평가 포인트들"이라는 용어는 시스템의 여하한의 특성을 포함하는 것으로 폭넓게 해석되어야 한다. 시스템의 디자인 변수들은 시스템 구현의 실용성(practicality)들로 인해 상호의존적이고, 및/또는 유한한 범위로 한정될 수 있다. 리소그래피 투영 장치의 경우, 제약은 흔히 패터닝 디바이스 제조가능 디자인 규칙들, 및/또는 조절가능한 범위들과 같은 하드웨어의 물리적 속성들 및 특성들과 관련되며, 평가 포인트들은 기판 상의 레지스트 이미지에 대한 물리적 포인트, 및 도즈 및 포커스와 같은 비-물리적 특성들을 포함할 수 있다.
리소그래피 투영 장치에서, 소스는 조명(즉, 방사선)을 제공하고; 투영 광학기는 패터닝 디바이스를 통해 기판 상으로 상기 조명을 지향하고 성형한다. "투영 광학기"라는 용어는, 본 명세서에서 방사선 빔의 파면을 변경할 수 있는 여하한의 광학 구성요소를 포함하는 것으로 폭넓게 정의된다. 예를 들어, 투영 광학기는 구성요소들(14, 16a, 16b 및 16c) 중 적어도 일부를 포함할 수 있다. 에어리얼 이미지(AI)는 기판 상에서의 방사선 세기 분포이다. 기판 상의 레지스트 층이 노광되고, 그 안에 잠재적인 "레지스트 이미지"(RI)로서 에어리얼 이미지가 레지스트 층으로 전사된다. 레지스트 이미지(RI)는 레지스트 층에서 레지스트의 가용성의 공간 분포로서 정의될 수 있다. 에어리얼 이미지로부터 레지스트 이미지를 계산하기 위해 레지스트 모델이 사용될 수 있으며, 이 예시는 일반적으로 승인된 미국 특허 출원 일련번호 12/315,849에서 찾아볼 수 있고, 이는 본 명세서에서 그 전문이 인용참조된다. 레지스트 모델은 레지스트 층의 속성들(예를 들어, 노광, PEB 및 현상 시 일어나는 화학 공정들의 효과들)에만 관련된다. 리소그래피 투영 장치의 광학적 속성들(예를 들어, 소스, 패터닝 디바이스 및 투영 광학기의 속성들)은 에어리얼 이미지를 결정한다. 리소그래피 투영 장치에서 사용되는 패터닝 디바이스는 바뀔 수 있기 때문에, 패터닝 디바이스의 광학적 속성들을 적어도 소스 및 투영 광학기를 포함한 리소그래피 투영 장치의 나머지의 광학적 속성들과 분리하여 생각하는 것이 바람직하다.
리소그래피 투영 장치에서 리소그래피를 시뮬레이션하는 예시적인 흐름도가 도 2에 예시된다. 소스 모델(31)이 소스의 광학적 특성들(방사선 세기 분포 및/또는 위상 분포를 포함함)을 나타낸다. 투영 광학기 모델(32)이 투영 광학기의 광학적 특성들(투영 광학기에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 투영 광학기 모델(32)은 다양한 인자들, 예를 들어 투영 광학기의 구성요소들의 가열, 투영 광학기의 구성요소들의 기계적 연결들에 의해 야기된 응력에 의해 야기되는 수차를 포함할 수 있다. 소스 모델(31) 및 투영 광학기 모델(32)은 TCC(transmission cross coefficient) 모델로 조합될 수 있다. 디자인 레이아웃 모델(33)이 패터닝 디바이스의 피처들의 일 구성을 나타내는 디자인 레이아웃의 광학적 특성들(주어진 디자인 레이아웃에 의해 야기된 방사선 세기 분포 및/또는 위상 분포에 대한 변화들을 포함함)을 나타낸다. 소스 모델(31), 투영 광학기 모델(32) 및 디자인 레이아웃 모델(33)로부터 에어리얼 이미지(36)가 시뮬레이션될 수 있다. 레지스트 모델(37)을 이용하여 에어리얼 이미지(36)로부터 레지스트 이미지(38)가 시뮬레이션될 수 있다. 리소그래피의 시뮬레이션은, 예를 들어 레지스트 이미지 내의 윤곽들 및 CD들을 예측할 수 있다.
더 명확하게는, 소스 모델(31)은 NA-시그마(σ) 세팅들 및 여하한의 특정 조명 소스 형상(예를 들어, 환형, 쿼드러폴 및 다이폴 등과 같은 오프-액시스 방사선 소스들)을 포함하는 소스의 광학적 특성들을 나타낼 수 있으며, 이에 제한되지는 않음을 유의한다. 투영 광학기 모델(32)은 수차, 왜곡, 굴절률, 물리적 크기, 물리적 치수, 흡수 등을 포함하는 투영 광학기의 광학적 특성들을 나타낼 수 있다. 또한, 디자인 레이아웃 모델(33)은, 예를 들어 본 명세서에서 그 전문이 인용참조되는 미국 특허 제 7,587,704호에서 설명되는 바와 같은 물리적 패터닝 디바이스의 물리적 특성들을 나타낼 수 있다. 시뮬레이션의 목적은, 예를 들어 이후 의도된 디자인과 비교될 수 있는 에지 배치들 및 CD들을 정확히 예측하는 것이다. 의도된 디자인은 일반적으로 전-OPC 디자인 레이아웃으로서 정의되며, 이는 GDSII 또는 OASIS와 같은 표준화된 디지털 파일 포맷 또는 다른 파일 포맷으로 제공될 수 있다.
이 디자인 레이아웃으로부터, 1 이상의 부분들이 식별될 수 있으며, 이는 "클립(clip)들"이라고 칭해진다. 특정 실시예에서, 클립들의 일 세트가 추출되고, 이는 디자인 레이아웃 내의 복잡한 패턴들을 나타낸다(전형적으로, 약 50 내지 1000 개의 클립들이 사용되지만, 여하한 수의 클립들이 사용될 수 있음). 당업자라면 이해하는 바와 같이, 이 패턴들 또는 클립들은 디자인의 작은 부분들(즉, 회로들, 셀들 또는 패턴들)을 나타내며, 특히 클립들은 특정 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다. 다시 말하면, 클립들은 경험에 의해(고객에 의해 제공된 클립들을 포함함), 시행착오에 의해, 또는 풀-칩 시뮬레이션 실행에 의해 임계 피처들이 식별되는 디자인 레이아웃의 부분들일 수 있거나, 또는 디자인 레이아웃의 부분들과 유사할 수 있거나, 또는 상기 디자인 레이아웃의 부분들과 유사한 동작을 가질 수 있다. 클립들은 통상적으로 1 이상의 테스트 패턴들 또는 게이지 패턴(gauge pattern)들을 포함한다.
클립들의 더 큰 초기 세트는 특정 이미지 최적화를 필요로 하는 디자인 레이아웃 내의 알려진 임계 피처 영역들에 기초하여 고객에 의해 선험적으로(a priori) 제공될 수 있다. 대안적으로, 또 다른 실시예에서 클립들의 더 큰 초기 세트는 임계 피처 영역들을 식별하는 어떤 종류의 자동화[예를 들어, 머신 비전(machine vision)] 또는 수동 알고리즘을 이용함으로써 전체 디자인 레이아웃으로부터 추출될 수 있다.
최적화 방법들의 예시들은, 예를 들어 2010년 10월 28일 출원된 미국 특허 출원 일련번호 12/914,946에서 찾아볼 수 있으며, 이는 본 명세서에서 그 전문이 인용참조된다.
1 이상의 실시예들에서, 최적화는 다음과 같은 비용 함수를 이용하여 수행될 수 있다:
Figure pct00001
(수학식 1)
이때, (z1,z2,…,zN)는 N 개의 디자인 변수들 또는 그 값들이고; fP(z1,z2,…,zN)는 (z1,z2,…,zN)의 디자인 변수들의 값들의 일 세트에 대한 p-번째 평가 포인트에서의 특성의 실제 값과 의도된 값 간의 차의 함수일 수 있다. wP는 p-번째 평가 포인트에 할당된 가중치 상수이다. 다른 것들보다 더 임계적인 평가 포인트 또는 패턴에 더 높은 wP 값이 할당될 수 있다. 발생 수가 더 큰 패턴들 및/또는 평가 포인트들에도 더 높은 wP 값이 할당될 수 있다. 평가 포인트들의 예시들은 웨이퍼 상의 여하한의 물리적 포인트 또는 패턴, 또는 디자인 레이아웃 또는 레지스트 이미지 또는 에어리얼 이미지 상의 여하한의 포인트일 수 있다.
비용 함수는 리소그래피 투영 장치 또는 기판의 여하한의 적절한 특성들, 예를 들어 포커스, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전 등을 나타낼 수 있다. 예를 들어, 비용 함수는 다음 리소그래피 메트릭들: 즉, 에지 배치 오차, 임계 치수, 레지스트 윤곽 거리, 최악의 결함 크기, 확률적 영향, 패터닝 디바이스의 3-차원 효과, 레지스트의 3-차원 효과, 최적 포커스 시프트, 퓨필 충진율(pupil fill factor), 노광 시간, 및 스루풋 중 1 이상의 함수일 수 있다. 흔히 기판 상의 회로 패턴을 좌우하는 것이 레지스트 이미지이기 때문에, 비용 함수는 흔히 레지스트 이미지의 몇몇 특성들을 나타내는 함수들을 포함한다. 예를 들어, 이러한 평가 포인트의 fP(z1,z2,…,zN)는 단순히 레지스트 이미지 내의 지점과 그 지점의 의도된 위치 간의 거리[즉, 에지 배치 오차 EPEP(z1,z2,…,zN)]일 수 있다. 디자인 변수들은 소스, 패터닝 디바이스, 투영 광학기, 도즈, 포커스 등의 조정가능한 파라미터들과 같은 여하한의 조정가능한 파라미터들일 수 있다. 투영 광학기는 집합적으로 "파면 머니퓰레이터(wavefront manipulator)"라 하는 구성요소들을 포함할 수 있으며, 이는 조사 빔의 위상 시프트 및/또는 세기 분포 및 파면의 형상들을 조정하는 데 사용될 수 있다. 투영 광학기는 패터닝 디바이스 전, 퓨필 평면 부근, 이미지 평면 부근, 초점면 부근과 같은 리소그래피 투영 장치의 광학 경로를 따르는 여하한의 위치에서 파면 및 세기 분포를 조정할 수 있다. 투영 광학기는, 예를 들어 소스, 패터닝 디바이스, 리소그래피 투영 장치 내의 온도 변동, 및/또는 리소그래피 투영 장치의 구성요소들의 열팽창에 의해 야기된 파면 및 세기 분포의 소정 왜곡들을 보정 또는 보상하는 데 사용될 수 있다. 파면 및 세기 분포를 조정하는 것이 비용 함수 및 평가 포인트들의 값들을 변화시킬 수 있다. 이러한 변화들은 모델로부터 시뮬레이션되거나, 또는 실제로 측정될 수 있다.
fP(z1,z2,…,zN)의 통상적인 가중 RMS는
Figure pct00002
로서 정의되므로, fP(z1,z2,…,zN)의 가중 RMS를 최소화하는 것이 수학식 1에 정의된 비용 함수
Figure pct00003
를 최소화하는 것과 균등하다는 것을 유의하여야 한다. 따라서, fP(z1,z2,…,zN)의 가중 RMS 및 수학식 1은 본 명세서에서 표기의 간명함을 위해 교환가능하게 이용될 수 있다.
또한, PW(공정 윈도우)가 최대화되는 경우, 상이한 PW 조건들로부터의 동일한 물리적 위치를 수학식 1의 비용 함수에서의 상이한 평가 포인트들로 간주할 수 있다. 예를 들어, N 개의 PW 조건들이 고려되는 경우, 평가 포인트들은 그들의 PW 조건들에 따라 분류될 수 있으며, 비용 함수들은 다음과 같이 기록될 수 있다:
Figure pct00004
(수학식 1')
이때, fPu(z1,z2,…,zN)는 u-번째 PW 조건(u=1,…,U) 하의 (z1,z2,…,zN)의 디자인 변수들의 값들의 일 세트에 대한 pi-번째 평가 포인트의 실제 값과 의도된 값 간의 차의 함수이다. 이 차가 에지 배치 오차(EPE)인 경우, 앞선 비용 함수를 최소화하는 것은 다양한 PW 조건들 하의 에지 시프트를 최소화하는 것과 균등하며, 이에 따라 이는 PW를 최대화하는 것을 유도한다. 특히, PW가 상이한 패터닝 디바이스 편향으로도 구성되는 경우, 앞선 비용 함수를 최소화하는 것은 MEEF(Mask Error Enhancement Factor)의 최소화도 포함하며, 이는 웨이퍼 EPE와 유도된 마스크 에지 편향 간의 비로서 정의된다.
디자인 변수들은 제약들을 가질 수 있으며, 이는 (z1,z2,…,zN) ∈ Z로서 표현될 수 있고, 이때 Z는 디자인 변수들의 가능한 값들의 일 세트이다. 상기 제약들은 리소그래피 투영 장치의 하드웨어 구현에서 물리적 제한들을 나타낼 수 있다. 상기 제약들은: 조절 범위들, 패터닝 디바이스 제조가능성을 통제하는 규칙들, 및 디자인 변수들 간의 상호의존성 중 1 이상을 포함할 수 있다.
그러므로, 최적화 공정은 제약들 (z1,z2,…,zN) ∈ Z 하에서 비용 함수를 최소화하는 디자인 변수들의 값들의 일 세트, 즉 다음을 발견하는 것이다:
Figure pct00005
(수학식 2)
일 실시예에 따른 리소그래피 투영 장치를 최적화하는 일반적인 방법이 도 3에 예시된다. 이 방법은 복수의 디자인 변수들의 다변수 비용 함수를 정의하는 단계(302)를 포함한다. 디자인 변수들은 조명 소스의 특성들(300A)(예를 들어, 퓨필 충진율, 즉 퓨필 또는 어퍼처를 통과하는 소스의 방사선의 백분율), 투영 광학기의 특성들(300B), 및 디자인 레이아웃의 특성들(300C)로부터 선택되는 여하한의 적절한 조합을 포함할 수 있다. 예를 들어, 디자인 변수들은 조명 소스의 특성들(300A) 및 디자인 레이아웃의 특성들(300C)(예를 들어, 전역적 편향)을 포함하고, 투영 광학기의 특성들(300B)은 포함하지 않을 수 있으며, 이는 SMO를 초래한다. 대안적으로, 디자인 변수들은 조명 소스의 특성들(300A), 투영 광학기의 특성들(300B), 및 디자인 레이아웃의 특성들(300C)을 포함할 수 있고, 이는 소스-마스크-렌즈 최적화(SMLO)를 초래한다. 단계 304에서, 디자인 변수들은 비용 함수가 수렴을 향해 이동되도록 동시에 조정된다. 단계 306에서, 사전설정된 종료 조건을 만족하는지가 판단된다. 사전설정된 종료 조건은 다양한 가능성들을 포함할 수 있으며, 즉 비용 함수의 값이 임계값과 동일하거나 임계값을 넘었을 때, 비용 함수의 값이 미리 조정된 오차 한계 내에 도달했을 때, 미리 조정된 반복 수에 도달할 때, 또는 사용되는 수치해석 기술(numerical technique)의 요구에 따라 비용 함수가 최소화 또는 최대화될 때일 수 있다. 단계 306에서의 조건들 중 어느 하나가 만족되는 경우에 상기 방법이 종료된다. 단계 306에서의 어떤 조건도 만족되지 않는 경우, 원하는 결과가 얻어질 때까지 단계 304 및 단계 306이 반복적으로 되풀이된다. 최적화는 반드시 디자인 변수들에 대한 값들의 단일 세트를 초래하지는 않는데, 이는 퓨필 충진율, 레지스트 화학적 성질, 스루풋 등과 같은 인자들에 의해 야기되는 물리적 한계들이 존재할 수 있기 때문이다. 최적화는 디자인 변수들에 대한 값들의 다수 세트들 및 연계된 성능 특성들(예를 들어, 스루풋)을 제공하고, 리소그래피 장치의 사용자로 하여금 1 이상의 세트를 고르게 할 수 있다.
또 다른 실시예에서, 투영 광학기의 광학적 특성들에 대한 영향을 계산 및/또는 결정하는 대신에, 또는 이에 추가하여, 투영 광학기의 조정가능한 광학적 특성들이 디자인 변수들에 포함될 수 있는 것으로 생각된다. 예시적인 조정가능한 광학적 특성들은 렌즈 머니퓰레이터로서 1 이상의 디바이스들, 예를 들어 가열기들의 온도 데이터와 연계된 온도 데이터 또는 신호를 포함할 수 있으며, 이는 투영 시스템의 광학 요소의 온도, 제르니케 계수(Zernike coefficient)들을 제어하는 데 이용된다. 그 후, SMO 절차가 수행될 수 있고, 조정가능한 광학적 특성들을 포함한 디자인 변수들은 비용 함수가 수렴에 접근하도록 동시에 조정될 수 있다.
도 3에서, 모든 디자인 변수들의 최적화는 동시에 실행된다. 이러한 흐름은 동시 최적화, 공동 최적화, 또는 합동-최적화라 칭해질 수 있다. 본 명세서에서 사용되는 바와 같은 "동시", "동시에", "공동" 및 "공동으로"라는 용어들은, 소스, 패터닝 디바이스, 투영 광학기의 특성들의 디자인 변수들 및/또는 여하한의 다른 디자인 변수들이 동일한 시간에 변화되도록 허용된다는 것을 의미한다. 대안적으로, 모든 디자인 변수들의 최적화는 도 4에 예시된 바와 같이 교대로 실행된다. 이 흐름에서는, 각각의 단계에서 몇몇 디자인 변수들은 고정되는 한편, 다른 디자인 변수들은 비용 함수를 최소화하도록 최적화된다; 그 후, 다음 단계에서 변수들의 상이한 세트가 고정되는 한편, 다른 것들은 비용 함수를 최소화하도록 최적화된다. 이 단계들은 수렴 또는 소정 종료 조건들이 충족될 때까지 교대로 실행된다. 비-제한적인 예시의 도 4의 흐름도에 나타낸 바와 같이, 우선 디자인 레이아웃(단계 402)이 얻어진 후, 소스 최적화의 단계가 단계 404에서 실행되며, 이때 조명 소스의 모든 디자인 변수들이 비용 함수를 최소화하도록 최적화되는 한편(SO), 모든 다른 디자인 변수들은 고정된다. 그 후, 다음 단계 406에서 마스크 최적화(MO)가 수행되며, 이때 패터닝 디바이스의 모든 디자인 변수들이 비용 함수를 최소화하도록 최적화되는 한편, 모든 다른 디자인 변수들은 고정된다. 이 두 단계들은 단계 408에서 소정 종료 조건들이 충족될 때까지 교대로 실행된다. 비용 함수의 값이 임계값과 동일하게 되는 것, 비용 함수의 값이 임계값을 넘는 것, 비용 함수의 값이 미리 조정된 오차 한계 내에 도달하는 것, 또는 미리 조정된 반복 수에 도달하는 것 등과 같은 다양한 종료 조건들이 사용될 수 있다. 교대 흐름에 대한 일 예시로서 SO-MO-교대-최적화가 사용된다는 것을 유의한다. 교대 흐름은 많은 상이한 형태, 예를 들어 SO-LO-MO-교대-최적화를 취할 수 있으며, 이때 SO, LO(렌즈 최적화), 및 MO가 교대로 및 반복적으로 실행된다; 또는 우선 SMO가 한 번 실행된 후, LO 및 MO가 교대로 및 반복적으로 실행될 수 있다; 그 밖에도 여러 가지가 있다. 최종적으로, 최적화 결과의 출력이 단계 410에서 얻어지고, 공정이 정지된다.
앞서 설명된 바와 같은 패턴 선택 알고리즘은 동시 또는 교대 최적화와 통합될 수 있다. 예를 들어, 교대 최적화가 채택되는 경우, 우선 풀-칩 SO가 수행될 수 있으며, '핫 스폿들' 및/또는 '웜 스폿들'이 식별되고, 그 후 MO가 수행된다. 본 발명의 관점에서, 요구되는 최적화 결과들을 달성하기 위해 서브-최적화들의 다수 순열 및 조합이 가능하다.
도 5는 비용 함수가 최소화되는 한가지 예시적인 최적화 방법을 나타낸다. 단계 502에서, 만약에 있다면, 조절 범위들을 포함하는 디자인 변수들의 초기 값들이 얻어진다. 단계 504에서, 다-변수 비용 함수가 설정된다. 단계 506에서, 제 1 반복 단계(i=0)에 대해 디자인 변수들의 시작점 값 주위의 충분히 작은 일대(small enough neighborhood) 내에서 비용 함수가 확장된다. 단계 508에서, 비용 함수를 최소화하기 위해 표준 다-변수 최적화 기술들이 적용된다. 최적화는 508에서 최적화 공정 동안 또는 최적화 공정의 추후 단계에서 조절 범위와 같은 제약들을 가질 수 있음을 유의한다. 리소그래피 공정을 최적화하기 위해 선택되었던 식별된 평가 포인트들에 대한 주어진 테스트 패턴들("게이지들"이라고도 알려짐)에 대해 각각의 반복이 행해진다. 단계 510에서, 리소그래피 반응(예를 들어, 에어리얼 이미지, 레지스트 이미지의 소정 특성들, 또는 공정 윈도우와 같은 리소그래피 공정의 소정 특성들)이 예측된다. 단계 512에서, 단계 510의 결과는 원하는 또는 이상적인 리소그래피 반응 값과 비교된다. 단계 514에서 종료 조건이 만족되면, 즉 최적화가 원하는 값에 충분히 근접한 리소그래피 반응 값을 생성하면, 단계 518에서 디자인 변수들의 최종 값이 출력된다. 또한, 출력 단계는 퓨필 평면(또는 다른 평면들)에서의 파면 수차-조정된 맵, 최적화된 소스 맵, 및 최적화된 디자인 레이아웃 등을 출력하는 단계와 같이, 디자인 변수들의 최종 값들을 이용하여 다른 함수들을 출력하는 단계를 포함할 수 있다. 종료 조건이 만족되지 않은 경우, 단계 516에서 디자인 변수들의 값들은 i-번째 반복의 결과로 업데이트되며, 상기 공정은 단계 506으로 되돌아간다. 도 5의 공정은 아래에서 더 상세히 설명된다.
예시적인 최적화 공정에서, fp(z1,z2,…,zN)가 충분히 평활한[예를 들어, 1차 도함수
Figure pct00006
,(n = 1,2,…N)가 존재함] 것을 제외하고는, 디자인 변수들(z1,z2,…,zN)과 fp(z1,z2,…,zN) 간의 관계가 가정되거나 근사화되지 않으며, 이는 일반적으로 리소그래피 투영 장치에서 유효하다.
Figure pct00007
를 찾기 위해, 가우스-뉴턴 알고리즘(Gauss-Newton algorithm), 레벤버그-마쿼트 알고리즘(Levenberg-Marquardt algorithm), 기울기 감소 알고리즘(gradient descent algorithm), 모의 담금질(simulated annealing), 및 유전적 알고리즘(genetic algorithm)과 같은 알고리즘이 적용될 수 있다.
여기서, 일 예시로서 가우스-뉴턴 알고리즘이 사용된다. 가우스-뉴턴 알고리즘은 일반적인 비선형 다-변수 최적화 문제에 적용가능한 반복 방법이다. 디자인 변수들(z1,z2,…,zN)이 (z1i,z2i,…,zNi)의 값들을 취하는 i-번째 반복에서, 가우스-뉴턴 알고리즘은 (z1i,z2i,…,zNi)의 부근에서 fp(z1,z2,…,zN)를 선형화하고, 그 후 CF(z1,z2,…,zN)의 최소값을 제공하는 (z1i,z2i,…,zNi)의 부근에서의 (z1(i+1),z2(i+1),…,zN(i+1)) 값들을 계산한다. 디자인 변수들(z1,z2,…,zN)은 (i+1)-번째 반복에서 (z1(i+1),z2(i+1),…,zN(i+1))의 값들을 취한다. 이 반복은 수렴[즉, CF(z1,z2,…,zN)가 더 이상 감소하지 않음] 또는 미리 조정된 수의 반복에 도달할 때까지 계속된다.
구체적으로는, i-번째 반복에서, (z1i,z2i,…,zNi)의 부근에서,
Figure pct00008
(수학식 3)
수학식 3의 근사치 하에서, 비용 함수는 다음과 같다:
Figure pct00009
(수학식 4)
이는 디자인 변수들(z1,z2,…,zN)의 이차 함수이다. 디자인 변수들(z1,z2,…,zN)을 제외한 모든 항은 상수이다.
디자인 변수들(z1,z2,…,zN)이 어떠한 제약들 하에 있지 않은 경우, (z1(i+1),z2(i+1),…,zN(i+1))는 N 개의 선형 방정식들로 풀어서 도출될 수 있다:
Figure pct00010
, 이때 n = 1,2,…,N.
디자인 변수들(z1,z2,…,zN)이 제약들 하에서 J 개의 부등식[예를 들어, (z1,z2,…,zN)의 조절 범위들]
Figure pct00011
(j = 1,2,…,J); 및 K 개의 등식(예를 들어, 디자인 변수들 간의 상호의존성)
Figure pct00012
(k = 1,2,…,K)의 형태로 있는 경우, 최적화 공정은 전형적인 이차 프로그래밍 문제가 되며, 이때 Anj, Bj, Cnk, Dk는 상수들이다. 각각의 반복에 대하여 추가적인 제약들이 부과될 수 있다. 예를 들어, 수학식 3의 근사치가 유지되도록 (z1(i+1),z2(i+1),…,zN (i+1))와 (z1i,z2i,…,zNi) 간의 차이를 제한하기 위해 "감쇠 인자(damping factor)" ΔD가 도입될 수 있다. 이러한 제약들은 zniD≤zn≤zniD로서 표현될 수 있다. (z1(i+1),z2(i+1),…,zN (i+1))는, 예를 들어 Jorge Nocedal 및 Stephen J. Wright의 Numerical Optimization(제 2 판)(Berlin New York: Vandenberghe. Cambridge University Press)에 기술된 방법들을 이용하여 도출될 수 있다.
fp(z1,z2,…,zN)의 RMS를 최소화하는 대신에, 최적화 공정은 평가 포인트들 중에 가장 큰 편차(최악의 결함)의 크기를 그들의 의도된 값들로 최소화할 수 있다. 이러한 접근법에서, 비용 함수는 대안적으로 다음과 같이 표현될 수 있다:
Figure pct00013
(수학식 5)
여기서, CLp는 fp(z1,z2,…,zN)에 대한 최대 허용 값이다. 이러한 비용 함수는 평가 포인트들 중에 최악의 결함을 나타낸다. 이러한 비용 함수를 이용하는 최적화는 최악의 결함의 크기를 최소화한다. 이러한 최적화를 위해 반복적인 그리디 알고리즘(greedy algorithm)이 사용될 수 있다.
수학식 5의 비용 함수는 다음과 같이 근사화될 수 있다:
Figure pct00014
(수학식 6)
이때, q는 적어도 4, 바람직하게는 적어도 10과 같은 양의 짝수 정수(even positive integer)이다. 수학식 6은 수학식 5의 형태(behavior)와 흡사하지만, 최적화로 하여금 분석적으로 실행되게 하고, 극심 하강 방법(deepest descent method), 공액 구배 방법(conjugate gradient method) 등과 같은 방법들을 이용함으로써 가속되게 한다.
또한, 최악의 결함 크기를 최소화하는 것은 fp(z1,z2,…,zN)의 선형화와 조합될 수 있다. 구체적으로, fp(z1,z2,…,zN)는 수학식 3에서와 같이 근사화된다. 이때, 최악의 결함 크기에 대한 제약들은 부등식 ELp≤fp(z1,z2,…,zN)≤EUp으로서 쓰여지며, 여기서 ELp및 EUp는 fp(z1,z2,…,zN)에 대한 최소 및 최대 허용 편차를 특정화하는 두 개의 상수들이다. 수학식 3을 대입하면(Plugging Eq. 3 in), 이러한 제약들은 p=1,…,P에 대하여 다음으로 변환된다:
Figure pct00015
(수학식 6')
Figure pct00016
(수학식 6")
수학식 3이 일반적으로 (z1,z2,…,zN)의 부근에서만 유효하기 때문에, 원하는 제약들 ELp≤fp(z1,z2,…,zN)≤EUp이 이러한 부근에서 달성될 수 없는 경우 -이는 부등식들 간의 여하한의 상충(conflict)에 의해 결정될 수 있음- , 상수들 ELp 및 EUp는 제약들이 달성가능할 때까지 완화될 수 있다. 이러한 최적화 공정은 (z1,z2,…,zN), i의 부근에서의 최악의 결함 크기를 최소화한다. 이때, 각각의 단계가 최악의 결함 크기를 점진적으로 감소시키며, 소정 종료 조건들이 충족될 때까지 각각의 단계가 반복적으로 실행된다. 이는 최악의 결함 크기의 최적의 감소를 유도할 것이다.
최악의 결함을 최소화하는 또 다른 방식은 각각의 반복에서 가중치 wp를 조정하는 것이다. 예를 들어, i-번째 반복 후, r-번째 평가 포인트가 최악의 결함인 경우, 그 평가 포인트의 결함 크기의 감소에 더 높은 우선순위가 주어지도록 wr이 (i+1)-번째 반복에서 증가될 수 있다.
또한, 수학식 4 및 수학식 5의 비용 함수들은 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 사이에 절충을 달성하기 위해 라그랑주 승수(Lagrange multiplier)를 도입함으로써 수정될 수 있으며, 즉 다음과 같다:
Figure pct00017
(수학식 6'")
이때, λ는 결함 크기의 RMS에 대한 최적화와 최악의 결함 크기에 대한 최적화 간의 조화를 특정화하는 사전설정된 상수이다. 특히, λ=0인 경우, 이는 수학식 4가 되고, 결함 크기의 RMS만이 최소화되는 한편; λ=1인 경우, 이는 수학식 5가 되고, 최악의 결함 크기만이 최소화되며; 0<λ<1인 경우에는, 둘 모두의 최적화가 고려된다. 이러한 최적화는 다수 방법을 이용하여 구할 수 있다. 예를 들어, 이전에 설명된 것과 유사하게 각각의 반복에서의 가중이 조정될 수 있다. 대안적으로, 부등식들로부터 최악의 결함 크기를 최소화하는 것과 유사하게, 수학식 6' 및 6"의 부등식들은 이차 프로그래밍 문제의 해결 동안 디자인 변수들의 제약들로서 여겨질 수 있다. 그 후, 최악의 결함 크기에 대한 한계들은 증분적으로(incrementally) 완화되거나 최악의 결함 크기에 대한 가중치를 증분적으로 증가시킬 수 있고, 달성가능한 모든 최악의 결함 크기에 대한 비용 함수 값을 연산할 수 있으며, 다음 단계를 위한 초기 지점으로서 총 비용 함수를 최소화하는 디자인 변수 값들을 선택할 수 있다. 이를 반복적으로 수행함으로써, 이 새로운 비용 함수의 최소화가 달성될 수 있다.
리소그래피 투영 장치를 최적화하는 것이 공정 윈도우를 확장할 수 있다. 더 큰 공정 윈도우는 공정 디자인 및 칩 디자인에 더 많은 유연성을 제공한다. 공정 윈도우는 한 세트의 포커스 및 도즈 값들로서 정의될 수 있으며, 이에 대해 레지스트 이미지는 레지스트 이미지의 디자인 타겟의 소정 한계 내에 있다. 본 명세서에 설명된 모든 방법들은, 노광 도즈 및 디포커스 이외에 상이한 또는 추가적인 기저 파라미터들에 의해 확립될 수 있는 일반화된 공정 윈도우 정의로 연장될 수도 있다는 것을 유의한다. 이들은 광학 세팅들, 에컨대 NA, 시그마, 수차, 편광, 또는 레지스트 층의 광학 상수들을 포함할 수 있으며, 이에 제한되지는 않는다. 예를 들어, 앞서 설명된 바와 같이, PW가 상이한 마스크 편향으로 구성되는 경우, 최적화는 MEEF(Mask Error Enhancement Factor)의 최소화를 포함하며, 이는 기판 EPE와 유도된 마스크 에지 편향 간의 비로서 정의된다. 포커스 및 도즈 값들에 대해 정의된 공정 윈도우는 단지 본 명세서에서 일 예시로서 제공된다. 일 실시예에 따라 공정 윈도우를 최대화하는 방법이 아래에 설명된다.
제 1 단계에서, 공정 윈도우의 알려진 조건(f00)으로부터 시작하며, f0는 공칭 포커스이고, ε0는 공칭 도즈이며, 부근 (f0±Δf,ε0±ε)에서 아래의 비용 함수들 중 하나를 최소화한다:
Figure pct00018
(수학식 27)
또는
Figure pct00019
(수학식 27')
또는
Figure pct00020
(수학식 27")
공칭 포커스(f0) 및 공칭 도즈(ε0)가 시프트하도록 허용되는 경우, 이들은 디자인 변수들(z1,z2,…,zN)과 공동으로 최적화될 수 있다. 다음 단계에서, 비용 함수가 사전설정된 한계 내에 있도록 (z1,z2,…,zN,f,ε)의 값들의 세트가 찾아질 수 있는 경우, 공정 윈도우의 일부분으로서 (f0±Δf,ε0±ε)가 용인된다.
대안적으로, 포커스 및 도즈가 시프트하도록 허용되지 않는 경우, 디자인 변수들(z1,z2,…,zN)은 공칭 포커스(f0) 및 공칭 도즈(ε0)에 고정된 포커스 및 도즈로 최적화된다. 대안적인 실시예에서, 비용 함수가 사전설정된 한계 내에 있도록 (z1,z2,…,zN)의 값들의 세트가 찾아질 수 있는 경우, 공정 윈도우의 일부분으로서 (f0±Δf,ε0±ε)가 용인된다.
본 명세서에서 이전에 설명된 방법들은 수학식들 27, 27', 또는 27"의 각각의 비용 함수들을 최소화하기 위해 사용될 수 있다. 디자인 변수들이 제르니케 계수와 같은 투영 광학기의 특성들인 경우, 수학식들 27, 27', 또는 27"의 비용 함수들을 최소화하는 것은 투영 광학기 최적화, 즉 LO에 기초한 공정 윈도우 최대화를 유도한다. 디자인 변수들이 투영 광학기의 특성들에 추가하여 소스 및 패터닝 디바이스의 특성들인 경우, 수학식들 27, 27', 또는 27"의 비용 함수를 최소화하는 것은 도 9에 예시된 바와 같은 SMLO에 기초한 공정 윈도우 최대화를 유도한다. 디자인 변수들이 소스 및 패터닝 디바이스의 특성들인 경우, 수학식들 27, 27', 또는 27"의 비용 함수들을 최소화하는 것은 SMO에 기초한 공정 윈도우 최대화를 유도한다.
앞서 설명된 최적화는 리소그래피 공정에 불리할 수 있는 많은 물리적 영향들을 감소시키도록 (z1,z2,…,zN)의 값들의 세트를 찾기 위해 사용될 수 있다. 다수 최적화들이 상이한 물리적 영향들에 대해, 리소그래피 장치의 상이한 구성요소들 상에서, 그리고 상이한 조건들 하에서 연속으로 실행될 수 있다. 영향들, 구성요소들, 및 조건들 중 일부는 EUV를 이용하는 리소그래피에서 특유할 수 있다. 예를 들어, EUV 리소그래피 투영 장치에서, 투영 광학기는 1 이상의 반사 광학 구성요소를 포함하기 때문에 텔레센트릭(telecentric)이 아닐 수 있다. 비-텔레센트릭(non-telecentric) 광학 시스템은 상이한 거리들에서 대상들에 대해 변화하는 배율을 나타내고, 패턴 시프트를 초래할 수 있다. 예를 들어, EUV 리소그래피 투영 장치가 패턴 디바이스 상에 입사하는 비스듬한 주 광선들을 가질 수 있고, 이는 쉐도잉(shadowing) 및 패턴 시프트를 초래할 수 있다. 패턴 시프트는 패턴-의존적 및/또는 슬릿 위치-의존적일 수 있다. 예를 들어, EUV 리소그래피 투영 장치에서의 소스는 (예를 들어, 이산 반사 상태들을 갖는 거울들의 어레이에 의해 주어지는) 이산 소스일 수 있다. EUV 리소그래피 투영 장치 및 DUV 리소그래피 투영 장치 간의 차이들은 새로운 흐름의 최적화들을 필요로 한다. 물론, 본 명세서에 개시되는 흐름들은 EUV 리소그래피 투영 장치에서 사용하는 것에 제한되지 않는다. 새로운 최적화 흐름은 바람직하게는 쉐도잉 및 비-텔레센트리시티(telecentricity)로 인한 패턴 시프트, H-V 편향, 및 보썽 기울기(Bossung tilt)를 완화하고, 플레어(flare)에 대한 민감도를 감소시킨다.
도 6은 일 실시예에 따른 최적화들의 흐름을 나타낸다. 흐름은 소스 형상(이는 퓨필 평면과 같은 소스 아래의 평면에서의 세기 및/또는 위상 분포에 의해 표현될 수 있음) 및 마스크 디포커스 값(투영 광학기의 광학 축선을 따르는 패터닝 디바이스의 전역적 시프트)을 얻는 단계 610으로 시작한다. 소스 형상 및 마스크 디포커스 값은, 도 8 및 도 9에 예시된 바와 같이 여하한의 적절한 방법을 이용하여 선택되거나 측정될 수 있다.
선택적인 단계 620에서, 디자인 레이아웃은 연속 투과 마스크(continuous transmission mask)로서 최적화된다("CTM 최적화"). 이 최적화에서, 디자인 레이아웃의 모든 위치들에서의 투과는 다수의 이산 값들로 제한되지 않는다. 그 대신, 투과는 상한 및 하한 내의 여하한의 값을 가정한다. 더 상세한 내용은 일반적으로 승인된 미국 특허 제 8584056호에서 찾아볼 수 있으며, 이는 본 명세서에서 그 전문이 인용참조된다. 연속 투과 마스크는 패터닝 디바이스 상에 구현하기가 불가능하지 않더라도 매우 어렵다. 하지만, 이는 다수의 이산 값들로 투과를 제한하지 않는 것이 최적화를 훨씬 더 빠르게 하기 때문에 유용한 툴이다. EUV 리소그래피 투영 장치에서, 패터닝 디바이스는 반사형일 수 있다. 또한, CTM 최적화의 원리는 반사 패터닝 디바이스 상에 생성될 디자인 레이아웃에 적용가능하며, 이때 디자인 레이아웃의 모든 위치들에서의 반사율은 다수의 이산 값들로 제한되지 않는다. 그러므로, 본 명세서에 사용되는 바와 같이, "연속 투과 마스크"라는 용어는 반사 패터닝 디바이스 또는 투과 패터닝 디바이스 상에 생성될 디자인 레이아웃을 칭할 수 있다. CTM 최적화는 두꺼운-마스크 효과(thich-mask effect)들을 고려하는 3-차원 마스크 모델에 기초할 수 있다. 두꺼운-마스크 효과들은 광의 벡터 성질로부터 발생하며, 디자인 레이아웃 상의 피처 크기들이 리소그래피 공정에서 사용되는 광의 파장보다 작은 경우에 상당할 수 있다. 두꺼운-마스크 효과들은 전기장 및 자기장, 작은 개구부들에서의 투과, 반사도 및 위상 오차, 에지 회절(또는 산란) 효과들 또는 전자기 커플링에 대한 상이한 경계 조건들로 인한 편광 의존성을 포함한다. 3-차원 마스크 모델의 더 상세한 내용은 일반적으로 승인된 미국 특허 제 7703069호에서 찾아볼 수 있으며, 이는 본 명세서에서 그 전문이 인용참조된다.
선택적인 단계 630에서, 단계 620에서 연속 투과 마스크로서 최적화된 디자인 레이아웃에 기초한 디자인 레이아웃 내로 어시스트 피처들(서브 분해능 어시스트 피처들 및/또는 프린트가능한 분해능 어시스트 피처들)이 배치될 수 있다. 이 단계는 연속 투과 마스크로부터 어시스트 피처의 식별 및 디자인을 허용한다.
선택적인 단계 640에서, 소스, 디자인 레이아웃 및 투영 광학기의 모델이 얻어진다. 이 단계는 추가 사항들에서 설명될 것이다. 바람직하게는, 모델은 홉킨스 공식을 이용함으로써 에어리얼 이미지를 계산할 수 있다. 홉킨스 공식은 소스 및 투영 광학기의 투과 함수를 이용한다. 투과 함수는 제르니케 다항식으로 확장될 수 있다. 모델은 리소그래피 공정을 시뮬레이션하는 데 사용된다. 예를 들어, 모델은 소스, 디자인 레이아웃, 및 투영 광학기에 의해 생성되는 에어리얼 이미지를 시뮬레이션하기 위해 사용될 수 있다. 모델은 소스, 디자인 레이아웃 및 투영 광학기 각각에 대한 서브-모델들을 포함할 수 있다.
단계 650에서, 리소그래피 공정의 도즈는 선택적인 단계 640에서 얻어진 모델을 이용함으로써 최적화될 수 있다.
단계 660에서, 디자인 레이아웃은 소스의 다수의 슬릿 위치들 각각에 대해 최적화될 수 있다. EUV 리소그래피 장치에서, 관통 슬릿 수차(through slit aberration)는 슬릿 위치들에 따라 변하는 경향이 있다. 슬릿 수차는 기판 디포커스, 도즈 드리프트, 마스크 치수 변화, 가열 등과 같은 많은 영향으로 인한 것일 수 있다. 이 단계는 슬릿 수차에 대한 보상을 허용한다. 예를 들어, 슬릿 위치들 하에서 평가 포인트들을 측정하는 비용 함수가 이 단계에서 사용될 수 있다.
선택적인 단계 670에서, 슬릿 위치들 각각에 대해 공정 윈도우가 결정된다.
도 7a는 일 실시예에 따라 단계 640을 상세히 나타낸다. 단계 710에서, 소스가 프리폼 소스라는 가정 하에, 소스 및 디자인 레이아웃은 3-차원 마스크 모델을 이용하여 최적화될 수 있다. 프리폼 소스는 퓨필 평면에서의 세기가 다수의 이산 값들로 제한되지 않음을 의미한다. 소스가 프리폼 소스라고 가정하는 것이 이 최적화를 훨씬 더 빠르게 만든다. 3-차원 마스크 모델은 두꺼운-마스크 효과들을 고려한다. 두꺼운-마스크 효과들은 광의 벡터 성질로부터 발생하며, 디자인 레이아웃 상의 피처 크기들이 리소그래피 공정에서 사용되는 광의 파장보다 작은 경우에 상당할 수 있다. 두꺼운-마스크 효과들은 에지 회절(또는 산란) 효과들, 전자기 커플링, 또는 작은 개구부들에서의 위상 오차, 반사도, 투과, 및 전기장 및 자기장에 대한 상이한 경계 조건들로 인한 편광 의존성을 포함한다. 3-차원 마스크 모델의 더 상세한 내용은 일반적으로 승인된 미국 특허 제 7703069호에서 찾아볼 수 있으며, 이는 본 명세서에서 그 전문이 인용참조된다.
선택적인 단계 720에서, 마스크 디포커스는 단계 710에서 최적화된 소스 및 디자인 레이아웃을 이용하여 최적화된다. 이 단계는 마스크 디포커스의 조정으로 하여금, 단계 710에서의 최적화에 의해 야기된 소스 및 디자인 레이아웃에 대한 변화들을 수용하게 한다. 예를 들어, 마스크 디포커스는 디자인 변수일 수 있다. 비용 함수가 마스크 디포커스를 찾기 위해 최소화될 수 있다.
선택적인 단계 730에서, 단계 710에서 최적화된 바와 같은 소스 및 패터닝 디바이스에 의해 형성되는 에어리얼 이미지의 최적 포커스의 평면이 결정된다. 예를 들어, 최적 포커스는 디자인 변수일 수 있다. 비용 함수가 최적 포커스를 찾기 위해 최소화될 수 있다.
선택적인 단계 735에서, 도즈 및 포커스는 단계 710에서 최적화된 소스 및 디자인 레이아웃에 대해 최적화될 수 있다.
선택적인 단계 737에서, 소스가 프리폼 소스라는 가정 하에, 소스 및 디자인 레이아웃은 3-차원 마스크 모델을 이용하여 최적화될 수 있고, 적어도 하나의 확률적 영향이 고려된다.
리소그래피 투영 장치에서, 예를 들어 감소된 방사선 세기(또는 감소된 광자 수)로 EUV(예를 들어, 5 내지 20 nm 범위의 파장을 갖는 극자외 방사선) 소스 또는 비-EUV 소스를 이용하는 것이 더 강한 확률적 영향들, 예컨대 홀과 같은 작은 2-차원 피처들에서의 확연한 라인 폭 거칠기 및 국부적 CD 변동을 초래할 수 있다. EUV 소스를 이용하는 리소그래피 투영 장치에서, 감소된 방사선 세기(또는 감소된 광자 수)는 소스(또는 EUV 광자들의 높은 에너지)로부터 출력되는 낮은 총 방사선, 소스로부터의 방사선을 성형하는 광학기로부터의 방사선 손실, 투영 광학기를 통한 투과 손실, 일정한 도즈 하에 더 적은 광자들을 초래하는 높은 광자 에너지 등에 기인할 수 있다. 확률적 변동들은 광자 산탄 잡음(photon shot noise), 광자-발생(photon-generated) 이차 전자들, 광자 흡수 변동, 레지스트 내의 광자-발생 산(acid)과 같은 인자들에 기인할 수 있다. EUV가 요구되는 피처들의 작은 크기들이 이 확률적 변동들을 더 심화한다(compound). 더 작은 피처들에서의 확률적 변동들은 생산 수율에서 중요한 인자이고, 리소그래피 투영 장치의 다양한 최적화 공정들 내의 포함을 정당화한다.
동일한 방사선 세기 하에서, 각각의 기판의 더 적은 노광 시간이 리소그래피 투영 장치의 더 높은 스루풋을 야기하지만, 더 강한 확률적 변동을 초래한다. 주어진 방사선 세기 하에 주어진 피처에서의 광자 산탄 잡음은 노광 시간의 제곱근에 비례한다. 스루풋을 증가시키기 위한 더 적은 노광 시간에 대한 바람은 EUV 및 다른 방사선 소스들을 이용하는 리소그래피에서 존재한다.
또한, 스루풋은 기판에 지향되는 광의 총량에 의해 영향을 받을 수 있다. 몇몇 리소그래피 투영 장치들에서, 소스로부터의 광의 일부분이 소스의 원하는 형상들을 달성하기 위해 희생된다.
라인 에지 거칠기(line edge roughness)와 같은 확률적 변동이 일반적으로 근본적인 특성의 분포의 파라미터로 나타내어진다. 확률적 변동들의 일 예시는 라인 에지 거칠기(LER)이다. LER은 분포가 정규 분포라고 가정하여 피처의 에지의 공간 분포의 3σ로 나타내어질 수 있다. 3σ는 에지의 많은 노광 또는 시뮬레이션에서 피처의 에지의 위치들로부터 도출될 수 있다. 확률적 변동들의 일 예시는 라인 폭 거칠기(LWR)이다. LWR은 분포가 정규 분포라고 가정하여 1D 피처(예를 들어, 긴 라인)의 폭의 분포의 3σ일 수 있다. 1D 피처의 LWR은 폭의 많은 노광 또는 시뮬레이션에서 1D 피처의 폭으로부터 도출될 수 있다. 확률적 변동들의 또 다른 예시는 국부적 CD 균일성(LCDU)이다. LCDU는 CD의 많은 노광 또는 시뮬레이션에서 2D 피처의 CD의 분포(상기 분포는 정규 분포라고 가정함)의 3σ일 수 있다. 확률적 변동들의 다른 예시들은 접촉부-에지 거칠기(contact-edge roughness: CER), 웨건-휠(wagon-wheel) LCDU 등을 포함할 수 있다.
확률적 변동이 에어리얼 이미지 또는 레지스트 이미지의 특성에서의 확률적 영향에 의해 야기되는 편차의 가능성의 측정으로서 사용될 수 있다. 도 7b는 확률적 영향에 의해 야기되는 편차 및 비-확률적 영향들에 의해 야기되는 편차를 개략적으로 예시한다. 도 7b의 예시에서, 에어리얼 이미지 또는 레지스트 이미지 내의 피처의 에지의 의도된 위치가 점선(982)으로 나타내어진다. 실제 에지는 곡선(995)으로 나타내어지고, 이는 확률적 변동(이 예시에서는 LER) 및 확률적 영향에 관련없는 오차(예를 들어, 도즈 변동, 포커스 변동, 소스 형상, 마스크 오차들 등과 같은 다른 인자들에 의해 야기됨)를 포함한다. 실제 에지의 평균 위치는 실선(981)으로 나타내어진다. 평균 위치[실선(981)]와 의도된 위치[점선(982)] 간의 차이(980)는 확률적 영향에 관련없는 오차이고, 이는 에지 배치 오차(EPE)라고 칭해질 수 있다. 평균 위치에 대한 실제 에지의 변동은 확률적 변동이다. 확률적 변동을 에워싸는 평균 위치[실선(981)] 주위의 대역(band: 990)은 확률적 변동 대역이라 할 수 있고, 이는 확률적 영향으로 인해 실제 에지가 도달할 수 있는 정도를 나타낸다. 확률적 변동 대역의 폭은 EPE보다 클 수 있다. 그러므로, 에지의 의도된 위치[점선(982)]로부터의 가능한 총 편차는 EPE 및 확률적 변동 대역의 합일 수 있다. 확률적 변동이 존재하지 않는 경우, 이 예시에서의 에지의 실제 위치는 실선(981)에 의해 나타내어진 위치에 있을 것이며, 이는 이웃하는 피처(983)와 병합하지 않고, 이에 따라 결함을 생성하지 않는다. 하지만, 확률적 변동이 존재하고 확률적 변동 대역이 충분히 큰 경우[예를 들어, 대역(990)], 실제 에지는 이웃하는 피처(983)와 병합할 수 있고(점선 원에 의해 표시됨), 이에 따라 결함을 생성한다.
소스 및 디자인 레이아웃은 LWR, LER, LCDU와 같은 적어도 하나의 확률적 변동을 나타내는 비용 함수를 이용하여 단계 737에서 최적화될 수 있다. 일 예시에서, 비용 함수는 수학식 1의 형태를 가질 수 있다. 적어도 하나의 fp(z1,z2,…,zN)는 확률적 변동의 양함수(explicit function), 예컨대 fp(LER) = LER2(z1,z2,…,zN)일 수 있다. fp(z1,z2,…,zN)는 LER과 같은 확률적 변동의 함수인 변수의 양함수일 수 있다. 예를 들어, 블러 이미지 로그 슬로프(bl_ILS)가 LER의 함수일 수 있고,
Figure pct00021
이다. fp(z1,z2,…,zN)는 LER과 같은 확률적 변동에 영향을 주는 변수일 수 있다. 확률적 변동을 나타내는 비용 함수를 이용한 최적화는 확률적 변동을 감소시키거나 최소화하는 디자인 변수들의 값들을 초래할 수 있다. 비용 함수는 리소그래피 투영 장치 또는 기판의 여하한의 적절한 특성들, 예를 들어 포커스, CD, 이미지 시프트, 이미지 왜곡, 이미지 회전, 확률적 변동, 스루풋, LCDU, 또는 그 조합을 나타낼 수 있다. 일 실시예에서, 비용 함수는 LCDU, 스루풋, 및 확률적 변동들을 나타낸다(즉, 이의 함수이다). 일 실시예에서, 비용 함수는 EPE, 스루풋, 및 확률적 변동들을 나타낸다[예를 들어, 이의 함수인 fp(z1,z2,…,zN)를 포함한다]. 일 실시예에서, 비용 함수는 EPE의 함수인 fp(z1,z2,…,zN) 및 LER과 같은 확률적 변동의 함수인 fp(z1,z2,…,zN)를 포함한다. 확률적 변동을 나타내는 비용 함수의 일 예시는
Figure pct00022
형태를 가질 수 있다. 이는 EPE 및 LER이 둘 다 길이의 치수를 갖기 때문이다. 그러므로, 이들은 직접 더해질 수 있다. 확률적 변동을 나타내는 비용 함수의 또 다른 예시는
Figure pct00023
형태를 가질 수 있다. 일 실시예에 따르면, SEPE 항
Figure pct00024
은 |EPEp|가 사용자-선택 오프셋보다 큰 경우에만 턴 온(turn on)되어, SEPE 항이 EPE 항 EPEp 2를 좌우하지 않도록 할 수 있다. 따라서, 비용 함수는
Figure pct00025
로서 쓰여질 수 있고, 이때 |EPEp| ≤ OF인 경우에 sp = 0이고, |EPEp| > OF인 경우에 sp ≠ 0이며; OF는 오프셋이다.
단계 740에서, 프리폼 소스는 이산 소스에 매핑된다. 프리폼 소스는 소정 하드웨어로 직접 렌더링가능(renderable)하지 않다. 프리폼 소스의 퓨필 프로파일과 비슷하고 소스의 하드웨어에 의해 렌더링가능한(예를 들어, 거울들의 어레이에 의해 렌더링가능한) 초기 이산 퓨필 프로파일이 프리폼 소스의 퓨필 프로파일에 기초한 여하한의 적절한 방법을 이용하여 계산된다.
단계 750에서, 리소그래피 공정의 도즈가 최적화될 수 있다. 이 단계는 프리폼 소스의 이산 소스로의 매핑으로 인한 도즈 변화의 보상을 허용한다.
통상적인 기술은 소스로부터의 광을 성형하기 위해 회절 광학 요소(DOE)라 하는 유리 디스크(glass disc)들을 사용한다. 복잡한 퓨필 프로파일들에 대해, 이 DOE들이 맞춤 설계되거나 제조되어야 한다. 출원인의 FlexRay™ 소스가 개별적으로 조정가능한 거울들의 프로그램가능한 어레이를 사용한다. 이는 몇 분만에 여하한의 퓨필 프로파일을 생성할 수 있다 - DOE 디자인 및 제작과 연계된 긴 사이클 시간을 제거하고, 이에 따라 저 k1 디자인들을 산출하도록 램프(ramp)를 가속화함. 조정가능한 거울들의 수는 수백만큼 많을 수 있지만, 이들은 여전히 공간적으로 이산적이다(spatially discrete). 몇몇 리소그래피 투영 시스템들에서, 거울들은 연속적으로 조정가능하지는 않다 - 즉, 각각의 거울이 수 개(예를 들어, 2 내지 6)의 이산 상태들로 있을 수 있다. 거울들의 이산 성질로 인해, 거울들의 어레이를 이용하여 실제로 렌더링되는 퓨필 프로파일은 비슷하지만, 여전히 프리폼 소스의 퓨필 프로파일로부터 실질적으로 벗어날 수 있다. 이 편차는 EUV 소스에서 더 큰 경향이 있다. 이 편차는 거울들의 이산 성질이 고려된다면 소스가 최적화되는 경우에 감소될 수 있다. 하지만, 통상적인 이산 최적화[예를 들어, 분기 한정 알고리즘(branch-and-bound algorithm)]는 연산 비용이 많이 든다(실행 시간이 거울들의 수에 따라 기하급수적으로 증가함), 즉 O(an), 이때 a는 상수이고, n은 거울들의 수이다. 단계 760에서, 디자인 레이아웃 및 이산 소스는 거울들의 수에 비례하도록, 즉 O(n)이도록 연산 비용을 감소시킬 수 있는 방법에 따라 최적화된다. 이 방법은: 현재의 이산 퓨필 프로파일에 대한 이산 변화를 선택하고, 현재의 이산 퓨필 프로파일에 선택된 변화를 적용하는 단계 -이때, 선택된 이산 변화를 갖는 현재의 이산 퓨필 프로파일이 다음 반복에서 현재의 이산 프로파일이 됨- ; 이산 퓨필 프로파일의 이산 변화를 야기하는 소스 하드웨어(예를 들어, 이러한 소스 하드웨어는 거울들을 포함할 수 있음)에 관련되지 않은 디자인 변수들(예를 들어, 패터닝 디바이스, 도즈 등과 관련되는 디자인 변수들)을 고정된 퓨필 프로파일로 최적화하는 단계 -이때, 이 디자인 변수들은 이산 및/또는 연속 디자인 변수들을 포함할 수 있음- ; 종료 조건이 만족되지 않는 경우, 이 단계들을 반복하는 단계를 포함할 수 있다. 더 상세한 내용은 일반적으로 승인된 미국 특허 출원 일련번호 61/769015에서 찾아볼 수 있으며, 이는 본 명세서에서 그 전문이 인용참조된다.
선택적인 단계 770에서, 투영 광학기가 최적화될 수 있다. 예를 들어, 파면은 예를 들어 출원인의 FlexWave™ 투영 광학기를 이용함으로써 조정될 수 있다. 이 단계는 투영 광학기의 조정으로 하여금, 단계 760에서 최적화된 이산 소스 및 디자인 레이아웃을 수용하게 한다. 예를 들어, 투영 광학기를 특징짓는 디자인 변수들의 함수들인 비용 함수가 이 최적화에서 사용될 수 있다.
도 8은 일 실시예에 따라 단계 610을 상세히 나타낸다. 단계 810에서, 마스크 디포커스의 초기 값 및 초기 소스 형상(예를 들어, 링 형상)이 얻어진다. 마스크 디포커스는 소스에 약하게 의존할 수 있다. 그러므로, 마스크 디포커스의 초기 값 및 초기 소스 형상은 특별히 선택될 필요는 없다. 단계 820에서, 소스가 프리폼 소스라는 가정 하에, 소스 및 디자인 레이아웃은 얇은 마스크 모델을 이용하여 최적화될 수 있다. 얇은 마스크 모델은 두꺼운-마스크 효과들을 고려하지 않고, 이에 따라 3-차원 마스크 모델보다 빠르다. 단계 830에서, 리소그래피 공정의 도즈는 두꺼운-마스크 효과들을 고려하여 최적화될 수 있다. 이 단계는 얇은 마스크 모델로부터 두꺼운 마스크 모델로의 도즈의 변화들에 대한 보상을 허용한다. 선택적인 단계 840에서, 마스크 디포커스는 단계 820에서의 최적화된 소스에 대해 최적화되지만, 마스크 디포커스는 소스에 약하게만 의존할 수 있다. 도 8의 방법은 두꺼운-마스크 효과들 및 패턴 시프트를 감소시키는 데 효과적일 수 있다.
도 9는 대안적인 실시예에 따라 단계 610을 상세히 나타낸다. 도 8의 방법에 비해, 도 9의 방법은 연산이 더 빠르다. 마스크 디포커스는 소스에 약하게 의존할 수 있다. 그러므로, 마스크 디포커스를 얻는 방법이 수 개의 퓨필 프로파일들[예를 들어, 콰지-컨벤셔널(quasi-conventional), 큰 환형, 작은 환형, x-다이폴, y-다이폴, 퀘이사(quasar), 및 c-쿼드(quad)]을 이용하여 결정된 수 개의 마스크 디포커스 값들의 평균에 기초할 수 있다. 단계 910에서, 마스크 디포커스의 초기 값이 얻어진다. 단계 920에서, 수 개의 마스크 디포커스 값들이 수 개의 퓨필 프로파일들 및 마스크 디포커스의 초기 값을 이용하여 결정된다. 단계 930에서, 수 개의 마스크 디포커스 값들을 평균함으로써 단계 610의 마스크 디포커스 값이 얻어진다. 선택적인 단계 940에서, 조명 소스, 디자인 레이아웃의 부분 및 투영 광학기에 의해 형성된 에어리얼 이미지의 최적 포커스의 평면이 결정된다.
도 10은 본 명세서에 개시된 최적화 방법들 및 흐름들을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수(temporary variable)들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수도 있다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함한다. 정보 및 명령어들을 저장하는 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되며 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판(flat panel) 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 형태의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 명시하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스들을 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 최적화 공정의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수도 있다. 대안적인 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 실시예들은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어(wire)들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유(fiber optics)를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스들을 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 상기 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩(load)할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 국한된 모뎀(modem)은 전화선 상의 데이터를 수신할 수 있으며, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 형태의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 통상적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지들을 송신하고 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 1 이상의 실시예에 따르면, 예를 들어 하나의 이러한 다운로드된 어플리케이션은 실시예의 조명 최적화에 대해 제공될 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 11은 본 명세서에 설명된 방법들을 이용하여 조명 소스가 최적화될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선 투영 빔(B)을 공급하는 방사선 시스템(IL) -이러한 특정한 경우, 상기 방사선 시스템은 방사선 소스(SO)도 포함함- ;
- 패터닝 디바이스(MA)(예를 들어, 마스크 또는 레티클)를 유지하도록 구성된 홀더를 포함하고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기에 연결된 제 1 대상물 테이블(예를 들어, 마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더를 포함하고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기에 연결된 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭 광학 시스템]을 포함한다.
본 명세서에 서술된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 마스크를 가짐). 하지만, 일반적으로 상기 장치는 예를 들어 (반사 패터닝 디바이스를 갖는) 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 전형적인 마스크 사용의 대안예로서 또 다른 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저(excimer laser)]는 방사선 빔을 생성한다. 이 빔은 곧바로 또는, 예를 들어 빔 익스팬더(beam expander: Ex)와 같은 컨디셔너를 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정기(AD)를 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는다.
도 11과 관련하여, 상기 소스(SO)는 [흔히 상기 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성한 방사선 빔은 (예를 들어, 적절한 지향 거울의 도움으로) 장치 내부로 들어올 수 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 상기 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 상기 빔(PB)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과한다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PL)를 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(B)을 포커스한다. 제 2 위치설정기[및 간섭계 측정 디바이스(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(PB)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기는 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 도 9에 명확히 도시되지는 않는다. 하지만, [스텝-앤드-스캔 툴(step-and-scan tool)과는 대조적으로] 웨이퍼 스테퍼의 경우, 패터닝 디바이스 테이블(MT)은 단지 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:
- 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지가 한 번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 그 후, 상이한 타겟부(C)가 빔(PB)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다;
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PL)의 배율(통상적으로, M = 1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 12는 본 명세서에 설명된 방법들을 이용하여 조명 소스가 최적화될 수 있는 또 다른 예시적인 리소그래피 투영 장치(1000)를 개략적으로 도시한다.
리소그래피 투영 장치(1000)는:
- 소스 컬렉터 모듈(SO);
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치(1000)는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 마스크는 예를 들어 몰리브덴 및 실리콘의 다수-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다수-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 패터닝 디바이스 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 12를 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 방사선을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 하나의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 플라즈마는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 12에 나타내지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기를 위한 레이저 빔을 제공하는 데 사용되는 경우, 상기 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 상기 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 상기 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 상기 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 상기 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드 및 퓨필 거울 디바이스들(facetted field and pupil mirror devices)과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 상기 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 상기 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다.
도시된 장치(1000)는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한 번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
도 13은 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(1000)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치된 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상에 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소들이 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울들이 존재할 수 있으며, 예를 들어 도 13에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 13에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 바람직하게는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용된다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 14에 나타낸 바와 같은 LPP 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 짧은 파장들을 생성할 수 있는 첨단(emerging) 이미징 기술들로 유용할 수 있다. 이미 사용중인 첨단 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외) 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다.
본 발명은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 조명 소스 및 투영 광학기를 포함한 리소그래피 투영 장치를 이용하여 기판 상에 디자인 레이아웃의 부분을 이미징하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법으로, 상기 방법은:
소스 형상 및 마스크 디포커스 값을 얻는 단계;
리소그래피 공정의 도즈를 최적화하는 단계;
조명 소스의 복수의 슬릿 위치들 각각에 대해 디자인 레이아웃의 부분을 최적화하는 단계를 포함한다.
2. 1 항의 방법에서, 디자인 레이아웃을 최적화하는 단계를 더 포함하고, 디자인 레이아웃의 부분의 모든 위치들에서의 투과가 다수의 이산 값들로 제한되지 않는다.
3. 1 항 또는 2 항의 방법에서, 디자인 레이아웃의 부분으로 1 이상의 어시스트 피처를 배치하는 단계를 더 포함한다.
4. 3 항의 방법에서, 어시스트 피처들은 서브 분해능 어시스트 피처, 프린트가능한 분해능 어시스트 피처, 또는 그 조합을 포함한다.
5. 1 항 내지 4 항 중 어느 하나의 방법에서, 소스의 모델, 디자인 레이아웃의 부분의 모델, 투영 광학기의 모델, 또는 그 조합을 얻는 단계를 더 포함하고, 모델들은 소스, 디자인 레이아웃의 부분 및 투영 광학기에 의해 생성되는 에어리얼 이미지를 시뮬레이션하도록 구성된다.
6. 5 항의 방법에서, 모델들은 아베 또는 홉킨스의 공식을 이용함으로써 에어리얼 이미지를 계산하기 위해 구성된다.
7. 5 항의 방법에서, 리소그래피 공정의 도즈를 최적화하는 단계는 모델들 중 적어도 하나를 이용하는 단계를 포함한다.
8. 1 항 내지 7 항 중 어느 하나의 방법에서, 슬릿 위치들 각각에 대해 공정 윈도우를 결정하는 단계를 더 포함한다.
9. 5 항 내지 7 항 중 어느 하나의 방법에서, 모델들을 얻는 단계는 조명 소스가 프리폼 소스라는 가정 하에, 3-차원 마스크 모델을 이용하여 조명 소스 및 디자인 레이아웃의 부분을 최적화하는 단계를 포함한다.
10. 9 항의 방법에서, 모델들을 얻는 단계는 3-차원 마스크 모델을 이용하여 최적화된 조명 소스 및 디자인 레이아웃의 부분에 의해 형성되는 에어리얼 이미지의 최적 포커스의 평면을 결정하는 단계를 더 포함한다.
11. 9 항의 방법에서, 조명 소스 및 디자인 레이아웃의 부분을 최적화하는 단계는 적어도 하나의 확률적 변동을 나타내는 비용 함수를 이용하는 단계를 포함한다.
12. 9 항 내지 11 항 중 어느 하나의 방법에서, 모델들을 얻는 단계는 프리폼 소스를 이산 소스에 매핑하는 단계를 더 포함한다.
13. 9 항 내지 12 항 중 어느 하나의 방법에서, 모델들을 얻는 단계는 디자인 레이아웃의 부분 및 이산 소스를 최적화하는 단계를 더 포함한다.
14. 9 항의 방법에서, 모델들을 얻는 단계는 투영 광학기를 최적화하는 단계를 더 포함한다.
15. 1 항 내지 14 항 중 어느 하나의 방법에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 마스크 디포커스의 초기 값을 얻는 단계를 포함한다.
16. 15 항의 방법에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 얇은 마스크 모델을 이용하여 조명 소스 및 디자인 레이아웃의 부분을 최적화하는 단계를 더 포함하고, 조명 소스는 프리폼 소스이다.
17. 16 항의 방법에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 3-차원 마스크 모델을 이용함으로써 마스크 디포커스를 최적화하는 단계를 더 포함한다.
18. 15 항의 방법에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 복수의 퓨필 프로파일들을 이용하여 복수의 마스크 디포커스 값들을 결정하는 단계를 포함한다.
19. 15 항 및 18 항 중 어느 하나의 방법에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 수 개의 마스크 디포커스 값들을 평균하는 단계를 포함한다.
20. 15 항, 18 항 및 19 항 중 어느 하나의 방법에서, 소스 형상 및 마스크 디포커스 값을 얻는 단계는 조명 소스, 디자인 레이아웃의 부분, 및 투영 광학기에 의해 형성되는 에어리얼 이미지의 최적 포커스의 평면을 결정하는 단계를 포함한다.
21. 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품으로, 상기 명령어들은 컴퓨터에 의해 실행되는 경우 앞선 항들 중 어느 하나의 방법을 구현한다.
22. 리소그래피 이미징 장치로, 1 항 내지 20 항 중 어느 하나의 방법에 의해 결정된 소스 형상, 마스크 디포커스 값 및 도즈를 이용하여 기판 상에 디자인 레이아웃의 부분을 이미징하기 위해 구성된다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
본 발명의 실시형태들은 여하한의 편리한 형태로 구현될 수 있다. 예를 들어, 일 실시예가 유형 운반 매체(예를 들어, 디스크) 또는 무형 운반 매체(예를 들어, 통신 신호)일 수 있는 적절한 운반 매체 상에서 운반될 수 있는 1 이상의 적절한 컴퓨터 프로그램에 의해 구현될 수 있다. 본 발명의 실시예들은, 명확하게는 본 명세서에서 설명된 바와 같은 방법을 구현하도록 배치되는 컴퓨터 프로그램을 실행하는 프로그램가능한 컴퓨터의 형태를 취할 수 있는 적절한 장치를 이용하여 구현될 수 있다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 실시예들에 대한 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 조명 소스 및 투영 광학기를 포함한 리소그래피 투영 장치를 이용하여 기판 상에 디자인 레이아웃의 부분을 이미징하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법에 있어서:
    소스 형상 및 마스크 디포커스 값(mask defocus value)을 얻는 단계;
    상기 리소그래피 공정의 도즈(dose)를 최적화하는 단계; 및
    상기 조명 소스의 복수의 슬릿 위치(slit position)들 각각에 대해 상기 디자인 레이아웃의 부분을 최적화하는 단계
    를 포함하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  2. 제 1 항에 있어서,
    상기 디자인 레이아웃을 최적화하는 단계를 더 포함하고, 상기 디자인 레이아웃의 부분의 모든 위치들에서의 투과(transmission)가 다수의 이산 값(discrete value)들로 제한되지 않는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  3. 제 1 항에 있어서,
    상기 소스의 모델, 상기 디자인 레이아웃의 부분의 모델, 상기 투영 광학기의 모델, 또는 그 조합을 얻는 단계를 더 포함하고, 상기 모델들은 상기 소스, 상기 디자인 레이아웃의 부분 및 상기 투영 광학기에 의해 생성되는 에어리얼 이미지(aerial image)를 시뮬레이션하도록 구성되는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  4. 제 3 항에 있어서,
    상기 리소그래피 공정의 도즈를 최적화하는 단계는 상기 모델들 중 적어도 하나를 이용하는 단계를 포함하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  5. 제 1 항에 있어서,
    상기 슬릿 위치들 각각에 대해 공정 윈도우를 결정하는 단계를 더 포함하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  6. 제 3 항에 있어서,
    상기 모델들을 얻는 단계는 상기 조명 소스가 프리폼 소스(freeform source)라는 가정 하에, 3-차원 마스크 모델을 이용하여 상기 조명 소스 및 상기 디자인 레이아웃의 부분을 최적화하는 단계를 포함하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  7. 제 6 항에 있어서,
    상기 모델들을 얻는 단계는 상기 3-차원 마스크 모델을 이용하여 최적화된 상기 조명 소스 및 상기 디자인 레이아웃의 부분에 의해 형성되는 에어리얼 이미지의 최적 포커스(best focus)의 평면을 결정하는 단계를 더 포함하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  8. 제 6 항에 있어서,
    상기 조명 소스 및 상기 디자인 레이아웃의 부분을 최적화하는 단계는 적어도 하나의 확률적 변동(stochastic variation)을 나타내는 비용 함수를 이용하는 단계를 포함하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  9. 제 6 항에 있어서,
    상기 모델들을 얻는 단계는
    ·상기 프리폼 소스를 이산 소스(discrete source)에 매핑(map)하는 단계, 또는
    ·상기 디자인 레이아웃의 부분 및 상기 이산 소스를 최적화하는 단계, 또는
    ·상기 투영 광학기를 최적화하는 단계
    를 더 포함하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  10. 제 1 항에 있어서,
    상기 소스 형상 및 상기 마스크 디포커스 값을 얻는 단계는 마스크 디포커스의 초기 값을 얻는 단계를 포함하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  11. 제 10 항에 있어서,
    상기 소스 형상 및 상기 마스크 디포커스 값을 얻는 단계는 얇은 마스크 모델(thin mask model)을 이용하여 상기 조명 소스 및 상기 디자인 레이아웃의 부분을 최적화하는 단계를 더 포함하고, 상기 조명 소스는 프리폼 소스인 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  12. 제 11 항에 있어서,
    상기 소스 형상 및 상기 마스크 디포커스 값을 얻는 단계는 3-차원 마스크 모델을 이용함으로써 상기 마스크 디포커스를 최적화하는 단계를 더 포함하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  13. 제 10 항에 있어서,
    상기 소스 형상 및 상기 마스크 디포커스 값을 얻는 단계는
    ·복수의 퓨필 프로파일들을 이용하여 복수의 마스크 디포커스 값들을 결정하는 단계, 또는
    ·수 개의 마스크 디포커스 값들을 평균하는 단계
    를 포함하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  14. 제 10 항에 있어서,
    상기 소스 형상 및 상기 마스크 디포커스 값을 얻는 단계는 상기 조명 소스, 상기 디자인 레이아웃의 부분, 및 상기 투영 광학기에 의해 형성되는 에어리얼 이미지의 최적 포커스의 평면을 결정하는 단계를 포함하는 리소그래피 공정을 개선하는 컴퓨터-구현된 방법.
  15. 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품에 있어서,
    상기 명령어들은 컴퓨터에 의해 실행되는 경우, 제 1 항 내지 제 14 항 중 어느 한 항에 따른 방법을 구현하는 컴퓨터 프로그램 제품.
KR1020167031687A 2014-04-14 2015-02-13 리소그래피 공정들에 대한 최적화의 흐름들 KR102006321B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461979232P 2014-04-14 2014-04-14
US61/979,232 2014-04-14
PCT/EP2015/053099 WO2015158444A1 (en) 2014-04-14 2015-02-13 Flows of optimization for lithographic processes

Publications (2)

Publication Number Publication Date
KR20160141851A true KR20160141851A (ko) 2016-12-09
KR102006321B1 KR102006321B1 (ko) 2019-08-01

Family

ID=52544483

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167031687A KR102006321B1 (ko) 2014-04-14 2015-02-13 리소그래피 공정들에 대한 최적화의 흐름들

Country Status (5)

Country Link
US (2) US10025201B2 (ko)
KR (1) KR102006321B1 (ko)
CN (1) CN106164777B (ko)
TW (1) TWI624765B (ko)
WO (1) WO2015158444A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190099514A (ko) * 2016-12-28 2019-08-27 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 상의 유한한 두께들의 구조체들에 의한 방사선의 산란을 결정하는 방법들
KR20200106083A (ko) * 2018-02-18 2020-09-10 에이에스엠엘 네델란즈 비.브이. 이진화 방법 및 프리폼 마스크 최적화 흐름
KR20210098544A (ko) * 2016-12-28 2021-08-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 이미지와 디자인 사이의 시뮬레이션-지원 정렬

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014192518A1 (ja) * 2013-05-27 2014-12-04 インターナショナル・ビジネス・マシーンズ・コーポレーション 露光用光源およびマスクの設計方法、プログラム
TWI624765B (zh) * 2014-04-14 2018-05-21 Asml荷蘭公司 用以改良微影程序之電腦實施方法及電腦程式產品
TWI620980B (zh) 2015-02-13 2018-04-11 Asml荷蘭公司 影像對數斜率(ils)最佳化
US9891538B2 (en) * 2015-03-16 2018-02-13 Kla-Tencor Corp. Adaptive sampling for process window determination
US9965901B2 (en) * 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
EP3336608A1 (en) * 2016-12-16 2018-06-20 ASML Netherlands B.V. Method and apparatus for image analysis
US10262408B2 (en) * 2017-04-12 2019-04-16 Kla-Tencor Corporation System, method and computer program product for systematic and stochastic characterization of pattern defects identified from a semiconductor wafer
KR20200010496A (ko) * 2017-05-26 2020-01-30 에이에스엠엘 네델란즈 비.브이. 기계 학습에 기초한 어시스트 피처 배치
US10599046B2 (en) * 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
WO2018228820A1 (en) * 2017-06-14 2018-12-20 Asml Netherlands B.V. Lithographic apparatus and method
US11144701B2 (en) 2017-06-18 2021-10-12 Coventor, Inc. System and method for key parameter identification, process model calibration and variability analysis in a virtual semiconductor device fabrication environment
WO2019072703A1 (en) * 2017-10-11 2019-04-18 Asml Netherlands B.V. FLOW OF OPTIMIZATION OF PROCESS OF FORMATION OF REASONS
EP3495888A1 (en) * 2017-12-06 2019-06-12 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
WO2019121491A1 (en) * 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
KR102641864B1 (ko) 2018-03-19 2024-02-29 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스에 대한 곡선적 패턴들을 결정하는 방법
US10684555B2 (en) * 2018-03-22 2020-06-16 Applied Materials, Inc. Spatial light modulator with variable intensity diodes
TWI794544B (zh) * 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 用於高數值孔徑穿縫源光罩最佳化之方法
TWI766127B (zh) * 2018-11-19 2022-06-01 聯華電子股份有限公司 優化微影對焦參數的方法
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
WO2020182440A1 (en) 2019-03-08 2020-09-17 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
WO2021052712A1 (en) 2019-09-16 2021-03-25 Asml Netherlands B.V. Methods for generating characteristic pattern and training machine learning model
US11119404B2 (en) * 2019-10-10 2021-09-14 Kla Corporation System and method for reducing printable defects on extreme ultraviolet pattern masks
CN115190985A (zh) 2020-03-03 2022-10-14 Asml荷兰有限公司 基于机器学习的亚分辨率辅助特征放置
CN112394615B (zh) * 2020-11-16 2024-02-09 中国科学院上海光学精密机械研究所 极紫外光刻光源掩模优化方法
CN113031390A (zh) * 2021-03-15 2021-06-25 广东省大湾区集成电路与系统应用研究院 激光直写及其仿真的方法、装置
CN113534614B (zh) * 2021-06-28 2023-09-19 上海华力集成电路制造有限公司 一种基于扫描式曝光机的动态照明方法
WO2023280511A1 (en) 2021-07-06 2023-01-12 Asml Netherlands B.V. Determining localized image prediction errors to improve a machine learning model in predicting an image
CN115185165B (zh) * 2022-09-13 2023-01-06 全芯智造技术有限公司 佐辅模型的构建方法、光学修正方法及装置、终端
CN115933328B (zh) * 2022-12-16 2023-07-18 武汉宇微光学软件有限公司 一种基于凸优化的光刻模型标定方法和系统
CN116627101B (zh) * 2023-07-20 2023-09-19 北京东方泰阳科技有限公司 一种复合基片生产线的控制方法及系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008078652A (ja) * 2006-09-18 2008-04-03 Asml Netherlands Bv リソグラフィシステム、デバイス製造方法、及びマスク最適化方法
US20120052418A1 (en) * 2010-08-31 2012-03-01 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
US20120117522A1 (en) * 2010-11-10 2012-05-10 Asml Netherlands B.V. Optimization of Source, Mask and Projection Optics
KR20120113198A (ko) * 2011-04-04 2012-10-12 에이에스엠엘 네델란즈 비.브이. 다중 패터닝 공정과 리소그래피 장치 및 마스크 최적화 공정의 통합
KR20130114138A (ko) * 2010-09-14 2013-10-16 에이에스엠엘 네델란즈 비.브이. 리소그래피 시스템 내의 플레어 효과에 대한 보정

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
EP0527166B1 (de) 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP4075966B2 (ja) 1996-03-06 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 差分干渉計システム及びこのシステムを具えたリソグラフステップアンドスキャン装置
EP0890136B9 (en) 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
TWI285295B (en) * 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
US20040197672A1 (en) * 2003-04-01 2004-10-07 Numerical Technologies, Inc. Programmable aperture for lithographic imaging systems
TWI245163B (en) * 2003-08-29 2005-12-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7506299B2 (en) * 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography
JP4528580B2 (ja) * 2004-08-24 2010-08-18 株式会社東芝 照明光源の設計方法、マスクパターン設計方法、フォトマスクの製造方法、半導体装置の製造方法、及びプログラム
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
WO2009148976A1 (en) 2008-06-03 2009-12-10 Brion Technologies, Inc. Lens heating compensation methods
NL2003696A (en) 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
KR101766734B1 (ko) * 2008-11-21 2017-08-16 에이에스엠엘 네델란즈 비.브이. 자유 소스 및 자유 마스크를 이용하는 프로세스 최적화
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2005523A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
NL2007477A (en) * 2010-10-22 2012-04-24 Asml Netherlands Bv Method of optimizing a lithographic process, device manufacturing method, lithographic apparatus, computer program product and simulation apparatus.
NL2007642A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2009982A (en) * 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
US9940427B2 (en) * 2012-02-09 2018-04-10 Asml Netherlands B.V. Lens heating aware source mask optimization for advanced lithography
NL2011592A (en) * 2012-10-31 2014-05-06 Asml Netherlands Bv Compensation for patterning device deformation.
JP6095334B2 (ja) * 2012-11-26 2017-03-15 キヤノン株式会社 マスクパターンおよび露光条件を決定する方法、ならびにプログラム
KR101757777B1 (ko) 2013-02-22 2017-07-14 에이에스엠엘 네델란즈 비.브이. 3­차원 패터닝 디바이스에 대한 리소그래피 모델
KR101807687B1 (ko) * 2013-02-25 2017-12-11 에이에스엠엘 네델란즈 비.브이. 이산 소스 마스크 최적화
US10386727B2 (en) 2014-03-18 2019-08-20 Asml Netherlands B.V. Pattern placement error aware optimization
TWI624765B (zh) * 2014-04-14 2018-05-21 Asml荷蘭公司 用以改良微影程序之電腦實施方法及電腦程式產品

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008078652A (ja) * 2006-09-18 2008-04-03 Asml Netherlands Bv リソグラフィシステム、デバイス製造方法、及びマスク最適化方法
US20120052418A1 (en) * 2010-08-31 2012-03-01 International Business Machines Corporation Method for optimizing source and mask to control line width roughness and image log slope
KR20130114138A (ko) * 2010-09-14 2013-10-16 에이에스엠엘 네델란즈 비.브이. 리소그래피 시스템 내의 플레어 효과에 대한 보정
US20120117522A1 (en) * 2010-11-10 2012-05-10 Asml Netherlands B.V. Optimization of Source, Mask and Projection Optics
KR20120113198A (ko) * 2011-04-04 2012-10-12 에이에스엠엘 네델란즈 비.브이. 다중 패터닝 공정과 리소그래피 장치 및 마스크 최적화 공정의 통합
JP2012220955A (ja) * 2011-04-04 2012-11-12 Asml Netherlands Bv リソグラフィ装置と多重パターニングプロセスを含むマスク最適化プロセスとの統合

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190099514A (ko) * 2016-12-28 2019-08-27 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 상의 유한한 두께들의 구조체들에 의한 방사선의 산란을 결정하는 방법들
KR20210098544A (ko) * 2016-12-28 2021-08-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 이미지와 디자인 사이의 시뮬레이션-지원 정렬
KR20200106083A (ko) * 2018-02-18 2020-09-10 에이에스엠엘 네델란즈 비.브이. 이진화 방법 및 프리폼 마스크 최적화 흐름

Also Published As

Publication number Publication date
TWI624765B (zh) 2018-05-21
CN106164777A (zh) 2016-11-23
US20180341186A1 (en) 2018-11-29
US20170038692A1 (en) 2017-02-09
WO2015158444A1 (en) 2015-10-22
CN106164777B (zh) 2019-06-18
US10025201B2 (en) 2018-07-17
US10459346B2 (en) 2019-10-29
KR102006321B1 (ko) 2019-08-01
TW201539226A (zh) 2015-10-16

Similar Documents

Publication Publication Date Title
US10459346B2 (en) Flows of optimization for lithographic processes
US11835862B2 (en) Model for calculating a stochastic variation in an arbitrary pattern
US10558124B2 (en) Discrete source mask optimization
US9934346B2 (en) Source mask optimization to reduce stochastic effects
US10386727B2 (en) Pattern placement error aware optimization
KR102154206B1 (ko) 이미지 로그 슬로프(ils) 최적화
US11513442B2 (en) Method of determining control parameters of a device manufacturing process
KR102182005B1 (ko) 레지스트 변형을 결정하는 방법들
EP3462240A1 (en) Method of determining control parameters of a device manufacturing process
EP4042244A1 (en) Method for determining a field-of-view setting

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right