KR20130114138A - 리소그래피 시스템 내의 플레어 효과에 대한 보정 - Google Patents

리소그래피 시스템 내의 플레어 효과에 대한 보정 Download PDF

Info

Publication number
KR20130114138A
KR20130114138A KR1020137009450A KR20137009450A KR20130114138A KR 20130114138 A KR20130114138 A KR 20130114138A KR 1020137009450 A KR1020137009450 A KR 1020137009450A KR 20137009450 A KR20137009450 A KR 20137009450A KR 20130114138 A KR20130114138 A KR 20130114138A
Authority
KR
South Korea
Prior art keywords
flare
design layout
map
exposure
effects
Prior art date
Application number
KR1020137009450A
Other languages
English (en)
Other versions
KR101845273B1 (ko
Inventor
화-유 리우
웨이 리우
지앙웨이 리
루오치 첸
지옹 지앙
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20130114138A publication Critical patent/KR20130114138A/ko
Application granted granted Critical
Publication of KR101845273B1 publication Critical patent/KR101845273B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70941Stray fields and charges, e.g. stray light, scattered light, flare, transmission loss
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Environmental & Geological Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

기판 상에 디자인 레이아웃을 이미징하는 리소그래피 장치에 의해 생성된 플레어 효과를 감소시키는 방법이 설명된다. 점 확산 함수(PSF)와 노광 필드에서의 디자인 레이아웃의 밀도 맵을 수학적으로 조합함으로써 리소그래피 장치의 노광 필드에서의 플레어 맵이 시뮬레이션되며, 이때 플레어 맵에 대한 시스템-특정적 효과들이 시뮬레이션에서 통합될 수 있다. 결정된 플레어 맵을 이용함으로써 디자인 레이아웃에 대한 위치-의존성 플레어 보정들이 계산되어, 플레어 효과를 감소시킨다. 시뮬레이션에 포함되는 시스템-특정적 효과들 중 일부는: 마스크의 블랙 보더로부터의 반사로 인한 플레어 효과, 노광 슬릿을 정의하는 1 이상의 레티클-마스킹 블레이드들로부터의 반사로 인한 플레어 효과, 오버스캔으로 인한 플레어 효과, 동적 가스락(DGL) 메카니즘의 가스락 서브-어퍼처로부터의 반사들로 인한 플레어 효과, 및 이웃하는 노광 필드들로부터의 기여로 인한 플레어 효과이다.

Description

리소그래피 시스템 내의 플레어 효과에 대한 보정{CORRECTION FOR FLARE EFFECTS IN LITHOGRAPHY SYSTEM}
본 발명은 일반적으로 리소그래피 이미징에 관한 것이며, 본 출원은 2010년 9월 14일 출원된 US 가출원 61/403,383의 이익을 주장하고, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은 일반적으로 리소그래피 이미징에 관한 것으로, 특히 리소그래피 장치의 시스템-유도 플레어 효과(system-induced flare effect)들이 보상되는 마스크 제조용 디자인 레이아웃의 보정에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 다이의 부분, 한 개 또는 수 개의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다.
리소그래피는 IC, 및 다른 디바이스 및/또는 구조체의 제조 시 주요한 수단들 중 하나로서 폭넓게 인식된다. 하지만, 리소그래피를 이용하여 구성되는 피처들의 치수들이 더 작아짐에 따라, 리소그래피는 소형 IC 또는 다른 디바이스들 및/또는 구조체들이 제조될 수 있게 하는데 더 결정적인 인자(critical factor)가 되고 있다.
앞서 언급된 포토리소그래피 마스크는 실리콘 웨이퍼 상에 집적될 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 생성하는데 사용되는 패턴들은 CAD(computer-aided design) 프로그램들을 사용하여 생성될 수 있으며, 이 공정은 흔히 EDA(electronic design automation)라고 칭해진다. 대부분의 CAD 프로그램은 기능적인 마스크를 생성하기 위해 사전설정된 디자인 규칙의 세트를 따른다. 이러한 규칙들은 처리 및 디자인 제한들에 의해 설정된다. 예를 들어, 디자인 규칙은 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 서로 상호작용하지 않을 것을 보장하기 위해, (게이트, 커패시터 등과 같은) 회로 디바이스들 또는 상호연결 라인들 사이의 간격 공차(space tolerance)를 정의한다. 디자인 규칙 제한들은 통상적으로 "임계 치수(CD)"라고도 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소폭, 또는 두 라인들 또는 두 홀들 간의 최소 간격으로서 정의될 수 있다. 따라서, CD는 디자인된 회로의 전체 크기 및 밀도를 결정한다. 물론, 집적 회로 제작의 목표들 중 하나는 원래 회로 디자인을 (마스크를 통해) 웨이퍼 상에 충실하게 재현(reproduce)하는 것이다.
패턴 프린팅의 한계들의 이론적 추산은 수학식(1)에 나타낸 바와 같은 분해능에 대한 레일리 기준(Rayleigh criterion)에 의해 설명될 수 있다:
Figure pct00001
이때, λ는 사용되는 방사선의 파장이고, NA는 패턴을 프린트하는데 사용되는 투영 시스템의 개구수(numerical aperture)이며, k1은 레일리 상수라고도 칭하는 공정 의존성 조정 인자(process dependent adjustment factor)이고, CD는 프린트된 피처의 피처 크기(또는 임계 치수)이다. 수학식(1)에 따르면, 피처들의 프린트가능한 최소 크기의 감소는 세 가지 방식으로: 즉, 노광 파장 λ를 단축함으로써, 개구수 NA를 증가시킴으로써, 또는 k1의 값을 감소시킴으로써 얻어질 수 있다.
일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 웨이퍼 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정 단계들이 조명 소스, 투영 시스템 및 마스크 디자인에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤(customized) 조명 방식, 위상 시프팅 마스크들의 사용, SRAF(sub-resolution assist features)의 사용을 포함할 수 있는 마스크 레이아웃 내의 광 근접성 보정(optical proximity correction: OPC), 또는 일반적으로 '분해능 향상 기술들'(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다. 디자인 레이아웃의 수정을 수반할 수 있는 RET 기술들은 'OEF(optical enhancement features)'라고 칭해질 수 있다.
앞서 설명된 바와 같이, 노광 파장을 단축하고, 이에 따라 프린트가능한 최소 크기를 감소시키기 위해, 원자외(DUV) 또는 극자외(EUV) 파장을 갖는 방사선 소스를 사용하는 것이 제안되었다. DUV 파장 방식이 이미 상업적으로 이용되는 한편, EUV 파장 방식은 DUV에 비해 훨씬 더 짧은 파장이라는 명백한 이유로 빠르게 흥미로운 상업적 기술이 되고 있다. EUV 방사선은 5 내지 20 nm의 범위, 예를 들어 13 내지 14 nm의 범위 내의 파장을 갖는 전자기 방사선이다. 또한, 10 nm보다 짧은 파장, 예를 들어 6.7 nm 또는 6.8 nm와 같이 5 내지 10 nm의 범위 내의 파장을 갖는 EUV 방사선이 사용될 수 있다고 제안되었다. 이러한 방사선은 극자외 방사선 또는 연질 x-선 방사선을 칭한다. 가능한 소스들로는, 예를 들어 레이저-생성 플라즈마 소스, 방전 플라즈마 소스, 또는 (특히 x-선 파장들에 대한) 전자 저장 링에 의해 제공되는 싱크로트론 방사선(synchrotron radiation)에 기초한 소스들을 포함한다.
하지만, EUV 리소그래피 시스템들은 리소그래피 시뮬레이션을 위해 주의해야 할 몇몇 특유한 특성들을 갖는다. EUV 투영 리소그래피 시스템들은 3-차원 토폴로지를 갖는 마스크들 및 반사 광학 요소들에 의존해야 하고, 통상적으로 이미지 형성을 위해 비스듬한 조명(oblique illumination)을 사용하기 때문에, 리소그래피 공정 시 몇몇 바람직하지 않은 쉐도잉(shadowing) 및 플레어 효과들이 발생하며, 이는 극복되어야 한다.
플레어는, 일반적으로 광학 경로에서 광학 표면들 상의 거칠기(roughness)로 인한 광의 산란에 의해 야기되는 바람직하지 않은 배경광(background light)(즉, 잡음)으로서 정의된다. 플레어는 이미지 평면에서 이미지 콘트라스트를 저하시킨다. 따라서, 플레어를 가능한 한 많이 감소시키는 것이 바람직하다.
"플레어를 갖는 에어리얼 이미지"는 "플레어 없는 에어리얼 이미지"와 점-확산 함수(point-spread function: PSF)의 콘볼루션에 산란(scattering)을 더한 것과 동일하다. 이는 다음과 같이 표현될 수 있다:
Figure pct00002
이때, Inoflare는 플레어 없는 에어리얼 이미지이고, Iflare는 플레어를 갖는 에어리얼 이미지이며, c0는 에너지 보존을 보장하는 정규화 상수이다.
이미지 콘트라스트에 대한 부정적 효과 외에도, 플레어는 스캐닝 슬릿에 걸쳐 고르지 않게 분포되고, 노광 필드와 균일하지 않으며, 이는 필드-내 CD 변동을 야기할 수 있다. 그러므로, 피처들을 보호하고 배경 표유광을 감소시키는 것이 점점 더 중요해진다. 배경 표유광의 효과들을 감소시키거나 무효화하는 방식의 문제는 노광 툴의 파장들이 감소됨에 따라 더 중요해진다.
현재는, 컴퓨터 리소그래피(computational lithography)-기반 시뮬레이션 모델에서 타겟 디자인 레이아웃으로부터 플레어 맵이 생성된다. 플레어 맵은 노광 필드 내에서의 플레어의 분포를 정의한다. 플레어 맵은 타겟 디자인 레이아웃을 마스크에 대한 수정된 디자인 레이아웃으로 수정하는데 필요한 보정 항들을 계산하기 위하여 생성된다. 하지만, 여전히 컴퓨터 리소그래피에서의 플레어 맵 생성의 정확성 및 효율성을 개선할 여지가 있으며, 이때 플레어 맵은 광 근접성 효과들 및 다른 가능한 리소그래피-시스템-특정적 효과들을 상쇄하는데 필요한 (디자인 레이아웃 내의 플레어 감소 어시스트 피처들의 추가 및/또는 피처 에지들의 재위치와 같은) 마스크 수정의 효과들을 완전히 통합하여야 하고, 이는 플레어 분포를 수정할 수 있다.
그러므로, 플레어 맵 모델링의 정확성, 특히 플레어 효과들이 더 짧은 파장들을 이용함으로써 달성되는 이미징 이점들에 부정적 영향을 주는 DUV 및 EUV 시스템들에서의 정확성을 개선하는 것이 바람직하다. 동시에, 플레어 맵 모델링의 정확성이 컴퓨터에 의해 효율적인 방식으로 달성되는 것이 바람직하며, 즉 플레어 맵을 생성하는데 필요한 시간 및 연산력이 전체 리소그래피 처리 시스템 및 시간 프레임 내에서 허용가능한 레벨이어야 한다.
본 발명은 리소그래피 장치 내의 플레어 효과들의 보정에 관한 것이다. 플레어 효과들은 EUV 리소그래피에서 더욱 두드러지지만, 본 발명의 범위가 방사선 소스의 파장에 의해 제한되지는 않는다. 예를 들어, 원자외(DUV) 리소그래피 공정이 본 발명의 실시예들에 의해 개선될 수도 있다. 또한, 통상적으로 EUV 리소그래피 시스템에서도 잔여 DUV 방사선(remnant DUV radiation)들이 존재할 수 있고, 노광 필드 내의 플레어 분포에 영향을 미칠 수 있다. 본 발명은 EUV 및 DUV 방사선을 모두 포함하는 시뮬레이션 방법들을 개시하고 있다. 본 발명의 일 실시형태에서, 기판 상에 디자인 레이아웃을 이미징하는 리소그래피 장치에 의해 생성된 플레어 효과를 감소시키는 방법이 설명된다. 점-확산 함수(PSF)와 노광 필드에서의 디자인 레이아웃의 밀도 맵(density map)을 수학적으로 조합함으로써 리소그래피 시스템의 노광 필드 내의 플레어 맵이 시뮬레이션되며, 이때 플레어 맵에 대한 시스템-특정적 효과들이 시뮬레이션에 통합된다. 결정된 플레어 맵을 이용함으로써 디자인 레이아웃에 대한 위치-의존성 플레어 보정들이 계산되어, 플레어 효과를 감소시킨다. 시뮬레이션에 포함되는 시스템-특정적 효과들 중 일부는 다음과 같다: 마스크의 블랙 보더(black border)로부터의 반사로 인한 플레어 효과, 노광 슬릿을 정의하는 1 이상의 레티클-마스킹 블레이드들로부터의 반사로 인한 플레어 효과, 오버스캔으로 인한 플레어 효과, 동적 가스락(dynamic gas lock: DGL) 메카니즘의 가스락 서브-어퍼처로부터의 반사들로 인한 플레어 효과, 및 이웃하는 노광 필드들로부터의 기여로 인한 플레어 효과.
본 발명의 또 다른 실시형태에서, 밀도 맵은 OEF(optical enhancement features)에 의해 수정된 후 디자인 레이아웃으로부터 생성된다. 후-OEF 디자인 레이아웃에 대해 플레어 보정들이 계산된다.
본 발명의 또 다른 실시형태에서, 플레어 보정은 두 스테이지로 수행된다: 제 1 스테이지는 알려진 공정 파라미터들을 이용하여 플레어 효과들을 보정하고, 제 2 스테이지는 앞선 방법에 의해 계산된 바와 같이 개선된 플레어 맵을 이용함으로써 플레어 효과들을 보정한다.
본 발명의 또 다른 실시형태에서, 실행되는 경우 컴퓨터가 앞서 언급된 플레어 보정 방법들을 수행하게 하는 명령어들이 기록되어 있는 컴퓨터-판독가능한 매체를 포함하는 컴퓨터 프로그램 제품이 개시된다.
또한, 본 발명의 플레어 보정 방법은 현재 디자인 흐름에 쉽게 통합되고, 마스크(레티클) 제조역량 문제들을 유도하지 않으며, 최소 데이터 볼륨 임팩트(minimum data volume impact)를 갖는다.
또한, 본 발명은 플레어의 영향을 감소시키고, 더 우수한 공정 범위(process latitude)를 생성하며, CD 제어를 제공하고, 디바이스 성능을 개선하는 방법론을 제공한다.
당업자라면, 본 발명의 예시적인 실시예들의 다음 상세한 설명으로부터 본 발명의 추가적인 장점들을 분명히 알 것이다. 다음 상세한 설명 및 첨부된 도면들을 참조함으로써, 추가적인 목적들 및 장점들과 함께 본 발명 자체를 더 잘 이해할 수 있다.
이하 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 도시하는 도면;
도 2는 리소그래피 장치(100)를 더 상세히 도시하는 도면;
도 3은 도 1 및 도 2의 장치의 소스 컬렉터 모듈(SO)을 더 상세히 도시하는 도면;
도 4는 리소그래피 시뮬레이션 모델의 기능적 모듈들을 나타내는 예시적인 블록도;
도 5는 본 발명의 일 실시예에 따른 2-스테이지 플레어 보정 방법의 핵심 단계들을 설명하는 흐름도;
도 6은 본 발명의 특정 실시예에 따른 플레어 보정 흐름의 다양한 스테이지들에서의 예시적인 플레어 차이를 나타내는 도면;
도 7은 플레어 맵으로 전환되는 밀도 맵의 일 예시를 나타내는 도면;
도 8은 종래의 1-스테이지 플레어 보정 공정 흐름을 나타내는 도면;
도 9는 본 발명의 일 실시예에 따른 2-스테이지 플레어 보정 공정 흐름을 나타내는 도면;
도 10은 단일 칩의 디자인 레이아웃이 제 1 스테이지에서 플레어 보정을 통합하는데 사용되는 본 발명의 일 실시예에 따른 또 다른 2-스테이지 플레어 보정 공정 흐름을 나타내는 도면;
도 11은 본 발명에서 설명된 시스템-특정적 플레어 효과들의 소스들을 나타내는 리소그래피 시스템의 일부분을 개략적으로 나타내는 도면;
도 12는 DGL 메카니즘의 가스락 서브-어퍼처로부터의 반사들이 추가적인 플레어 효과들의 소스인 경우, 본 발명의 일 실시예에 따른 DGL(Dynamic Gas Lock) 메카니즘을 나타내는 도면;
도 13은 본 발명의 일 실시예에 따른 필드-내 플레어 효과들을 나타내는 도면;
도 14 및 도 15는 등방성 및 이방성 점 확산 함수들(PSF)을 각각 나타내는 도면;
도 16 내지 도 20은 본 발명의 방법들을 이용하여 시뮬레이션된, DGL의 가스락 서브-어퍼처로부터의 반사들로 인한 플레어 효과들을 나타내는 도면;
도 21 내지 도 25는 본 발명의 실시예들에 따른 시뮬레이션의 다양한 결과들을 나타내는 도면; 및
도 26은 본 발명의 시뮬레이션 방법의 구현에 도움이 될 수 있는 컴퓨터 시스템을 나타내는 블록도이다.
1. 도입부
이제 도면들을 참조하여 본 발명이 상세히 설명될 것이며, 이는 당업자가 본 발명을 실행할 수 있도록 본 발명의 예시들로서 제공된다. 아래에서 부호 및 예시들은 본 발명의 범위를 단일 실시예에 제한하려는 것이 아니며, 설명되거나 예시된 요소들 중 일부 또는 모두의 상호교환에 의해 다른 실시예들이 가능하다는 것을 유의한다. 또한, 본 발명의 소정 요소들이 알려진 구성요소들을 이용하여 부분적으로 또는 완전히 구현될 수 있는 경우, 이러한 알려진 구성요소들 중 본 발명을 이해하는데 필요한 부분들만이 설명될 것이며, 이러한 알려진 구성요소들의 다른 부분들의 세부 설명은 본 발명을 애매하게 하지 않도록 생략될 것이다. 당업자라면 이해하는 바와 같이 본 명세서에서 달리 명시되지 않는 한, 소프트웨어로 구현되는 것으로서 설명된 실시예들은 이에 제한되어서는 안 되며, 하드웨어로, 또는 소프트웨어와 하드웨어의 조합들로 구현된 실시예들을 포함할 수 있고, 그 역도 가능하다. 본 명세서에서, 단수의 구성요소를 나타내는 실시예는 제한적인 것으로 간주되어서는 안 된다; 오히려, 본 발명은 본 명세서에서 달리 명확히 설명되지 않는 한 복수의 동일한 구성요소를 포함한 다른 실시예들을 포함하도록 의도되며, 그 역도 가능하다. 또한, 출원인은 이러한 것으로서 명확히 설명되지 않은 경우, 명세서 또는 청구항들에서의 여하한의 용어가 두드러지거나 특수한 의미로 여겨질 것을 의도하지 않는다. 또한, 본 발명은 예시의 방식으로 본 명세서에 언급된 알려진 구성요소들의 현재 알려진 등가물 및 미래에 알려질 등가물을 포함한다.
본 명세서에서는, IC의 제조에 있어서 본 발명의 특정 사용예에 대하여 언급되지만, 본 발명은 다수의 다른 가능한 적용예들을 갖는다는 것을 명확히 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "마스크", "기판" 및 "타겟부"와 같은 좀 더 일반적인 용어로 대체되는 것으로 간주되어야 한다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 EUV(예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는데 사용되며, 이에 제한되지는 않는다.
또한, 본 발명에 관련하여 "OEF(optical enhancement features)"라는 용어는 광 근접성 보정(OPC), 및 서브-분해능 피처들의 프린트 충실도(print fidelity)를 향상시키도록 마스크에 대한 수정된 디자인 레이아웃에 배치되는 SRAF(sub-resolution assist feature)들을 둘 다 포함한다. 아래에서 더 설명되는 바와 같이, 마스크에 대한 후-OEF 수정된 디자인 레이아웃은 플레어 및/또는 쉐도우 효과들을 보정하기 위해 더 수정될 수 있다. 본 발명은 플레어 효과들을 보상하는 것에 대해 강조한다. 몇몇 실시예들에서는 쉐도우 효과들 및 플레어 효과들이 통합된 리소그래피 시뮬레이션 모델에서 고려되는 한편, 다른 실시예들에서는 플레어 효과들이 별도로 보정되고, 플레어 보정 이후 또는 이전에 알려진 기술들을 이용하여 쉐도우 효과들이 보상될 수 있다. 쉐도우 효과들이 "시스템-특정적 효과들" 또는 "기계-특정적 효과들"이라는 용어에 포함될 수는 있지만, 일반적으로 본 발명은 마스크의 보더('블랙 보더'라 함)로부터의 반사, 노광 필드 내의 노광 슬릿을 정의하는 레티클-마스킹 블레이드(ReMa 블레이드)들로부터의 반사, 동적 가스락(DGL)의 가스락 서브-어퍼처로부터의 반사, 필드 오버스캔, 이웃하는 노광 필드들로부터의 기여 등을 포함한(단, 이에 제한되지 않음) 인자들에 의해 야기되는 시스템-특정적 플레어 효과들을 강조한다. 이 인자들은 통상적으로 (도 8, 도 9 및 도 10 각각의 810, 910 및 1010과 같은) EUV 시스템 모델로 형성되지 않지만, 소정 실시예들에서는 시스템-특정적 효과들을 EUV 시스템 모델로 통합하는 것이 가능하다. 도 11 및 도 12는 시스템-특정적 플레어 효과들의 소스들 중 일부를 개략적으로 나타낸다. 앞선 시스템-특정적 효과들 중 일부는 이웃하는 필드가 존재하지 않는 '격리된' 노광 필드에 적용가능하다는 것을 유의한다. 예를 들어, ReMa 블레이드들, DGL 가스락 서브-어퍼처 및 블랙 보더로부터의 반사들, 및 오버스캔 효과가 격리된 필드에 적용가능할 수 있다. ReMa 블레이드들 및 블랙 보더로부터의 반사들로 인한 플레어, 및 오버스캔으로 인한 플레어는 집합적으로 "필드 에지 효과들"이라고 칭할 수 있다. 이웃하는 필드들이 존재하는 경우에는, 필드-내 효과도 특정한 노광 필드 내에서 플레어 효과에 기여하는 인자이다. 다시 말하면, 후속한 필드들이 전체 웨이퍼를 덮도록 계속적인 노광들을 이용하여 스캐닝되는 경우, 이웃하는 필드들의 존재로 인해 필드-에지 효과들이 더 강화될 수 있다. 또한, 필드 에지 효과는 격리된 필드 내에서 상이한 위치들을 스캐닝하는 노광 슬릿으로부터 발생할 수 있다.
2. 본 발명의 예시적인 실시예들을 구현하는 리소그래피 시스템의 일반적인 환경
A. 예시적인 리소그래피 툴
이 부분은 예시적인 리소그래피 툴, 특히 예시적인 EUV 리소그래피 툴을 설명한다.
도 1은 본 발명의 일 실시예에 따른 소스 컬렉터 모듈(SO)을 포함하는 리소그래피 장치(100)를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)(예를 들어, EUV 방사선)을 컨디셔닝(condition)하도록 구성된 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크 또는 레티클)(MA)를 지지하도록 구성되고, 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 반사 투영 시스템)(PS)을 포함한다.
간명함을 위해, 투영 시스템은 이후 "렌즈"라고 언급될 수 있다; 하지만, 이 용어는 예를 들어 굴절 광학기, 반사 광학기 및 카타디옵트릭(catadioptric) 시스템을 포함하는 다양한 타입의 투영 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, 방사선 시스템은 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있으며, 이러한 구성요소들은 아래에서 집합적으로 또는 개별적으로 "렌즈"라고 언급될 수도 있다. 본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다. 또한, 리소그래피 장치는 2 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 디바이스에서는 추가 테이블들이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비 작업 단계가 수행될 수 있다.
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 형태의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 형태의 광학 구성요소들을 포함할 수 있다.
지지 구조체(MT)는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스(MA)를 유지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다.
"패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 수 있다.
투영 시스템 및 조명 시스템은 사용되는 노광 방사선에 대하여, 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입의 광학 구성요소들을 포함할 수 있다. 가스들이 너무 많은 방사선을 흡수할 수 있기 때문에, EUV 방사선에 대해 진공을 사용하는 것이 바람직할 수 있다. 그러므로, 진공 벽 및 진공 펌프들의 도움으로 전체 빔 경로에 진공 환경이 제공될 수 있다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 반사 마스크를 채택하는) 반사형으로 구성된다. 대부분의 재료들이 EUV 파장 범위 내에서 흡수성이기 때문에, 마스크는 예를 들어 몰리브덴 및 실리콘의 다중-스택을 포함한 다층 반사기들을 가질 수 있다는 것을 유의하여야 한다. 일 예시에서, 다중-스택 반사기는 40 층의 몰리브덴 및 실리콘 쌍들을 갖고, 이때 각 층의 두께는 1/4 파장(quarter wavelength)이다. 훨씬 더 작은 파장들이 X-선 리소그래피로 생성될 수 있다. 대부분의 재료가 EUV 및 x-선 파장에서 흡수성이기 때문에, 마스크 토포그래피 상의 패터닝된 흡수성 재료의 박편(예를 들어, 다층 반사기 최상부 상의 TaN 흡수재)이 프린트되거나(포지티브 레지스트) 프린트되지 않을(네거티브 레지스트) 피처들의 위치를 정의한다.
도 1을 참조하면, 일루미네이터(IL)는 소스 컬렉터 모듈(SO)로부터 극자외 방사선 빔을 수용한다. EUV 광을 생성하는 방법들은 EUV 범위 내의 1 이상의 방출선을 갖는 적어도 1 이상의 원소, 예를 들어 크세논, 리튬 또는 주석을 갖는 재료를 플라즈마 상태로 전환하는 단계를 포함하며, 반드시 이에 제한되는 것은 아니다. 흔히 레이저 생성 플라즈마("LPP")라고 칭하는 이러한 한 방법에서, 요구되는 플라즈마는 요구되는 선-방출 원소를 갖는 재료의 액적(droplet), 스트림 또는 클러스터와 같은 연료를 레이저 빔으로 조사함으로써 생성될 수 있다. 소스 컬렉터 모듈(SO)은 연료를 여기시키는 레이저 빔을 제공하는 레이저(도 1에 나타내지 않음)를 포함한 EUV 방사선 시스템의 일부분일 수 있다. 결과적인 플라즈마는 출력 방사선, 예를 들어 EUV 방사선을 방출하며, 이는 소스 컬렉터 모듈에 배치된 방사선 컬렉터를 이용하여 수집된다. 예를 들어, CO2 레이저가 연료 여기에 대한 레이저 빔을 제공하는데 사용되는 경우, 상기 레이저 및 소스 컬렉터 모듈은 별도의 개체일 수 있다.
이러한 경우, 상기 레이저는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 상기 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템의 도움으로, 레이저로부터 소스 컬렉터 모듈로 통과된다. 다른 경우, 예를 들어 상기 소스가 흔히 DPP 소스라고 칭하는 방전 생성 플라즈마 EUV 발생기인 경우, 상기 소스는 소스 컬렉터 모듈의 통합부일 수 있다.
상기 일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 패싯 필드(facetted field) 및 퓨필 거울 디바이스들과 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는데 사용될 수 있다.
상기 방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 상기 패터닝 디바이스(예를 들어, 마스크)(MA)로부터 반사된 후, 상기 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(PS2)(예를 들어, 간섭계 디바이스, 리니어 인코더, 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(PS1)는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는데 사용될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다.
도시된 장치는 다음 모드들 중 적어도 1 이상에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다.
3. 또 다른 모드에서, 지지 구조체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
도 2는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(100)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 발생시키는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부(opening) 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려져 있는 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(251) 및 방사선 컬렉터 하류측(252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스된다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치된 패싯 필드 거울 디바이스(22) 및 패싯 퓨필 거울 디바이스(24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 웨이퍼 스테이지 또는 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상에 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소들이 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 형태에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울들이 존재할 수 있으며, 예를 들어 도 2에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 2에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서 스침입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 형태의 컬렉터 광학기(CO)는 바람직하게는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용된다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 3에 나타낸 바와 같은 LPP 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 하방천이(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선은 플라즈마로부터 방출되어, 부근의 수직입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재 내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
이상, 본 발명의 특정 실시예가 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해할 것이다. 예를 들어, 본 발명은 앞서 개시된 바와 같은 방법을 설명하는 기계-판독가능한 명령어의 1 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다. 상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해할 것이다. 이후, 시스템-특정적 플레어 효과들에 명확하게 기여하는 EUV 리소그래피 시스템의 더 특정한 구조적 구성요소들이 도 11 및 도 12를 참조하여 설명된다.
B. 예시적인 일반적 리소그래피 시뮬레이션 환경
이 부분은 컴퓨터 리소그래피 시뮬레이션을 수반하는 전반적인 디자인 및 이미징 공정을 간략히 설명한다. 본 명세서에 개시되는 개념들은 서브-파장 피처들을 이미징하는 여하한의 포괄적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 EUV 파장들을 포함하여 점점 더 작아지는 크기의 파장들을 생성할 수 있는 떠오르는 이미징 기술들과 함께 유용할 수 있다.
리소그래피 시뮬레이션 시스템에서, 주요 시스템 구성요소들은 예를 들어 도 4에 예시된 바와 같은 별도의 기능적 모듈들에 의해 설명될 수 있다. 도 4를 참조하면, 기능적 모듈들은 다양한 타겟 패턴들을 갖는 타겟 디자인을 정의하는 디자인 레이아웃 모듈(426); 이미징 공정에서 이용될 마스크를 정의하는 마스크 레이아웃 모듈(428); 시뮬레이션 공정 시 이용될 마스크 레이아웃의 모델을 정의하는 마스크 모델 모듈(430); 리소그래피 시스템의 광학 구성요소들의 성능을 정의하는 광학 모델 모듈(432); 및 주어진 공정에서 이용되는 레지스트의 성능을 정의하는 레지스트 모델 모듈(434)을 포함한다. 알려진 바와 같이, 시뮬레이션 공정의 결과는 예를 들어 결과 모듈(436)에서 예측된 윤곽 및 CD를 생성한다.
더 명확하게는, 조명 및 투영 광학기의 특성들은 광학 모델(432)에서 캡처되며, 이는 NA-시그마(σ) 세팅들 및 여하한의 특정 조명 소스 형상(예를 들어, 환형, 쿼드러폴 및 다이폴 등과 같은 오프-액시스 광 소스들)을 포함하고, 이에 제한되지는 않는다. 또한, 기판 상에 코팅된 포토-레지스트층의 광학 특성들, 즉 굴절률, 막 두께, 전파 및 편광 효과들이 광학 모델(432)의 일부분으로서 캡처될 수도 있다. 마스크 모델(430)은 레티클의 디자인 피처들을 캡처하며, 마스크의 세부 물리적 특성들의 표현을 포함할 수도 있다. 예를 들어, EUV 반사 마스크에서 마스크 모델은 다중-스택 반사기들, 흡수 패턴들, 및 마스크 내의 다른 재료들의 특성들을 고려할 수 있다. 최종적으로, 레지스트 모델(434)은 예를 들어 기판 웨이퍼 상에 형성된 레지스트 피처들의 윤곽들을 예측하기 위해, 레지스트 노광, 후-노광 베이크(PEB) 및 현상 시 일어나는 화학적 공정들의 효과들을 설명한다. 시뮬레이션의 목적은, 예를 들어 이후 타겟 디자인과 비교될 수 있는 에지 배치들 및 CD들을 정확히 예측하는 것이다. 타겟 디자인은 일반적으로 전-OPC 또는 전-OEF(pre-optical-enhancement-feature) 마스크 레이아웃으로서 정의되며, GDSⅡ 또는 OASIS와 같은 표준화된 디지털 파일 포맷으로 제공될 것이다.
리소그래피 공정이 최적화되어야 하는 타겟 디자인 레이아웃은 메모리, 테스트 패턴들, 및 로직을 포함할 수 있다. 일 실시예에서, 연산 부하를 감소시키기 위해 이 디자인 레이아웃으로부터 타겟 패턴들(클립들)의 초기 세트를 식별하는 것이 가능하다. 특정한 예시에서, 클립들의 전체 세트가 추출되고, 이는 디자인 레이아웃 내의 복잡한 패턴들을 모두 나타낸다(전형적으로, 약 50 내지 1000 개의 클립들이 사용되지만, 여하한 수의 클립들이 사용될 수 있음). 당업자라면 이해하는 바와 같이, 이 패턴들 또는 클립들은 디자인의 작은 부분들(즉, 회로들, 셀들 또는 패턴들)을 나타내며, 특히 클립들은 특정 주의 및/또는 검증이 요구되는 작은 부분들을 나타낸다. 하지만, 본 명세서에서는 주로, 몇몇 대표적 클립들을 이용하기보다는 전체 마스크 레이아웃(즉, 전체 노광 필드 내의 마스크 디자인 레이아웃)이 플레어 보정에 사용된 것으로 가정하였다.
3. 본 발명의 예시적인 방법들 및 실시예들
전형적인 고급 디자인에서, 거의 모든 피처 에지는 타겟 디자인 레이아웃에 충분히 가까운 프린트된 패턴들을 달성하기 위해 약간의 수정을 필요로 한다. 이 수정들은 에지 위치들 또는 라인 폭들의 시프팅 또는 편향(biasing), 및 자신은 프린트되지 않고 연계된 주요 피처들의 특성들에 영향을 주도록 의도되는 '어시스트' 피처들의 적용을 포함할 수 있다. 또한, 조명의 소스에 적용된 최적화 기술들이 상이한 에지들 및 피처들에 상이한 영향을 줄 수 있다. 조명 소스들의 최적화는 선택된 패턴의 광으로 소스 조명을 제한하기 위한 퓨필들의 사용을 포함할 수 있다. 그 후, 최적화된 소스는 (예를 들어, OEF 및 LMC를 이용하여) 마스크를 최적화하는데 사용된다. 본 발명은 디자인 레이아웃 수정들에 플레어 보정들을 통합함으로써 리소그래피 모델링의 확장을 제공한다.
도 5의 흐름도(500)는 본 발명의 일 실시예에 따른 플레어 보정 방법의 몇몇 핵심 단계들을 나타낸 예시적인 흐름도이다. 당업자라면, 도 5에 나타낸 방법이 단지 예시적인 단계들을 도시한다는 것을 이해할 것이다. 모든 단계가 실시예마다 포함되어야 하는 것이 아니고, 추가적인 중간/최종 단계들이 적용가능한 바와 같이 방법들에 포함될 수 있다. 단계들의 순서는 변경될 수 있다.
단계 502에서, 디자인 레이아웃이 얻어진다. 이 디자인 레이아웃은 전-OEF 레이아웃이다. 플레어 맵 생성의 1-스테이지 방법이라고 칭할 수 있는 종래의 플레어 보정 방법들에서, 기준 플레어 값(reference flare value)을 적용하지 않고 이 전-OEF 디자인 레이아웃으로부터 플레어 맵이 생성된다. 본 발명에서는, 다중-스테이지 보정 접근법(multi-stage correction approach)이 도입된다. 단계 502에 나타낸 제 1 스테이지(스테이지 1)에서, 디자인 레이아웃은 알려진 보정 파라미터들을 적용하여 수정되고, 이는 OEF들 및/또는 이전 경험으로부터 알려질 수 있는 기준 플레어 값을 적용하는 것을 포함할 수 있다. 리소그래피 기계는 후-OEF 및/또는 후-기준 플레어 보정 디자인 레이아웃에 대해 캘리브레이션(calibrate)될 수 있다. 그러므로, 제 1 스테이지에서 캘리브레이션되는 리소그래피 기계에 적합하도록 플레어 맵이 생성된다. 본 적용에서 설명된 예시들에서는 두 스테이지들만이 도시되지만, 여하한 수의 스테이지들이 정확한 플레어 맵을 생성하는데 사용될 수 있다.
단계 502에서 사용될 수 있는 기준 플레어 값은 노광 필드에 걸친 기준 플레어 값일 수 있다. 예를 들어, 특정한 리소그래피 툴 및 특정한 타겟 패턴에 대하여, x %(x는 여하한 수일 수 있음)의 평균 플레어 값이 적절한 추산치일 수 있고 디자인 레이아웃을 수정하는데 적용된다고 알려져 있을 수 있다. 디자인 레이아웃의 OEF 수정은 이전 경험에 기초하여 디자인 레이아웃의 피처들에 알려진 편향을 적용하는 것(즉, 알려진 병진운동량만큼 피처의 에지 세그먼트를 이동시키는 것), 또는 (도 9 및 도 10에 더 설명되는) 광학 시뮬레이션에 의해 예측되는 바와 같은 OEF들을 적용하는 것을 포함할 수 있다. 알려진 편향을 적용하는 일 예시는 EUV 마스크 토폴로지로부터 발생하는 쉐도우 효과들을 극복하는데 적용되는 콘트라스트 수평-수직(H-V) 편향이다. OEF 및 기준 플레어 보정이 둘 모두 스테이지 1에서 행해질 수 있으며, 또는 이들 중 하나만이 스테이지 1에서 행해질 수 있다. 마스크에 대한 디자인 레이아웃의 최종 보정은 스테이지 2에서 행해진다. 더 많은 보정이 스테이지 1에 통합될수록, 디자인 레이아웃을 수정하는데 사용되는 위치-의존성 보정 항들을 계산하는데 사용되는 중간 플레어 맵의 정확성이 더 우수하다. 하지만, 정확성과 연산 부하 사이에 상충관계(trade-off)가 존재할 수 있으며, 시뮬레이터들은 어떠한 형태의 보정이 스테이지 1 및 스테이지 2에 통합될지를 결정할 수 있다. 추가적으로, 당업자라면 본 발명은 1 이상의 알려진 보정 파라미터들이 단계 502에 적용되는 여부에 의해 제한되지 않는다는 것을 이해할 것이다. 본 발명은 수정되지 않은 원래 디자인 레이아웃과도 작용하며(도 8에 나타낸 플레어 맵 생성의 종래 공정과 유사함), 이때 모든 디자인 레이아웃 수정들은 후속 스테이지에서만 처리될 수 있고 제 1 스테이지에서는 다루지 않는다.
단계 504에서, 디자인 레이아웃으로부터 밀도 맵이 생성된다. 밀도 맵은 노광 필드에서의 디자인 레이아웃의 표현(representation)이다. 밀도 맵은 원래 타겟 디자인 레이아웃, 또는 단계 502에 설명된 바와 같이 스테이지 1에서 적용된 OEF들 및/또는 기준 플레어 보정 항들에 의해 수정된 디자인 레이아웃으로부터 생성될 수 있다. 당업자라면, 수학식(2)에서 Inoflare 항은 밀도 맵으로 대체될 수 있다는 것을 이해할 것이다. 연산을 감소시키기 위해, 밀도 맵은 (원래, 또는 OEF 및/또는 기준 플레어 값으로 수정된) 디자인 레이아웃을 OEF들을 생성하는데 사용된 훨씬 더 미세한 그리드보다는 비교적 개략적인 그리드(coarse grid), 예를 들어 0.5 ㎛ 그리드 상에 재배치함으로써 생성될 수 있다. 또한, 제 2 스테이지에서 훨씬 더 미세한 그리드가 후-OEF 에어리얼 맵 또는 후-OEF 디자인 레이아웃을 생성하여 보정 항들을 계산하기 위해 사용된다는 것을 유의할 수 있다.
단계 506에서, 밀도 맵 및 PSF는 수학적으로 조합된다. 플레어 맵은 전형적으로 PSF 및 밀도 맵의 콘볼루션으로서 유도된다. 이는 천문학적인 연산 시간량을 필요로 하는데, 이는 EUV에서의 PSF가 약 수만 미크론 범위에 달할 수도 있는 매우 긴 꼬리(tail)를 갖기 때문이다. 그러므로, 플레어 맵의 정확성을 떨어뜨리지 않고 플레어 모델링을 간소화하기 위해 다양한 연산 최적화 기술들이 적용된다. 예를 들어, PSF의 더 긴 꼬리부가 포화된 패턴 밀도에 의존하여 고정된 DC 플레어 레벨로 근사될 수 있으며, 긴-꼬리의 PSF를 대신하기 위해 짧은 범위의 PSF가 사용된다.
단계 507에서, 플레어 맵을 생성하기 위해 시스템 특정적 플레어 효과들이 통합된다. 단계 506 및 단계 507이 두 개의 상이한 단계들로 도시되지만, 이들은 단일 단계일 수 있고, 단계 506 및 단계 507의 작업 순서는 상호교환될 수 있다는 것을 유의하여야 한다. 예를 들어, 시스템-특정적 효과들이 콘볼루션 작업에 앞서 PSF 자체를 변화시키기 위해 통합될 수 있다. 시스템-특정적 플레어 효과들을 도입하는 다양한 가능성들이 도 9 및 도 10을 참조하여 설명된다. 단계 506 및 단계 507을 통해 생성된 플레어 맵은 다음 이유들 중 적어도 하나로 인한, (종래 기술에서와 같이) 원래 디자인 레이아웃으로부터 생성된 플레어 맵에 대한 개선이다: (1) 단계 502에서, 몇몇 개선들은 OEF 보정들 및/또는 기준 플레어 보정을 통합하는 형태로 이미 도입되었고; (2) 단계 506 및 단계 507에서, 플레어 맵은 특정 노광 필드의 위치에 의존하여 변할 수 있는 EUV 시스템-특정적 효과들을 통합함으로써 더 개선된다.
당업자라면, PSF가 데이터 테이블 포맷 또는 수학적 함수와 같은 여하한의 형태로 구성될 수 있다는 것을 이해할 것이다. 수학적 형태는 공간 주파수 도메인에서의 스펙트럼 형태일 수 있다. 또한, PSF는 공간 주파수 도메인에서 파워 스펙트럼 밀도(PSD)로 언급된다. Bruls 외의 공동-소유 발행된 특허 US 7,199,863이 PSD에 대해 일부 수학적인 세부사항을 설명한다. PSF의 데이터 테이블 포맷 버전에서는, PSF의 국부적 진폭이 이미지 평면 내의 기준 위치로부터의 거리들에 대해 표로 만들어진다(tabulate). 일반적으로, 단계 506 및 단계 507을 적용한 후 생성된 결과적인 플레어 맵은 정확한 플레어 맵으로 언급된다. 본 발명의 추가적인 한가지 목적은 플레어 맵 생성에 대한 실행시간을 가속하는 기술들을 이용하여 정확한 플레어 맵을 생성하는 것임을 유의하여야 한다. 단일 칩 디자인 레이아웃을 이용하는 것으로 시작하는 이 기술들 중 하나는 도 10을 참조하여 이후 상세히 설명된다.
본 발명의 플레어 보정의 다중-스테이지 구현의 소위 스테이지 2(또는 여하한의 앞선 단계들에 후속한 스테이지)인 단계 508에서, 단계 506으로부터의 정확한 플레어 맵은 알려진 보정 파라미터들에 의해 수정된 디자인 레이아웃에 대한 위치-의존성 플레어 보정을 계산하는데 사용된다. 단계 508에서, 보정 항들이 적용되는 기준 레이아웃으로서 후-OEF 디자인 레이아웃이 사용될 수 있다. 다시 말하면, 기준 디자인 레이아웃에 이미 포함된 알려진 보정 파라미터들은 충분히 갖춰진(full-fledged) 광학 시뮬레이션 모델에 의해 예측된 OEF들을 포함한다. 또한, 기준 플레어 보정은 후-OEF 기준 디자인 레이아웃으로 통합될 수 있다. 요구되는 추가 보정들은 정확한 플레어 맵으로부터 계산되는 플레어 보정 항들이다. 일 실시예에서, 초기 단계 506에서 수정되지 않은 PSF를 사용하고, 후-보정 기술로서 단지 최종 단계 508에만 시스템-특정적 플레어 효과 보정을 도입, 즉 다른 보정들이 단계 508에서 행해진 후 단계 507이 일어나는 것이 가능하다는 것을 유의하여야 한다.
도 6은 플레어 맵 생성의 정확성이 적절한 보정들을 통합함으로써 점진적으로 개선될 수 있는 방식의 일 예시를 나타낸다. 도 6에서, 블록들 602, 604, 606 및 608은 보정 흐름을 나타내는 한편, 블록들 610, 612, 614 및 616은 예시적인 플레어 차이들을 나타낸다. 플레어 맵 A(블록 610)는 종래 기술에서 행해지는 바와 같이 원래 타겟 디자인 레이아웃(블록 602)으로부터 유도된다. 플레어 맵 B(블록 612)는 본 발명의 일 실시예에 따라 스테이지 1의 원래 디자인 레이아웃에 3% 평균 플레어 및 OEF를 적용함(블록 604)으로써 생성된다. 추가적으로, 플레어 맵 B(블록 612)는 플레어 맵으로 시스템-특정적 효과들을 통합함(블록 618)으로써 더 개선될 수 있다. 예를 들어, 시스템-특정적 효과들은 PSF 자체에서 고려될 수 있다(도 15 참조). 대안적인 실시예들에서, PSF 자체는 수정되지 않으며, 수정되지 않은 PSF와 밀도 맵을 콘볼루션한 후 중간 밀도 맵이 생성되고, 상기 중간 밀도 맵이 시스템-특정적 효과들을 도입함으로써 더 개선된다. 플레어 맵 C(블록 614)는 스테이지 2에서 플레어 맵 B로부터 계산된 보정 항들을 이용하여 디자인 레이아웃을 더 보정한 후 생성된다. 이 단계는 블록 606으로서 도시된다. 당업자라면, 반드시 각각의 맵 A, B 및 C를 출력으로서 생성할 필요가 없다는 것을 이해할 것이다. 플레어 맵 생성은 마스크를 제조하기 위해 디자인 레이아웃에 적용되는 보정 항들을 계산하는데 사용되는 중간 연산 단계일 수 있다. 플레어 맵 A와 플레어 맵 C 간의 플레어 세기 차이는 1 %에 가까울 수 있다는 것을 알 수 있다. 이 차이는 시스템-특정적 효과들이 플레어 맵 B의 생성에 통합되는 경우에 더 큰 경향이 있다. 그러므로, 플레어 맵 B 및 플레어 맵 C는 노광 필드 내의 플레어 분포의 점진적으로 정확한 표현들이라고 말할 수 있다. 플레어 맵 B와 플레어 맵 C 간의 세기 차이는, OEF가 스테이지 1 및 스테이지 2에 적용되는 경우에도, 앞선 경험으로부터의 평균 플레어 값을 이용하는 것보다 개선된 플레어 맵 B를 이용함으로써 플레어 맵 모델링의 정확성이 증가될 수 있다는 것을 나타낸다. 최종적으로, 본 발명에 명확하게 포함되지는 않지만, 당업자라면 점선 내에 나타낸 플레어 맵 D(블록 616)를 유도할 수 있는 쉐도잉 보정들(블록 608)을 광학 모델링에 통합함으로써 플레어 분포의 정확성이 더 개선될 수 있다는 것을 이해할 것이다. ADT(Alpha Demonstration Tool)이라고 칭하는 검증 버전 리소그래피 툴을 이용하면, 패턴 피처 에지에서 약 1.3 nm 편향에 대해 1% 플레어 세기 차이가 발생하는 것으로 나타내어진다. 다시 말하면, 1% 추가 플레어의 효과를 보상하기 위해서는 패턴 피처에 1.3 nm의 편향이 적용되어야 한다. 이는 플레어 감도의 측정이다.
도 7은 다양한 타겟 패턴들(714)(이 경우에는 테스트 패턴들)을 나타낸 밀도 맵(708)와 PSF이 콘볼루션되고, 플레어 맵(710)이 생성되는 일 예시를 나타낸다. 플레어 맵(710)은 시스템-특정적 효과들을 도입함으로써 더 개선될 수 있다. 밀도 맵(708)은 세기가 실질적으로 일정한 배경 영역(background area: 712)을 갖는다는 것을 유의한다. 하지만, 플레어 맵(710)에서 [밀도 맵 내의 타겟 패턴(714)이 존재하지 않는] 배경 영역(712) 내의 플레어 세기의 분포는 일정하지 않으며, PSF에 기여하는 이웃하는 패턴들로부터의 배경 영역 내 지점의 거리에 따라 달라진다.
도 8은 원래 타겟 디자인 레이아웃으로부터의 플레어 맵 생성에 대한 종래 공정 흐름을 나타낸다. 단계 802에서, 전체 필드 레이아웃이 얻어지거나, 칩 및 잡덱(jobdeck)(즉, 필드 내의 칩의 위치에 관한 정보를 포함한 데이터베이스) 데이터가 얻어진다. 전-OEF 밀도 맵이 단계 804에서 생성된다. 단계 806에서, 플레어 PSF가 얻어진다. 단계 808에서, 밀도 맵 및 플레어 PSF가 콘볼루션되어, 전체-필드 플레어 맵 A(도 8에서 820 부분, 도 6에서도 설명됨)를 생성한다. 단계 814에서, 단계 808으로부터의 플레어 맵은 OEF에 의해 수정된 디자인 레이아웃에 대한 보정 항들을 계산하는데 사용된다. OEF들은 단계 812에서 광학 모델로부터 계산된다. 또한, OEF 모델링 단계(812)는 EUV 시스템 특성들의 베이스라인 모델을 통합할 수 있다(단계 810). 단계 814는 이 단일-스테이지 공정 흐름에서 플레어 보정을 적용하는 단 하나의 스테이지로 여겨질 수 있다. 앞선 단계들은 모두, 일반적으로 (점선 822 위에 나타낸 바와 같이) 광학 모델링 도메인에서 행해진다. 물리적 마스크에 대한 최종 디자인 레이아웃에서의 추가 보정이 점선 822 아래에 나타낸 바와 같이 마스크 샵(mask shop)에서 통합될 수 있다. 단계 816에서, 쉐도잉 보정 및 선택적인 추가 마스크 공정 보정(MPC)이 도입될 수 있다. 단계 818에서, 물리적 마스크에 대한 최종 디자인 레이아웃은 실제 마스크 제조를 위해 유도된다. 당업자라면, 광학 모델 자체에 쉐도잉 및 MPC 보정들을 포함하는 것이 가능하므로, 점선은 단지 하나의 가능성을 예시한다는 것을 이해할 것이다.
도 9는 본 발명의 2-스테이지 공정의 일 실시예를 나타낸다. 이 도면에서의 단계들 대부분은 도 8의 해당 단계들과 유사하므로, 여기에서는 도 8과 도 9의 공정 흐름들 간의 중요한 차이만을 설명한다. 한가지 중요한 차이는, 스테이지 2에서 플레어 보정의 제 1 스테이지가 행해진다는 것이다. 이 스테이지에서, 기준 플레어 값(단계 901) 및 OEF 모델 출력(단계 912) 중 적어도 하나와 같이 알려진 파라미터들이 전체-필드 레이아웃/칩-잡덱 데이터를 수정하는데 사용된다. 기준 플레어 값은 EUV 시스템 모델(단계 901)의 일부분일 수 있다. 그러므로, 단계 904에서의 밀도 맵은 알려진 파라미터들에 의해 수정된 디자인 레이아웃으로부터 생성된다. 단계들 906, 908, 914, 910, 912, 916 및 918은 각각 단계들 806, 808, 814, 810, 812, 816, 및 818과 실질적으로 동일하다. 시스템-특정적 효과들(907)은 (도 15에 나타낸 바와 같이) 단계 906의 PSF를 수정하거나, 단계 908의 플레어 맵을 더 개선하도록 도입된다. 또한, 단계 914에 추가 보정으로서 시스템-특정적 효과들에 대한 마스크 보정들을 도입하는 것이 가능하며, 이때 마스크 디자인 레이아웃 보정의 레벨은 시스템-특정적 플레어 효과들에 의해 수정되지 않은 단계 908로부터의 플레어 맵을 이용하여 이미 행해졌다. 다시 말하면, 보정 공정은 총 보정 공정의 상이한 스테이지들에서 시스템-특정적 플레어 효과들을 처리하도록 충분히 유연하다. PSF 레벨에서의 시스템-효과 보정은 실선으로 나타낸 바와 같이 가장 통상적인 절차이다. 다른 가능성들은 점선들로 도시된다.
도 10은 스테이지 1 플레어 보정이 완전한 전체 필드 디자인 레이아웃보다는 단일 칩의 레이아웃의 보정에 기초하는 본 발명의 또 다른 실시예를 나타낸다. 이는 연산 부하를 감소시키는데, 이는 잡덱 정보를 이용하면 단일 칩의 수정된 디자인 레이아웃이 쉽게 복제(replicate)되어 단계 1004에서 전체 필드에 대한 밀도 맵을 재현할 수 있기 때문이다. 단계 1001에서, 단일 칩의 디자인 레이아웃이 얻어진다. 이 구현에서, 통상적으로 단일 칩에 대한 기준 플레어 보정[예를 들어, 경험으로부터 알려질 수 있는 평균 플레어 값을 이용하거나, EUV 시스템 모델(1010)에 의해 공급될 수 있음] 및 OEF 출력이 플레어 보정의 제 1 레벨에 대해 사용되지만, 본 발명의 범위는 적용된 제 1 레벨 보정의 형태에 의해 제한되지 않는다. 예를 들어, 잡덱(1003)의 도움으로 단일 칩의 원래 전-OEF 전-기준-플레어 디자인 레이아웃을 복제함으로써 밀도 맵이 재현될 수 있다. 단계 1006에서의 플레어 PSF는 (도 14에서의 PSF와 같은) 필드의 위치에 의존하지 않는 등방성 PSF일 수 있으며, 또는 이는 앞서 설명되고 아래에서 더 설명되는 바와 같이 EUV 기계 특정적 효과들로부터 발생하는 필드-위치 의존성 수정들을 포함하는 (도 15에서의 PSF와 같은) 이방성 PSF일 수 있다. 전체 필드 플레어 맵(1008)은 개선된 정확성을 갖지만, 더 적은 연산 리소스를 이용하여 더 적은 시간에 생성된다. 스테이지 2(단계 1014)에서, 단계 1008로부터의 플레어 맵을 이용하여 디자인 레이아웃의 추가 보정이 행해진다. 또한, 잡덱(1003) 및 단계 1012로부터의 충분히 갖춰진 OEF 모델이 단계 1014에서 사용된다. 단계 1016 및 단계 1018은 앞서 설명된 단계 816 및 단계 818과 실질적으로 유사하다. 도 9를 참조하여 설명된 바와 같이, 보정 공정은 총 보정 공정의 상이한 스테이지들에서, 즉 PSF 레벨(단계 1006), 플레어 맵 레벨(단계 1008), 또는 스테이지 2 최종 보정 레벨(단계 1014)에서 시스템-특정적 플레어 효과들(1007)을 처리하도록 충분히 유연하다.
4. 기계-특정적 플레어 효과들의 다양한 소스들
도 11은 플레어 효과들에 기여하는 다양한 예시적인 요소들을 나타내는 EUV 리소그래피 시스템의 일부분의 개략적인 지오메트리를 나타낸다. EUV 마스크(1101)는 패턴이 없는 블랙 보더(BB) 영역(1104)으로 둘러싸인 패턴 영역(1102)을 갖는다. 블랙 보더는 통상적으로 불투명한 영역이다. BB 영역(1104)은 2 개의 연속 노광 필드들 사이에서 경계를 표시하는 역할을 한다. 블랙 보더로부터 반사하는 일부 표유 방사선이 필드 에지에서 플레어 효과들을 초래한다. 반사 EUV 마스크(1101)의 패턴 영역(1102)은 투영 시스템(1115)의 도움으로 웨이퍼(1120)의 타겟부 상으로 이미징 광(1116)을 반사시킨다. 이미징 광(1116)은 주로 EUV 광이지만, 잔여 DUV 성분도 가질 수 있다. 투영 시스템에서의 산란으로 인해, EUV(및 DUV) 플레어(1114)가 생성되며, 이는 플레어 맵을 생성하는데 고려된다. 추가적으로, 이미징 광의 입사부(1110)가 레티클 마스킹(ReMa) 블레이드들(1106 및 1108)에 의해 다시 반사[표유광(1112)으로 반사]되어, 필드 에지에서 플레어 효과들을 초래할 수 있다. ReMa 블레이드들은 노광 필드 내의 노광 슬릿을 정의하는 한편, 노광 필드 내에는 1 이상의(통상적으로는 다수의) 노광 슬릿들이 존재한다. ReMa 블레이드들은 전형적으로, 현재 노광 슬릿을 정의하도록 필드를 스캐닝한 후 더 이동하여 다음 노광 슬릿을 정의할 수 있도록 이동가능한 구조체들 상에 장착된다. ReMa 블레이드(1108)는 y 방향으로 한 쌍의 블레이드들을 갖고, ReMa 블레이드(1106)는 수직한 x 방향으로 한 쌍의 블레이드들을 갖는다(이 개략적인 도면에서는, x 방향으로의 쌍 중 하나의 블레이드만을 나타내고 있음). ReMa 블레이드들은 블랙 보더들로부터의 표유 반사 대부분을 방지하지만, 블랙 보더로부터의 일부 표유 반사가 웨이퍼에 도달하여 플레어 효과들에 기여한다. 또한, 검증 툴의 몇몇 버전들에서 한 쌍의 ReMa 블레이드들(x 방향 또는 y 방향)이 사용된다. 따라서, 슬릿의 개방 방향으로부터의 블랙 보더 반사가 플레어에 기여할 수 있다. 블랙 보더로부터의 EUV(및 잔여 DUV) 반사를 감소시키기 위해, 마스크는 블랙 보더 영역에서의 흡수가 실질적으로 증가될 수 있거나, 블랙 보더 영역 내의 반사 다중-스택 MoSi 층들 및 최상부 금속 층이 추가 에칭에 의해 제거되는 특수한 방식으로 제작될 수 있다. 블랙 보더 반사 제어에 대한 추가 설명은 Kamo 외의 "Thin absorber EUV mask with light-shield border of etched multilayer and its lithographic performance"(Proc. Of SPIE vol 7748, pp.774805-1 내지 774805-10)에서 찾아볼 수 있다. 마스크가 수정되어 블랙 보더 반사를 변경하는 경우, 블랙 보더 영역들로부터의 수정된 마스크 반사를 나타내도록 플레어 시뮬레이션 파라미터들도 적절히 조정되어야 한다.
특히 필드 에지에서 플레어 맵에 영향을 줄 수 있는 2 이상의 가능한 인자들은 필드-내 효과 및 오버스캔 효과이다. 필드-내 효과는 이웃하는 필드들로부터의 기여로부터 발생하며, 도 13을 참조하여 더 설명된다. 오버스캔 효과들은 필드 내에서의 순차적 노광 시, 또는 한 필드에서 인접한 필드로의 이동 시 EUV 노광 툴의 이동하는 구성요소들의 이동 길이에 있어서 가능한 약간의 부정확으로부터 발생한다.
플레어에 기여하는 도 11 및 도 12에 나타낸 또 다른 구조적 구성요소는 동적 가스락(DGL) 메카니즘(1118)이다. 도 12는 본 발명의 비-제한적 실시예에 따른 리소그래피 장치를 개략적으로 도시한다. 투영 광학기 시스템(PS)에 대한 챔버(1210)(예를 들어, 진공 챔버) 및 기판 테이블 또는 "기판 지지체"(WT)에 대한 챔버(1230)(예를 들어, 진공 챔버)는 챔버들 사이에 공유되는 [어퍼처 다이어프램(aperture diaphragm)에 의해 생성된] "개구부"(1220), 가스 흐름이 유지되어 오염물(들)이 개구부를 통해 이동하는 것을 방지하는 소위 동적 가스락(DGL)의 비-제한적 개념을 예시하기 위해 도 12에 도시된다. 도 12에서, 리소그래피 툴의 다른 구성요소들은 명확함을 위해 나타내지 않았다. 동적 가스락(DGL) 메카니즘의 세부내용은 2009년 6월 12일 출원된 Loopstra 외, "Lithographic Apparatus and Device Manufacturing Method"라는 명칭의 동시계류중인 공동-소유 특허 출원 제 US 2010/0002207에서 찾아볼 수 있으며, 이는 본 명세서에서 그 전문이 인용참조된다.
투영 시스템(PS)은 반사 광학기(예를 들어, 거울들)로 구성될 수 있으며, 이 표면 평탄도는 원자 레벨로 제어된다. 이러한 광학기는, 작은 입자들이 제 1 챔버로 들어오고 광학기의 표면 상에 부착되는 경우에 쉽게 손상될 수 있다. 그러므로, 두 챔버들은 전형적으로 작동 시 매우 높은 진공 레벨들 하에 있지만, 전형적으로 투영 시스템(PS)에 대한 챔버가 기판 테이블(WT)에 대한 챔버보다 더 높은 압력으로 유지되는데, 이는 광학기가 가능한 한 깨끗하게 유지되어야 하는 한편, 기판에 대한 챔버가 통상적으로 바람직하지 않은 분자 오염물들(예를 들어, 레지스트로부터의 탈기체, 웨이퍼 스테이지들과 같은 이동가능한 부분들로 인해 생성된 입자들)의 근원이기 때문이다. 실제로, 제 1 챔버는 소정 가스(예를 들어, 수소, 질소, 헬륨 또는 이산화탄소)를 이용하여 소거(purge)되어(하지만 챔버의 압력은 매우 낮음) 투영 시스템(PS)에 대한 광학 요소들을 깨끗하게 유지할 수 있다.
DGL은 리소그래피 툴의 작동을 위해 중요하지만, DGL의 물리적 구조체(1118)는 정확한 플레어 맵을 생성하기 위해 고려되어야 하는 플레어 효과들에 기여한다. 도 16 내지 도 20은 DGL의 가스락 서브-어퍼처로부터의 반사 효과가 플레어 맵을 시뮬레이션하는데 통합되는 방식을 설명한다.
도 13은 필드-내 효과의 시뮬레이션을 나타낸다. (x 축 및 y 축을 따라 임의의 길이 단위들을 갖는) 임의의 직사각형 영역(1312)이 시뮬레이션되었으며, 이는 9 개의 인접한 노광 필드들을 포함한다(일 예시적인 노광 필드가 점선 직사각형 내에 도시됨). 검증 툴에 대해, 개별적인 필드의 필드 크기가 디자인에 의해 고정된다(26 mm x 32 mm). 앞서 설명된 필드 에지 효과들로부터의 EUV 및 잔여 DUV 반사 및 표유 방사선이 특정 노광 필드 내에서 그 이웃하는 노광 필드들에 의해 기여되는 상당한 레벨의 플레어를 야기할 수 있다. 예를 들어, 모든 방향으로 다른 노광 필드들에 의해 둘러싸인 노광 필드(1308)의 각각의 코너 영역(1310)(점선 원에 도시됨)이 필드-내 효과로 인해 강화된 플레어 세기를 나타낼 수 있다. 주변부를 따르는, 즉 적어도 한 방향으로 인접한 노광 필드가 존재하지 않는 노광 필드들에 대해서(예를 들어, 필드들 1306 및 1307), 몇몇 코너들은 다른 코너들에 비해 강화된 플레어 세기를 가질 것이다. 영역 1312 내에서의 상이한 패턴들은 상이한 세기 레벨들을 나타낸다.
도 14는 등방성 조건을 가정하는, 즉 플레어 분포가 노광 필드에 걸쳐 균등하게 분포되는 PSF(1400)를 나타낸다. 수평축은 마이크로미터 단위인 한편, 수직축은 로그(밑 10) 스케일의 임의 단위이다. 종래 해결책들 대부분은 이 조건을 채택하며, 이는 덜 정확한 플레어 맵 생성을 초래할 수 있다. 도 15는 본 발명의 실시예들에 따른 필드 내에서의 위치-의존성 이방성 플레어 분포를 이용하여 생성된 수정된 PSF(1500)(로그 스케일의 이득)를 나타낸다. 도 14의 플롯에 대한 도 15의 윤곽 플롯의 형상 변화가 두드러진다. 이는 리소그래피 툴에서 실제 상황에 더 가깝고, 더 정학한 플레어 맵 생성을 유도한다.
5. 플레어 시뮬레이션으로부터의 예시적 결과들
도 16은 노광 슬릿(1602)의 윤곽을 나타낸다. 등방성 플레어 분포가 가정되는 경우(도 16의 왼쪽 상단 그림), 원 및 화살표로 상징적으로 나타낸 바와 같이 점 소스로부터의 전체 플레어가 모든 방향으로 동일하다고 가정된다. 대조적으로, 본 발명은 이방성 조건을 가정하며, 이때 점 소스로부터의 플레어는 타원 및 화살표로 상징적으로 나타낸 바와 같이 필드 또는 슬릿 내에서 점 소스의 특정 위치에 따라 상이한 방향으로 변한다(도 16의 오른쪽 상단 그림). 도 16에 나타낸 예시에서, DGL의 효과들은 DGL이 없는 등방성 조건(플레어 맵 1606) 및 DGL을 갖는 이방성 조건(플레어 맵 1610) 하에서의 플레어 세기 차이를 비교함으로써 나타내어진다. 임의 단위를 나타낸 세기 바(intensity bar: 1608)는 세기 차이의 시각화를 돕는다. 플레어 맵들(1606 및 1610)을 시뮬레이션하는데 있어서 격리된 필드가 가정되었으며, 이때 필드-내 효과들만이 무시되고, BB, 오버스캔, 및 ReMa 블레이드들로 인한 플레어 효과들과 같은 다른 필드 에지 효과들은 플레어 맵 내에 나타내어진다.
도 17a 및 도 17b는 필드의 중심을 통하는 수평 커트라인(1720)을 따라 세기 플롯들을 나타낸다. 세기 플롯들 1706(DGL 플레어 효과가 없는 등방성) 및 1710(DGL 플레어 효과를 갖는 이방성)을 비교하면, 본 발명의 이방성 시뮬레이션에서 전체 플레어 세기가 감소한다는 결론이 날 수 있다. 감소된 플레어 세기는 더 엄격한(tighter) CD 제어 및 덜 엄밀한(less rigorous) 디자인 레이아웃 수정으로 바뀔 수 있다.
도 18a 및 도 18b는 유사한 조건들(즉, DGL의 효과를 나타내는 격리된 필드) 하에서, 하지만 이번에는 필드 내의 능동 칩들을 이용하여, 도 17에 나타낸 수평 커트라인(1720)을 따르는 2 개의 세기 플롯들(1806 및 1810)을 나타낸다. 플롯 내에 나타낸 스파이크(spike)들은 수평 방향으로 칩-대-칩 플레어 변동(chip-to chip flare variation)의 결과이다.
도 19a 및 도 19b는 필드의 중심을 통하는 수직 커트라인(1920)을 따라 세기 플롯들을 나타낸다. 세기 플롯들 1906(DGL 플레어 효과가 없는 등방성) 및 1910(DGL 플레어 효과를 갖는 이방성)을 비교하면, 본 발명의 이방성 시뮬레이션에서 전체 플레어 세기가 감소한다는 결론이 날 수 있다.
도 20a 및 도 20b는 유사한 조건들(즉, DGL의 효과를 나타내는 격리된 필드) 하에서, 하지만 이번에는 필드 내의 능동 칩들을 이용하여, 도 19a 및 도 19b에 나타낸 수직 커트라인(1920)을 따르는 2 개의 세기 플롯들(2006 및 2010)을 나타낸다. 플롯 내에 나타낸 스파이크들은 수직 방향으로 칩-대-칩 플레어 변동의 결과이다.
DGL의 효과를 적절히 모델링함으로써, 거의 1 % 플레어 감소가 관찰된다. 이는 DC에서 대략 1nm 변동으로 바뀌며, 적절한 마스크 디자인 수정이 필요하다.
당업자라면, 앞선 도 16 내지 도 20의 예시들에는 DGL로 인한 플레어 세기 감소만이 도시되지만, 다른 기여 인자들을 일정하게 유지함으로써 BB로부터의 반사, ReMa로부터의 반사 등과 같은 다른 기여 인자들 각각의 효과를 나타내는 것이 가능하다는 것을 이해할 것이다. 또한, 단일 플레어 맵에서 2 이상의 시스템-특정적 효과들의 조합된 효과를 시뮬레이션하는 것도 가능하다.
예를 들어, [세기 바(2108)와 함께] 도 21에서 전체 필드 플레어 맵(2110)이 조합된 필드 에지 효과(즉, 블랙 보더, ReMa 블레이드들 및 오버스캔으로부터의 플레어 기여들) 및 필드-내 효과로 인한 예시적인 DRAM 폴리 층(poly layer)에서의 플레어 분포를 나타낸다. EUV 반사에 추가하여 4 % 잔여 DUV 반사가 가정된다. 코너 구역(2115)에서, 보다 높은 플레어가 관찰된다.
도 22는 도 21의 플레어 맵(2110)과, 에지 효과들을 고려하지 않고 생성되는 또 다른 플레어 맵(도시되지 않음) 간의 전체 필드 플레어 맵 차이를 나타낸다. 플레어 차이 값들만이 맵(2210) 및 코너 구역(2215)에서 윤곽들로서 구성된다. 2208은 세기 바이다. 두 맵들(즉, 에지 효과를 갖는 플레어 맵 및 에지 효과를 갖지 않는 플레어 맵)은 DGL로부터의 반사로 인한 동일한 플레어 기여를 가정한다는 것을 유의한다. 코너에서의 거의 12 % 플레어 차이가 주로 필드-내 효과에 의해 기여된다.
도 23a 및 도 23b에서, 플레어 맵들(2310 및 2315)은 각각 다양한 시스템-특정적 플레어 효과들로 인한 EUV 및 DUV 플레어 윤곽들을 나타낸다. 각각의 세기 바들은 임의 단위로 상대적인 세기 값들을 나타낸다.
도 24a는 확대된 코너 구역 플레어 분포(2415)와 함께, 노광 필드 내에서 등방성 PSF를 갖는 플레어 맵(2410)을 나타낸다. 도 24b의 플레어 맵(2420) 및 코너 구역의 확대된 플레어 분포(2425)는, 시뮬레이션에서 이방성 PSF가 가정되는 경우에 플레어 맵이 어떻게 변하는지를 나타낸다.
도 25는 세기 윤곽들의 더 우수한 시각화를 위해 확대된 코너 구역 플레어 분포(2515)와 함께, 전체 필드 플레어 차이의 윤곽 플롯(2510)(즉, 플레어 맵 2410과 플레어 맵 2420 간의 차이)을 나타낸다.
6. 본 발명의 실시예들을 구현하는 컴퓨터 시스템의 세부내용
도 26은 본 명세서에 개시된 보정 방법들 및 흐름들을 구현하는데 도움이 될 수 있는 컴퓨터 시스템(2600)을 나타내는 블록도이다. 컴퓨터 시스템(2600)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 버스(102)와 커플링된 정보를 처리하는 프로세서(104)를 포함한다. 또한, 컴퓨터 시스템(2600)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수(temporary variable)들 또는 다른 매개 정보(intermediate information)를 저장하는데 사용될 수도 있다. 또한, 컴퓨터 시스템(2600)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는, 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 포함한다. 정보 및 명령어들을 저장하는 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되며, 버스(102)에 커플링된다.
컴퓨터 시스템(2600)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판(flat panel) 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 형태의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서의 움직임을 제어하는 마우스, 트랙볼(trackball) 또는 커서 방향키와 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 명시하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
본 발명의 일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스들을 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(2600)에 의해 보정 공정의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수도 있다. 대안적인 실시예들에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 본 발명을 구현하는 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 발명의 실시예들은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어(wire)들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유(fiber optics)를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 반송파(carrier wave), 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스들을 프로세서(104)로 전달하는데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 상기 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩(load)할 수 있으며, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(2600)에 대한 모뎀 로컬(modem local)은 전화선 상의 데이터를 수신할 수 있으며, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신할 수 있으며, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(2600)은 바람직하게는 버스(102)에 커플링된 통신 인터페이스(118)를 포함한다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 쌍방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 형태의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 형태의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 그 결과 ISP(126)는, 이하 통상적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 디지털 데이터 스트림을 전달하는 전기적, 전자기적 또는 광학적 신호를 사용한다. 다양한 네트워크를 통한 신호, 및 컴퓨터 시스템(2600)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호는 정보를 전달하는 반송파의 예시적인 형태이다.
컴퓨터 시스템(2600)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 프로그램 코드를 포함하는 메시지를 송신하고 데이터를 수신할 수 있다. 인터넷 예시에서 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 본 발명에 따르면, 예를 들어 하나의 이러한 다운로드된 어플리케이션은 실시예의 조명 최적화에 대해 제공된다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(2600)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
본 발명은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 기판 상에 디자인 레이아웃을 이미징하는 리소그래피 시스템에 의해 생성된 플레어의 효과를 감소시키는 방법으로, 상기 방법은:
점 확산 함수(PSF)와 노광 필드에서의 디자인 레이아웃의 밀도 맵을 수학적으로 조합함으로써 리소그래피 시스템의 노광 필드에서의 플레어 맵을 시뮬레이션하는 단계 -상기 플레어 맵에 대한 시스템-특정적 효과들이 시뮬레이션에서 통합됨- ; 및
결정된 플레어 맵을 이용함으로써 디자인 레이아웃에 대한 위치-의존성 플레어 보정들을 계산하여, 플레어의 효과를 감소시키는 단계를 포함한다.
2. 상기 1의 방법에서, 상기 방법은 디자인 레이아웃을 수정하기 위해 노광 필드에서 균일하게 플레어의 사전설정된 기준 값을 적용하는 단계를 더 포함한다.
3. 상기 1의 방법에서, 상기 방법은 마스크 및 광 근접성 효과들, 플레어 및 쉐도윙 중 1 이상의 효과들에 대해 레이아웃이 보정된 레티클을 제조하는 단계를 더 포함한다.
4. 디바이스 제조 방법으로, 상기 1의 방법에 따른 리소그래피 시스템에 의해 생성된 플레어의 효과를 감소시키도록 수정된 디자인 레이아웃으로 마스크가 제조된다.
5. 기판 상에 디자인 레이아웃을 이미징하는 리소그래피 시스템에 의해 생성된 플레어의 효과를 감소시키는 방법으로, 상기 방법은:
점 확산 함수(PSF)와 노광 필드에서의 디자인 레이아웃의 밀도 맵을 수학적으로 조합함으로써 리소그래피 시스템의 노광 필드에서의 플레어 맵을 시뮬레이션하는 단계 -극자외(EUV) 방사선 및 원자외(DUV) 방사선 중 어느 하나 또는 둘 모두의 반사로 인한 상기 플레어 맵에 대한 시스템-특정적 효과들이 시뮬레이션에서 통합됨- ; 및
결정된 플레어 맵을 이용함으로써 디자인 레이아웃에 대한 위치-의존성 플레어 보정들을 계산하여, 플레어의 효과를 감소시키는 단계를 포함한다.
6. 기판 상에 디자인 레이아웃을 이미징하는 리소그래피 시스템에 의해 생성된 플레어의 효과를 감소시키는 방법으로, 상기 방법은:
점 확산 함수(PSF)와 노광 필드에서의 디자인 레이아웃의 밀도 맵을 수학적으로 조합함으로써 리소그래피 시스템의 노광 필드에서의 플레어 맵을 결정하는 단계 -상기 밀도 맵은 OEF(optical enhancement features)에 의해 수정된 후 디자인 레이아웃의 표현을 포함함- ; 및
결정된 플레어 맵을 이용함으로써 후-OEF 디자인 레이아웃에 대한 위치-의존성 플레어 보정들을 계산하여, 플레어의 효과를 감소시키는 단계를 포함한다.
7. 상기 6의 방법에서, 플레어 맵의 결정은 다음 시스템-특정적 효과들: 즉, 마스크의 블랙 보더로부터의 반사로 인한 플레어 효과, 노광 슬릿을 정의하는 1 이상의 레티클-마스킹 블레이드들로부터의 반사로 인한 플레어 효과, 오버스캔으로 인한 플레어 효과, DGL 메카니즘의 가스락 서브-어퍼처로부터의 반사들로 인한 플레어 효과, 및 다른 이웃하는 노광 필드들로부터의 기여로 인한 특정 노광 필드 내에서의 플레어 효과 중 1 이상을 통합한다.
8. 상기 6의 방법에서, PSF는 다음 효과들: 즉, 마스크의 블랙 보더로부터의 반사로 인한 플레어 효과, 노광 슬릿을 정의하는 1 이상의 레티클-마스킹 블레이드들로부터의 반사로 인한 플레어 효과, DGL 메카니즘의 가스락 서브-어퍼처로부터의 반사들로 인한 플레어 효과, 및 다른 이웃하는 노광 필드들로부터의 기여로 인한 특정 노광 필드 내에서의 플레어 효과 중 1 이상을 시뮬레이션하는데 있어 이방성이다.
9. 기판 상에 디자인 레이아웃을 이미징하는 리소그래피 시스템에 의해 생성된 플레어의 효과를 감소시키는 방법으로, 상기 방법은:
점 확산 함수(PSF)와 노광 필드에서의 디자인 레이아웃의 밀도 맵을 수학적으로 조합함으로써 리소그래피 장치의 노광 필드에서의 플레어 맵을 시뮬레이션하는 단계 -상기 밀도 맵은 알려진 플레어 보정 파라미터들에 의해 수정된 후 디자인 레이아웃의 표현을 포함함- ; 및
결정된 플레어 맵을 이용함으로써 디자인 레이아웃에 대한 위치-의존성 플레어 보정들을 계산하여, 플레어의 효과를 감소시키는 단계를 포함한다.
10. 상기 9의 방법에서, 밀도 맵은 OEF(optical enhancement features)에 의해 수정된 후 디자인 레이아웃의 표현을 포함한다.
11. 상기 10의 방법에서, 플레어 보정들은 후-OEF 디자인 레이아웃에 대해 계산된다.
12. 상기 9의 방법에서, 알려진 플레어 보정 파라미터들은 시뮬레이션-기반 OEF, 마스크 토폴로지 효과들을 극복하는 알려진 피처 편향, 및 알려진 기준 플레어 값에 대응하는 보정들 중 1 이상을 포함한다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 입사하는데 사용되는 것들과 사용될 수도 있다는 것을 이해하여야 한다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 기판 상에 디자인 레이아웃을 이미징하는 리소그래피 시스템에 의해 생성된 플레어(flare)의 효과를 감소시키는 방법에 있어서:
    점 확산 함수(point spread function: PSF)와, 노광 필드에서의 상기 디자인 레이아웃의 밀도 맵(density map)을 수학적으로 조합함으로써 상기 리소그래피 시스템의 노광 필드에서의 플레어 맵을 시뮬레이션하는 단계 -상기 시뮬레이션에서 상기 플레어 맵에 대한 시스템-특정적 효과(system-specific effect)들이 통합됨- ; 및
    결정된 플레어 맵을 이용함으로써 상기 디자인 레이아웃에 대한 위치-의존성 플레어 보정들을 계산하여, 상기 플레어의 효과를 감소시키는 단계를 포함하는 플레어 효과 감소 방법.
  2. 제 1 항에 있어서,
    상기 시스템-특정적 효과들은: 마스크의 블랙 보더(black border)로부터의 반사로 인한 플레어 효과, 노광 슬릿을 정의하는 1 이상의 레티클-마스킹 블레이드들로부터의 반사로 인한 플레어 효과, 오버스캔으로 인한 플레어 효과, 동적 가스락(dynamic gas lock: DGL) 메카니즘의 가스락 서브-어퍼처로부터의 반사들로 인한 플레어 효과, 및 특정 노광 필드 내에서의 다른 이웃하는 노광 필드들로부터의 기여로 인한 플레어 효과 중 1 이상을 포함하는 플레어 효과 감소 방법.
  3. 제 1 항에 있어서,
    상기 PSF는: 마스크의 블랙 보더로부터의 반사로 인한 플레어 효과, 노광 슬릿을 정의하는 1 이상의 레티클-마스킹 블레이드들로부터의 반사로 인한 플레어 효과, 오버스캔으로 인한 플레어 효과, 동적 가스락(DGL) 메카니즘의 가스락 서브-어퍼처로부터의 반사들로 인한 플레어 효과, 및 특정 노광 필드 내에서의 다른 이웃하는 노광 필드들로부터의 기여로 인한 플레어 효과 중 1 이상을 시뮬레이션하는데 있어 이방성인 플레어 효과 감소 방법.
  4. 제 1 항에 있어서,
    상기 밀도 맵은 OEF(optical enhancement features)에 의해 수정된 후의 상기 디자인 레이아웃의 표현을 포함하는 플레어 효과 감소 방법.
  5. 제 1 항에 있어서,
    상기 밀도 맵은:
    단일 칩의 디자인 레이아웃을 얻는 단계 -상기 노광 필드에서의 전체 디자인 레이아웃이 실질적으로 동일한 1 이상의 단일 칩들의 상기 디자인 레이아웃들을 포함함- ;
    상기 노광 필드 내에서의 각각의 단일 칩 위치에 대한 정보를 갖는 위치 데이터베이스를 얻는 단계; 및
    상기 위치 데이터베이스를 이용하여, 상기 노광 필드 내에서 상기 단일 칩의 디자인 레이아웃을 반복하는 단계에 의해 생성되는 플레어 효과 감소 방법.
  6. 제 1 항에 있어서,
    상기 플레어 맵을 결정하기 위해, 상기 노광 필드에서의 상기 디자인 레이아웃의 밀도 맵에 근사하도록 비교적 개략적인 그리드(coarse grid)를 이용하는 단계를 더 포함하는 플레어 효과 감소 방법.
  7. 제 1 항에 있어서,
    상기 디자인 레이아웃에 대한 위치-의존성 보정들을 계산하는 단계는:
    0(zero)-플레어 또는 알려진 기준-플레어(reference-flare) 조건을 갖는 상기 디자인 레이아웃의 기준 이미지를 생성하는 단계; 및
    상기 위치-의존성 플레어 보정들을 계산하기 위해, 상기 기준 이미지와 상기 결정된 플레어 맵을 비교하는 단계를 포함하는 플레어 효과 감소 방법.
  8. 제 7 항에 있어서,
    상기 기준 이미지는 비교적 미세한 그리드(fine grid)를 이용한 상기 노광 필드에서의 상기 디자인 레이아웃의 밀도 맵 또는 에어리얼 이미지인 플레어 효과 감소 방법.
  9. 제 4 항에 있어서,
    개선된 후-OEF 플레어-보정 디자인 레이아웃을 생성하기 위해, 상기 위치-의존성 플레어 보정들로 상기 후-OEF 디자인 레이아웃을 업데이트하는 단계; 및
    상기 리소그래피 시스템에 대한 전체-필드 컴퓨터 리소그래피 모델(full-field computational lithography model)의 정확성을 개선하기 위해, 상기 개선된 후-OEF 플레어-보정 디자인 레이아웃을 이용하는 단계를 더 포함하는 플레어 효과 감소 방법.
  10. 제 1 항에 있어서,
    상기 리소그래피 시스템에서의 방사선 빔은 극자외(EUV) 파장을 갖고, 반사 광학기를 이용하여 투영되는 플레어 효과 감소 방법.
  11. 제 1 항에 있어서,
    상기 노광 필드에서의 쉐도윙 효과(shadowing effect)들을 추가로 보상하는 단계를 더 포함하는 플레어 효과 감소 방법.
  12. 제 11 항에 있어서,
    MPC(mask proximity correction)를 계산하고, OEF, 플레어 및 쉐도우 보정 후의 상기 디자인 레이아웃에 적용하는 단계를 더 포함하는 플레어 효과 감소 방법.
  13. 제 1 항에 있어서,
    상기 PSF는 주파수 도메인에서 프랙탈 형태(fractal form)로, 또는 공간 도메인에서 표 형태(tabulated form)로 표현되어, PSF 값들을 대응하는 개별 거리들과 관련시키는 플레어 효과 감소 방법.
  14. 컴퓨터에 의해 실행될 때 컴퓨터가 디자인 레이아웃에 대응하는 파일들을 생성하게 하는 명령어들이 기록되어 있는 컴퓨터-판독가능한 매체를 포함한 컴퓨터 프로그램 제품에 있어서,
    상기 디자인 레이아웃은 제 1 항에 따라 리소그래피 시스템에 의해 생성된 플레어의 효과를 감소시키도록 수정되는 컴퓨터 프로그램 제품.
  15. 기판 상에 디자인 레이아웃을 이미징하는 리소그래피 시스템에 의해 생성된 플레어의 효과를 감소시키는 방법에 있어서:
    점 확산 함수(PSF)와, 노광 필드에서의 상기 디자인 레이아웃의 밀도 맵을 수학적으로 조합함으로써 상기 리소그래피 시스템의 노광 필드에서의 플레어 맵을 결정하는 단계 -상기 밀도 맵은 OEF(optical enhancement features)에 의해 수정된 후의 상기 디자인 레이아웃의 표현을 포함함- ; 및
    상기 결정된 플레어 맵을 이용함으로써 상기 후-OEF 디자인 레이아웃에 대한 위치-의존성 플레어 보정들을 계산하여, 상기 플레어의 효과를 감소시키는 단계를 포함하는 플레어 효과 감소 방법.
KR1020137009450A 2010-09-14 2011-09-01 리소그래피 시스템 내의 플레어 효과에 대한 보정 KR101845273B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US40338310P 2010-09-14 2010-09-14
US61/403,383 2010-09-14
PCT/EP2011/064390 WO2012034829A1 (en) 2010-09-14 2011-09-01 Correction for flare effects in lithography system

Publications (2)

Publication Number Publication Date
KR20130114138A true KR20130114138A (ko) 2013-10-16
KR101845273B1 KR101845273B1 (ko) 2018-05-18

Family

ID=44545707

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137009450A KR101845273B1 (ko) 2010-09-14 2011-09-01 리소그래피 시스템 내의 플레어 효과에 대한 보정

Country Status (7)

Country Link
US (2) US8887104B2 (ko)
JP (1) JP5931879B2 (ko)
KR (1) KR101845273B1 (ko)
CN (1) CN103097958B (ko)
NL (1) NL2007287A (ko)
TW (1) TWI510867B (ko)
WO (1) WO2012034829A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160141851A (ko) * 2014-04-14 2016-12-09 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정들에 대한 최적화의 흐름들

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5575024B2 (ja) * 2011-03-22 2014-08-20 株式会社東芝 マスクパターン補正方法、マスクパターン補正プログラムおよび半導体装置の製造方法
JP5642101B2 (ja) * 2012-03-22 2014-12-17 株式会社東芝 ドーズ量の補正マップの作成方法、露光方法及び半導体装置の製造方法
US8839157B2 (en) * 2012-07-12 2014-09-16 Macronix International Co., Ltd. Flare effect induced error correction
US8975195B2 (en) * 2013-02-01 2015-03-10 GlobalFoundries, Inc. Methods for optical proximity correction in the design and fabrication of integrated circuits
US8775981B1 (en) * 2013-02-19 2014-07-08 Globalfoundries Inc. Correcting for overexposure due to overlapping exposures in lithography
US9275449B2 (en) * 2013-07-16 2016-03-01 GlobalFoundries, Inc. Methods and systems for determining a dose-to-clear of a photoresist
KR102491578B1 (ko) 2015-11-02 2023-01-25 삼성전자주식회사 Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
CN108875141B (zh) * 2018-05-24 2022-08-19 上海集成电路研发中心有限公司 一种基于神经网络模型确定芯片全掩模聚焦参数的方法
KR20210008678A (ko) 2019-07-15 2021-01-25 삼성전자주식회사 포토 마스크의 제조 방법 및 반도체 장치의 제조 방법
CN113075855B (zh) * 2020-01-06 2024-04-19 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法、掩膜版的制作方法及半导体结构的形成方法
KR20220039917A (ko) 2020-09-21 2022-03-30 삼성전자주식회사 반사형 마스크 및 이를 이용한 반도체 소자의 제조 방법
CN117789056B (zh) * 2024-02-27 2024-05-07 杭州蚁联传感科技有限公司 一种带太阳耀斑的遥感数据处理方法、装置及存储介质

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1385052B1 (en) 2002-07-26 2006-05-31 ASML MaskTools B.V. Orientation dependent shielding for use with dipole illumination techniques
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7234130B2 (en) * 2004-02-25 2007-06-19 James Word Long range corrections in integrated circuit layout designs
US7277165B2 (en) 2004-06-04 2007-10-02 Invarium, Inc. Method of characterizing flare
US7199863B2 (en) 2004-12-21 2007-04-03 Asml Netherlands B.V. Method of imaging using lithographic projection apparatus
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7541603B2 (en) 2006-09-27 2009-06-02 Asml Netherlands B.V. Radiation system and lithographic apparatus comprising the same
ATE537484T1 (de) * 2007-02-23 2011-12-15 Imec Systeme und verfahren zur uv-lithographie
KR20100009558A (ko) * 2007-04-27 2010-01-27 호야 가부시키가이샤 포토마스크 블랭크 및 포토마스크
JP2009152921A (ja) * 2007-12-21 2009-07-09 Panasonic Corp フレア補正装置
JP5322443B2 (ja) * 2008-01-21 2013-10-23 ルネサスエレクトロニクス株式会社 マスクパターンデータ作成方法および半導体装置の製造方法
EP2110707A1 (en) * 2008-04-19 2009-10-21 Imec Flare mapping in lithography
NL1036957A1 (nl) 2008-06-13 2009-12-15 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US8945802B2 (en) * 2009-03-03 2015-02-03 Nikon Corporation Flare-measuring mask, flare-measuring method, and exposure method
EP2228817B1 (en) * 2009-03-09 2012-07-18 IMS Nanofabrication AG Global point spreading function in multi-beam patterning
KR101552689B1 (ko) * 2009-04-08 2015-09-14 삼성전자주식회사 플래어 평가 방법
JP5491777B2 (ja) * 2009-06-19 2014-05-14 株式会社東芝 フレア補正方法およびフレア補正プログラム
JP2011066079A (ja) * 2009-09-15 2011-03-31 Toshiba Corp フレア補正方法及び半導体デバイスの製造方法
JP5556505B2 (ja) * 2010-08-27 2014-07-23 富士通セミコンダクター株式会社 マスクパターン補正方法及びマスクパターン補正装置
JP2012156441A (ja) * 2011-01-28 2012-08-16 Toshiba Corp フレア値算出方法、フレア補正方法、フレア値算出プログラムおよび半導体装置の製造方法
KR101757743B1 (ko) * 2011-03-03 2017-07-17 삼성전자 주식회사 플레어 보정방법 및 euv 마스크 제조방법
JP2013125906A (ja) * 2011-12-15 2013-06-24 Toshiba Corp フレアマップ計算方法、フレアマップ算出プログラムおよび半導体装置の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160141851A (ko) * 2014-04-14 2016-12-09 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정들에 대한 최적화의 흐름들
US10459346B2 (en) 2014-04-14 2019-10-29 Asml Netherlands B.V. Flows of optimization for lithographic processes

Also Published As

Publication number Publication date
CN103097958A (zh) 2013-05-08
WO2012034829A1 (en) 2012-03-22
NL2007287A (en) 2012-03-15
US8887104B2 (en) 2014-11-11
US20130185681A1 (en) 2013-07-18
CN103097958B (zh) 2016-02-10
US10423745B2 (en) 2019-09-24
JP2013537318A (ja) 2013-09-30
TW201234118A (en) 2012-08-16
KR101845273B1 (ko) 2018-05-18
US20150058815A1 (en) 2015-02-26
TWI510867B (zh) 2015-12-01
JP5931879B2 (ja) 2016-06-08

Similar Documents

Publication Publication Date Title
KR101845273B1 (ko) 리소그래피 시스템 내의 플레어 효과에 대한 보정
TWI757950B (zh) 用於圖案化裝置之判定曲線圖案之方法
KR101807687B1 (ko) 이산 소스 마스크 최적화
KR20130090368A (ko) 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델
KR101757777B1 (ko) 3­차원 패터닝 디바이스에 대한 리소그래피 모델
KR102063229B1 (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
WO2021115766A1 (en) Method for improving consistency in mask pattern generation
TWI718017B (zh) 繞射圖案導引之源光罩最佳化的方法及裝置
KR102189871B1 (ko) 변위 기반 오버레이 또는 정렬
WO2019011604A1 (en) PREDICTION OF DEFECTS
TWI687781B (zh) 用於減少光阻模型預測錯誤之系統及方法
WO2019162275A1 (en) Systems and methods for improving resist model predictions
TWI791216B (zh) 判定用於基板上之圖案的部分之度量
KR20190099514A (ko) 패터닝 디바이스 상의 유한한 두께들의 구조체들에 의한 방사선의 산란을 결정하는 방법들
KR102646683B1 (ko) 고 개구수 스루-슬릿 소스 마스크 최적화 방법
KR102314622B1 (ko) 공정 모델들을 조정하는 방법들
EP4194950A1 (en) Systems and methods for reducing pattern shift in a lithographic apparatus
WO2024013038A1 (en) Stochastic-aware source mask optimization based on edge placement probability distribution
KR20190100350A (ko) 제조 공정 시 공정 모델들의 안내 및 검사 방법들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant