KR20160081010A - Bake unit, substrate treating apparatus including the unit, and substrate treating method - Google Patents

Bake unit, substrate treating apparatus including the unit, and substrate treating method Download PDF

Info

Publication number
KR20160081010A
KR20160081010A KR1020140194033A KR20140194033A KR20160081010A KR 20160081010 A KR20160081010 A KR 20160081010A KR 1020140194033 A KR1020140194033 A KR 1020140194033A KR 20140194033 A KR20140194033 A KR 20140194033A KR 20160081010 A KR20160081010 A KR 20160081010A
Authority
KR
South Korea
Prior art keywords
substrate
unit
chamber
heating
cooling
Prior art date
Application number
KR1020140194033A
Other languages
Korean (ko)
Inventor
이은탁
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020140194033A priority Critical patent/KR20160081010A/en
Publication of KR20160081010A publication Critical patent/KR20160081010A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

The present invention relates to a bake unit, a substrate treatment device including the same and a substrate treatment method. According to an embodiment of the present invention, the bake unit comprises: a chamber; a heating unit located in the chamber and configured to heat a substrate; a transfer unit located in the chamber and configured to transfer the substrate; and a cooling unit provided for the transfer unit and configured to cool the substrate. Therefore, efficiency in a bake process can be improved.

Description

베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법{BAKE UNIT, SUBSTRATE TREATING APPARATUS INCLUDING THE UNIT, AND SUBSTRATE TREATING METHOD}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a bake unit, a substrate processing apparatus including the bake unit,

본 발명은 기판 처리 장치 및 방법에 관한 것으로, 보다 구체적으로 기판을 가열하는 베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a substrate processing apparatus and method, and more particularly, to a bake unit for heating a substrate, and an apparatus and a method for processing the substrate including the same.

일반적으로 반도체 소자를 제조하기 위해서는 세정, 증착, 포토 리소그래피, 에칭, 그리고 이온주입 등과 같은 다양한 공정이 수행된다. 패턴을 형성하기 위해 수행되는 포토 리소그래피 공정은 반도체 소자의 고집적화를 이루는데 중요한 역할을 수행한다.In general, various processes such as cleaning, deposition, photolithography, etching, and ion implantation are performed to manufacture semiconductor devices. The photolithography process performed to form the pattern plays an important role in achieving the high integration of the semiconductor device.

포토리소그래피 공정은 실리콘으로 이루어진 반도체 기판 상에 포토레지스트패턴을 형성하기 위해 수행된다. 포토리소그래피 공정은 기판 상에 포토레지스트 막을 형성하기 위한 코팅 및 소프트 베이크 공정, 포토레지스트 막으로부터 포토레지스트 패턴을 형성하기 위한 노광 및 현상 공정, 포토레지스트 막 또는 패턴의 에지 부위를 제거하기 위한 에지 비드 제거(edge bead removal; 이하 'EBR'라 한다) 공정 및 에지 노광(edgeexposure of wafer; 이하 'EEW'라 한다) 공정, 포토레지스트 패턴을 안정화 및 치밀화시키기 위한 하드 베이크 공정 등을 포함한다. The photolithography process is performed to form a photoresist pattern on a semiconductor substrate made of silicon. The photolithography process includes a coating and a soft bake process for forming a photoresist film on a substrate, an exposure and development process for forming a photoresist pattern from the photoresist film, an edge bead removal for removing edge portions of the photoresist film or pattern, an edge bead removal (EBR) process, an edge exposure (EEW) process, a hard bake process for stabilizing and densifying a photoresist pattern, and the like.

베이크 공정은 가열 유닛을 통해서 기판을 가열한다. 가열 유닛에서 가열이 끝난 기판은 반송 유닛에서 의해서 반송된다. 일반적으로 베이크 공정 후에는 기판을 냉각하는 공정이 수행된다. 냉각 공정은 반송 유닛에 의해 이송된 뒤 별도의 냉각 장치에 의해서 냉각된다. 이러한 냉각 공정은 기판을 별도의 냉각 장치에서 냉각하여 다음 공정에 대기 시간이 소요된다. The bake process heats the substrate through the heating unit. The substrate heated in the heating unit is conveyed by the conveying unit. Generally, a process of cooling the substrate is performed after the baking process. The cooling process is carried out by the conveying unit and then cooled by a separate cooling device. This cooling process cools the substrate in a separate cooling device and takes a waiting time for the next process.

본 발명은 베이크 공정의 효율을 높이기 위한 베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법을 제공하기 위한 것이다. The present invention provides a bake unit for increasing the efficiency of a bake process, and a substrate processing apparatus and method including the bake unit.

또한, 본 발명은 냉각 효율을 향상시킬 수 있는 베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법을 제공하기 위한 것이다.The present invention also provides a bake unit capable of improving the cooling efficiency, and an apparatus and a method for processing the substrate including the bake unit.

본 발명은 베이크 유닛을 제공한다. The present invention provides a bake unit.

본 발명의 일 실시 예에 따르면, 상기 베이크 유닛은 챔버; 상기 챔버 내부에 위치하며 기판을 가열하는 가열 유닛; 상기 챔버 내부에 위치하며, 상기 기판을 이송하는 이송 유닛과; 그리고 상기 이송 유닛에 제공되어 기판을 냉각하는 냉각 유닛을 포함할 수 있다.According to an embodiment of the present invention, the bake unit includes a chamber; A heating unit located inside the chamber and heating the substrate; A transfer unit located inside the chamber, the transfer unit transferring the substrate; And a cooling unit provided in the transfer unit to cool the substrate.

일 실시 예에 의하면, 상기 가열 유닛은 가열판; 상기 가열판을 가열하는 히터를 포함하며 상기 이송 유닛은 기판이 놓이는 지지판; 상기 지지판을 지지하는 이송암; 그리고 상기 이송암을 이동시키는 구동기를 포함하며 상기 냉각 유닛은 상기 지지판에 제공될 수 있다.According to one embodiment, the heating unit comprises a heating plate; And a heater for heating the heating plate, wherein the transfer unit comprises: a support plate on which the substrate is placed; A transfer arm for supporting the support plate; And a driver for moving the transfer arm, and the cooling unit may be provided on the support plate.

일 실시 예에 의하면, 상기 냉각 유닛은 상기 지지판의 내부에 제공되는 냉각 유로를 포함할 수 있다. According to one embodiment, the cooling unit may include a cooling channel provided inside the support plate.

일 실시 예에 의하면, 상기 냉각 유로는 단일의 유로로 제공되며, 상기 지지판의 전체영역에 형성될 수 있다. According to one embodiment, the cooling channel is provided in a single flow path, and may be formed in the entire region of the support plate.

일 실시 예에 의하면, 상기 챔버는 기판이 반입되는 출입구를 가지는 제1측벽; 상기 제1측벽과 마주보는 제2측벽을 포함하며 상기 가열 유닛은 상기 제1측벽보다 상기 제2측벽에 가깝게 위치할 수 있다. According to one embodiment, the chamber includes a first sidewall having an entrance through which a substrate is introduced; And a second sidewall facing the first sidewall, the heating unit being located closer to the second sidewall than the first sidewall.

본 발명은 기판을 처리하는 장치를 제공한다. The present invention provides an apparatus for processing a substrate.

본 발명의 일 실시 예에 따르면, 상기 기판 처리 장치는 기판에 베이크 공정을 수행하는 베이크 유닛; 기판에 처리액을 공급하여 공정을 수행하는 액처리 챔버; 그리고 상기 베이크 유닛과 상기 액처리 챔버간에 기판을 이송하는 이송 챔버를 포함하되 상기 베이크 유닛은 챔버; 상기 챔버 내부에 위치하며 기판을 가열하는 가열 유닛; 상기 챔버 내부에 위치하며, 상기 기판을 이송하는 이송 유닛; 그리고 상기 이송 유닛에 제공되어 기판을 냉각하는 냉각 유닛을 포함할 수 있다. According to an embodiment of the present invention, the substrate processing apparatus includes a baking unit for performing a baking process on a substrate; A liquid processing chamber for performing a process by supplying a process liquid to a substrate; And a transfer chamber for transferring a substrate between the bake unit and the liquid processing chamber, the bake unit comprising: a chamber; A heating unit located inside the chamber and heating the substrate; A transfer unit located inside the chamber, for transferring the substrate; And a cooling unit provided in the transfer unit to cool the substrate.

일 실시 예에 의하면, 상기 가열 유닛은 가열판; 상기 가열판을 가열하는 히터를 포함하며 상기 이송 유닛은 기판이 놓이는 지지판; 상기 지지판을 지지하는 이송암; 그리고 상기 이송암을 이동시키는 구동기를 포함하며 상기 냉각 유닛은 상기 지지판에 제공될 수 있다. According to one embodiment, the heating unit comprises a heating plate; And a heater for heating the heating plate, wherein the transfer unit comprises: a support plate on which the substrate is placed; A transfer arm for supporting the support plate; And a driver for moving the transfer arm, and the cooling unit may be provided on the support plate.

일 실시 예에 의하면, 상기 냉각 유닛은 상기 지지판의 내부에 제공되는 냉각 유로를 포함할 수 있다. According to one embodiment, the cooling unit may include a cooling channel provided inside the support plate.

일 실시 예에 의하면, 상기 냉각 유로는 단일의 유로로 제공되며 상기 지지판의 전체영역에 형성될 수 있다. According to an embodiment, the cooling channel may be provided in a single channel and may be formed in the entire region of the support plate.

일 실시 예에 의하면, 상기 챔버는 기판이 반입되는 출입구를 가지는 제1측벽; 상기 제1측벽과 마주보는 제2측벽을 포함하며 상기 가열 유닛은 상기 제1측벽보다 상기 제2측벽에 가깝게 위치할 수 있다. According to one embodiment, the chamber includes a first sidewall having an entrance through which a substrate is introduced; And a second sidewall facing the first sidewall, the heating unit being located closer to the second sidewall than the first sidewall.

본 발명은 기판을 처리하는 방법을 제공한다. The present invention provides a method of treating a substrate.

본 발명의 일 실시 예에 따르면, 외부에 기판이 반입되어 상기 지지판에 놓이는 제1단계; 상기 이송 유닛으로 기판을 이송하여 상기 가열판에 기판을 놓는 제2단계; 상기 기판을 상기 히터로 가열하는 제3단계; 상기 기판을 상기 이송 유닛으로 냉각하는 제4단계; 및 상기 기판을 외부로 반송하는 제5단계를 포함할 수 있다. According to an embodiment of the present invention, there is provided a method of manufacturing a semiconductor device, comprising: a first step of loading a substrate outside and placing the substrate on the supporting plate; A second step of transferring the substrate to the transfer unit to place the substrate on the heating plate; A third step of heating the substrate with the heater; A fourth step of cooling the substrate with the transfer unit; And a fifth step of transporting the substrate to the outside.

일 실시 예에 의하면, 상기 제4단계는 상기 이송 유닛으로 상기 기판을 상기 챔버에 형성된 출입구로 이송하는 동안에 이루어질 수 있다. According to one embodiment, the fourth step may be performed while transferring the substrate to the transfer unit to an entrance formed in the chamber.

본 발명의 일 실시 예에 의하면, 반송 유닛에 냉각 유닛을 제공하여 베이크 공정에 효율을 향상시키는 효과가 있다. According to the embodiment of the present invention, there is an effect that the cooling unit is provided in the transport unit to improve the efficiency in the baking process.

또한, 본 발명의 일 실시 예에 의하면, 반송 유닛에 냉각 유닛을 제공하여 기판을 단시간에 냉각시키는 효과가 있다.According to the embodiment of the present invention, there is an effect that the substrate is cooled in a short time by providing the cooling unit to the transport unit.

또한, 본 발명의 일 실시 예에 의하면, 반송 유닛에 냉각 유닛을 제공하여 기판을 반송하는 중에 냉각하여 공정 시간을 최소화하는 효과가 있다.Further, according to the embodiment of the present invention, there is an effect that the cooling unit is provided in the transfer unit, and the process time is minimized by cooling the substrate during transfer.

도 1은 본 발명의 일 실시예에 따른 기판 처리 장치를 개략적으로 보여주는 도면이다.
도 2는 도 1의 기판 처리 장치(1)를 A-A 방향에서 바라본 도면이이다.
도 3은 도 1의 기판 처리 장치(1)를 B-B 방향에서 바라본 도면이다.
도 4는 본 발명의 일 실시예에 따른 베이크 유닛의 사시도이다.
도 5는 도 4의 지지판의 냉각 유로를 보여주는 평면도이다.
도 6은 도 4의 베이크 유닛의 평면도이다.
도 7은 도4의 베이크 유닛의 단면도이다.
도 8은 본 발명의 일 실시예에 따른 기판 처리 방법을 개략적으로 보여주는 플로우 차트 도면이다.
1 is a schematic view of a substrate processing apparatus according to an embodiment of the present invention.
Fig. 2 is a view of the substrate processing apparatus 1 of Fig. 1 viewed from the direction AA.
FIG. 3 is a view of the substrate processing apparatus 1 of FIG. 1 viewed from the BB direction.
4 is a perspective view of a bake unit according to an embodiment of the present invention.
5 is a plan view showing a cooling channel of the support plate of FIG.
6 is a plan view of the bake unit of Fig.
7 is a cross-sectional view of the bake unit of Fig.
8 is a flow chart diagram schematically illustrating a substrate processing method according to an embodiment of the present invention.

이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The embodiments of the present invention can be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more fully describe the present invention to those skilled in the art. Thus, the shape of the elements in the figures has been exaggerated to emphasize a clearer description.

본 실시예의 설비는 반도체 웨이퍼 또는 평판 표시 패널과 같은 기판에 대해 포토리소그래피 공정을 수행하는 데 사용된다. 특히 본 실시예의 설비는 기판에 대해 도포 공정, 현상 공정을 수행하는 데 사용된다. The facility of this embodiment is used to perform a photolithography process on a substrate such as a semiconductor wafer or a flat panel display panel. In particular, the facilities of this embodiment are used to perform a coating process and a developing process on a substrate.

도 1 내지 도 3은 본 발명의 일 실시예에 따른 기판 처리 장치(1)를 개략적으로 보여주는 도면이다. 도 1은 기판 처리 장치(1)를 상부에서 바라본 도면이고, 도 2는 도 1의 기판 처리 장치(1)를 A-A 방향에서 바라본 도면이고, 도 3은 도 1의 기판 처리 장치(1)를 B-B 방향에서 바라본 도면이다.1 to 3 are views schematically showing a substrate processing apparatus 1 according to an embodiment of the present invention. 1 is a view showing the substrate processing apparatus 1 of FIG. 1 viewed from the direction AA, FIG. 3 is a view showing the substrate processing apparatus 1 of FIG. 1 as BB Fig.

도 1 내지 도 3을 참조하면, 기판 처리 장치(1)는 로드 포트(100), 인덱스 모듈(200), 버퍼 모듈(300), 도포 및 현상 모듈(400), 인터페이스 모듈(700), 그리고 퍼지 모듈(800)을 포함한다. 로드 포트(100), 인덱스 모듈(200), 버퍼 모듈(300), 도포 및 현상 모듈(400) 그리고 인터페이스 모듈(700)은 순차적으로 일 방향으로 일렬로 배치된다. 퍼지 모듈(800)은 인터페이스 모듈(700) 내에 제공될 수 있다. 이와 달리 퍼지 모듈(800)은 인터페이스 모듈(700) 후단의 노광 장치가 연결되는 위치 또는 인터페이스 모듈(700)의 측부 등 다양한 위치에 제공될 수 있다.1 to 3, the substrate processing apparatus 1 includes a load port 100, an index module 200, a buffer module 300, a coating and developing module 400, an interface module 700, Module 800. < / RTI > The load port 100, the index module 200, the buffer module 300, the application and development module 400, and the interface module 700 are sequentially arranged in one direction in one direction. The purge module 800 may be provided in the interface module 700. The fuzzy module 800 may be provided at various positions such as a position where the exposure device at the rear end of the interface module 700 is connected or a side of the interface module 700. [

이하, 로드 포트(100), 인덱스 모듈(200), 버퍼 모듈(300), 도포 및 현상 모듈(400), 그리고 인터페이스 모듈(700)이 배치된 방향을 제1방향(12)이라 한다. 상부에서 바라볼 때 제1방향(12)과 수직한 방향을 제2방향(14)이라 하고, 제1방향(12) 및 제2방향(14)과 각각 수직한 방향을 제3방향(16)이라 한다. Hereinafter, the direction in which the load port 100, the index module 200, the buffer module 300, the application and development module 400, and the interface module 700 are arranged is referred to as a first direction 12. A direction perpendicular to the first direction 12 is referred to as a second direction 14 and a direction perpendicular to the first direction 12 and the second direction 14 is referred to as a third direction 16, Quot;

기판(W)은 카세트(20) 내에 수납된 상태로 이동된다. 카세트(20)는 외부로부터 밀폐될 수 있는 구조를 가진다. 일 예로 카세트(20)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다. The substrate W is moved in a state accommodated in the cassette 20. The cassette 20 has a structure that can be sealed from the outside. For example, as the cassette 20, a front open unified pod (FOUP) having a door at the front can be used.

로드 포트(100)는 기판들(W)이 수납된 카세트(20)가 놓여지는 재치대(120)를 가진다. 재치대(120)는 복수개가 제공되며, 재치대들(120)은 제2방향(14)을 따라 일렬로 배치된다. 도 1에서는 4개의 재치대(120)가 제공된다.The load port 100 has a mounting table 120 on which the cassette 20 accommodating the substrates W is placed. A plurality of mounts 120 are provided, and the mounts 120 are arranged in a line along the second direction 14. In Fig. 1, four placement tables 120 are provided.

인덱스 모듈(200)은 로드 포트(100)의 재치대(120)에 놓인 카세트(20)와 버퍼 모듈(300) 간에 기판(W)을 이송한다. 인덱스 모듈(200)은 프레임(210), 인덱스 로봇(220), 그리고 가이드 레일(230)을 포함한다. 프레임(210)은 대체로 내부가 빈 직육면체의 형상으로 제공된다. 프레임(210)은 로드 포트(100)와 버퍼 모듈(300) 사이에 배치된다. 인덱스 모듈(200)의 프레임(210)은 후술하는 버퍼 모듈(300)의 프레임(310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(220)과 가이드 레일(230)은 프레임(210) 내에 배치된다. 인덱스 로봇(220)은 기판(W)을 직접 핸들링하는 핸드(221)가 제1방향(12), 제2방향(14) 그리고 제3방향(16)으로 이동 가능하고 회전될 수 있도록 4축 구동이 가능한 구조이다. 인덱스 로봇(220)은 핸드(221), 아암(222), 지지대(223), 그리고 받침대(224)를 포함한다. 핸드(221)는 아암(222)에 고정설치된다. 아암(222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(223)는 그 길이 방향이 제3방향(16)을 따라 배치된다. 아암(222)은 지지대(223)를 따라 이동 가능하도록 지지대(223)에 결합된다. 지지대(223)는 받침대(224)에 고정결합된다. 가이드 레일(230)은 그 길이 방향이 제2방향(14)을 따라 배치되도록 제공된다. 받침대(224)는 가이드 레일(230)을 따라 직선 이동 가능하도록 가이드 레일(230)에 결합된다. 또한, 도시되지는 않았지만, 프레임(210)에는 카세트(20)의 도어를 개폐하는 도어 오프너가 더 제공된다.The index module 200 transfers the substrate W between the cassette 20 and the buffer module 300 placed on the table 120 of the load port 100. The index module 200 includes a frame 210, an index robot 220, and a guide rail 230. The frame 210 is provided in the form of a substantially rectangular parallelepiped. The frame 210 is disposed between the load port 100 and the buffer module 300. The frame 210 of the index module 200 may be provided at a lower height than the frame 310 of the buffer module 300 described later. The index robot 220 and the guide rail 230 are disposed within the frame 210. The index robot 220 is a four-axis drive system in which the hand 221 directly handling the substrate W is movable and rotatable in the first direction 12, the second direction 14 and the third direction 16, This is a possible structure. The index robot 220 includes a hand 221, an arm 222, a support 223, and a pedestal 224. The hand 221 is fixed to the arm 222. The arm 222 is provided with a stretchable structure and a rotatable structure. The support base 223 is disposed along the third direction 16 in the longitudinal direction. The arm 222 is coupled to the support 223 to be movable along the support 223. The support 223 is fixedly coupled to the pedestal 224. The guide rails 230 are provided so that their longitudinal direction is arranged along the second direction 14. The pedestal 224 is coupled to the guide rail 230 so as to be linearly movable along the guide rail 230. Further, although not shown, the frame 210 is further provided with a door opener for opening and closing the door of the cassette 20.

버퍼 모듈(300)은 프레임(310), 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)을 포함한다. 프레임(310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(200)과 도포 및 현상 모듈(400) 사이에 배치된다. 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)은 프레임(310) 내에 위치된다. 냉각 챔버(350), 제 2 버퍼(330), 그리고 제 1 버퍼(320)는 순차적으로 아래에서부터 제3방향(16)을 따라 배치된다. 제 1 버퍼(320)는 후술하는 도포 및 현상 모듈(400)의 도포 모듈(401)과 대응되는 높이에 위치되고, 제 2 버퍼(330)와 냉각 챔버(350)는 후술하는 도포 및 현상 모듈(400)의 현상 모듈(402)과 대응되는 높이에 제공된다. 제 1 버퍼 로봇(360)은 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼(320)와 제2방향(14)으로 일정 거리 이격되게 위치된다. The buffer module 300 includes a frame 310, a first buffer 320, a second buffer 330, a cooling chamber 350, and a first buffer robot 360. The frame 310 is provided in the shape of an inner rectangular parallelepiped and is disposed between the index module 200 and the application and development module 400. The first buffer 320, the second buffer 330, the cooling chamber 350, and the first buffer robot 360 are located within the frame 310. The cooling chamber 350, the second buffer 330, and the first buffer 320 are sequentially disposed in the third direction 16 from below. The second buffer 330 and the cooling chamber 350 are located at a height corresponding to the coating module 401 of the coating and developing module 400 described later and the coating and developing module 400 at a height corresponding to the developing module 402. [ The first buffer robot 360 is spaced apart from the second buffer 330, the cooling chamber 350 and the first buffer 320 by a predetermined distance in the second direction 14.

제 1 버퍼(320)와 제 2 버퍼(330)는 각각 복수의 기판들(W)을 일시적으로 보관한다. 제 2 버퍼(330)는 하우징(331)과 복수의 지지대들(332)을 가진다. 지지대들(332)은 하우징(331) 내에 배치되며, 서로 간에 제3방향(16)을 따라 이격되게 제공된다. 각각의 지지대(332)에는 하나의 기판(W)이 놓인다. 하우징(331)은 인덱스 로봇(220)과 제 1 버퍼 로봇(360)이 하우징(331) 내 지지대(332)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향과 제 1 버퍼 로봇(360)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(320)는 제 2 버퍼(330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(320)의 하우징(321)에는 제 1 버퍼 로봇(360)이 제공된 방향 및 도포 모듈(401)에 위치된 도포부 로봇(432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(320)에 제공된 지지대(322)의 수와 제 2 버퍼(330)에 제공된 지지대(332)의 수는 동일하거나 상이할 수 있다. 일 예에 의하면, 제 2 버퍼(330)에 제공된 지지대(332)의 수는 제 1 버퍼(320)에 제공된 지지대(322)의 수보다 많을 수 있다. The first buffer 320 and the second buffer 330 temporarily store a plurality of substrates W, respectively. The second buffer 330 has a housing 331 and a plurality of supports 332. The supports 332 are disposed within the housing 331 and are provided spaced apart from each other in the third direction 16. One substrate W is placed on each support 332. The housing 331 includes a housing 331 and a first buffer robot 360. The housing 331 supports the index robot 220 and the first buffer robot 360 in the direction in which the index robot 220 is provided, 1 buffer robot 360 has an opening (not shown) in the direction in which it is provided. The first buffer 320 has a structure substantially similar to that of the second buffer 330. The housing 321 of the first buffer 320 has an opening in a direction in which the first buffer robot 360 is provided and a direction in which the application unit robot 432 located in the application module 401 is provided. The number of supports 322 provided in the first buffer 320 and the number of supports 332 provided in the second buffer 330 may be the same or different. According to one example, the number of supports 332 provided in the second buffer 330 may be greater than the number of supports 322 provided in the first buffer 320.

제 1 버퍼 로봇(360)은 제 1 버퍼(320)와 제 2 버퍼(330) 간에 기판(W)을 이송시킨다. 제 1 버퍼 로봇(360)은 핸드(361), 아암(362), 그리고 지지대(363)를 포함한다. 핸드(361)는 아암(362)에 고정 설치된다. 아암(362)은 신축 가능한 구조로 제공되어, 핸드(361)가 제2방향(14)을 따라 이동 가능하도록 한다. 아암(362)은 지지대(363)를 따라 제3방향(16)으로 직선 이동 가능하도록 지지대(363)에 결합된다. 지지대(363)는 제 2 버퍼(330)에 대응되는 위치부터 제 1 버퍼(320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(363)는 이보다 상부 또는 하부 방향으로 더 길게 제공될 수 있다. 제 1 버퍼 로봇(360)은 핸드(361)가 제2방향(14) 및 제3방향(16)을 따른 2축 구동만 되도록 제공될 수 있다. The first buffer robot 360 transfers the substrate W between the first buffer 320 and the second buffer 330. The first buffer robot 360 includes a hand 361, an arm 362, and a support 363. The hand 361 is fixed to the arm 362. The arm 362 is provided in a stretchable configuration so that the hand 361 is movable along the second direction 14. The arm 362 is coupled to the support 363 so as to be linearly movable along the support 363 in the third direction 16. The support base 363 has a length extending from a position corresponding to the second buffer 330 to a position corresponding to the first buffer 320. The support 363 may be provided longer in the upper or lower direction. The first buffer robot 360 may be provided such that the hand 361 is driven only in two directions along the second direction 14 and the third direction 16.

냉각 챔버(350)는 각각 기판(W)을 냉각한다. 냉각 챔버(350)는 하우징(351)과 냉각 플레이트(352)를 포함한다. 냉각 플레이트(352)는 기판(W)이 놓이는 상면 및 기판(W)을 냉각하는 냉각 수단(353)을 가진다. 냉각 수단(353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(350)에는 기판(W)을 냉각 플레이트(352) 상에 위치시키는 리프트 핀 어셈블리가 제공될 수 있다. 하우징(351)은 인덱스 로봇(220) 및 현상 모듈(402)에 제공된 현상부 로봇이 냉각 플레이트(352)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향 및 현상부 로봇이 제공된 방향에 개구를 가진다. 또한, 냉각 챔버(350)에는 상술한 개구를 개폐하는 도어들이 제공될 수 있다. The cooling chamber 350 cools the substrate W, respectively. The cooling chamber 350 includes a housing 351 and a cooling plate 352. The cooling plate 352 has an upper surface on which the substrate W is placed and a cooling means 353 for cooling the substrate W. [ As the cooling means 353, various methods such as cooling with cooling water and cooling using a thermoelectric element can be used. In addition, the cooling chamber 350 may be provided with a lift pin assembly for positioning the substrate W on the cooling plate 352. The housing 351 is provided with the index robot 220 and the development module 402 so that the development robot can carry the substrate W to or from the cooling plate 352 in the direction in which the index robot 220 is provided, The robot has an opening in the direction provided. Further, the cooling chamber 350 may be provided with doors for opening and closing the above-described opening.

도포 모듈(401)은 기판(W)에 대해 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 기판(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다. 도포 모듈(401)은 액처리 챔버(410), 베이크 유닛(500), 그리고 이송 챔버(430)를 가진다. 액처리 챔버(410), 베이크 유닛(500), 그리고 이송 챔버(430)는 제2방향(14)을 따라 순차적으로 배치된다. 액처리 챔버(410)는 기판(W)에 레지스트 도포 공정을 수행하는 레지스트 도포 챔버(410)로 제공될 수 있다. 레지스트 도포 챔버(410)는 복수 개가 제공되며, 제1방향(12) 및 제3방향(16)으로 각각 복수 개씩 제공된다. 베이크 유닛(500)는 제1방향(12) 및 제3방향(16)으로 각각 복수 개씩 제공된다. The application module 401 includes a process of applying a photosensitive liquid such as a photoresist to the substrate W and a heat treatment process such as heating and cooling for the substrate W before and after the resist application process. The application module 401 has a liquid processing chamber 410, a bake unit 500, and a transfer chamber 430. The liquid processing chamber 410, the bake unit 500, and the transfer chamber 430 are sequentially disposed along the second direction 14. The liquid processing chamber 410 may be provided with a resist application chamber 410 for performing a resist coating process on the substrate W. A plurality of resist coating chambers 410 are provided, and a plurality of resist coating chambers 410 are provided in the first direction 12 and the third direction 16, respectively. A plurality of bake units 500 are provided in the first direction 12 and the third direction 16, respectively.

이송 챔버(430)는 제 1 버퍼 모듈(300)의 제 1 버퍼(320)와 제1방향(12)으로 나란하게 위치된다. 이송 챔버(430) 내에는 도포부 로봇(432)과 가이드 레일(433)이 위치된다. 이송 챔버(430)는 대체로 직사각의 형상을 가진다. 도포부 로봇(432)은 베이크 유닛들(420), 레지스트 도포 챔버들(410), 그리고 제 1 버퍼 모듈(300)의 제 1 버퍼(320)간에 기판(W)을 이송한다. 가이드 레일(433)은 그 길이 방향이 제1방향(12)과 나란하도록 배치된다. 가이드 레일(433)은 도포부 로봇(432)이 제1방향(12)으로 직선 이동되도록 안내한다. 도포부 로봇(432)은 핸드(434), 아암(435), 지지대(436), 그리고 받침대(437)를 가진다. 핸드(434)는 아암(435)에 고정 설치된다. 아암(435)은 신축 가능한 구조로 제공된다. 아암(435)는 핸드(434)가 수평 방향으로 이동 가능하게 한다. 지지대(436)는 그 길이 방향이 제3방향(16)을 따라 배치된다. 아암(435)은 지지대(436)를 따라 제3방향(16)으로 직선 이동 가능하다. 아암(435)은 지지대(436)에 결합된다. 지지대(436)는 받침대(437)에 고정 결합된다. 받침대(437)는 가이드 레일(433)을 따라 이동 가능하다. 받침대(437)은 가이드 레일(433)에 결합된다.The transfer chamber 430 is positioned in parallel with the first buffer 320 of the first buffer module 300 in the first direction 12. In the transfer chamber 430, the applicator robot 432 and the guide rail 433 are positioned. The transfer chamber 430 has a generally rectangular shape. The applicator robot 432 transfers the substrate W between the bake units 420, the resist application chambers 410 and the first buffer 320 of the first buffer module 300. The guide rails 433 are arranged so that their longitudinal directions are parallel to the first direction 12. The guide rails 433 guide the applying robot 432 to move linearly in the first direction 12. The applicator robot 432 has a hand 434, an arm 435, a support 436, and a pedestal 437. The hand 434 is fixed to the arm 435. The arm 435 is provided with a stretchable structure. The arm 435 allows the hand 434 to move in the horizontal direction. The support base 436 is disposed along the third direction 16 in its longitudinal direction. The arm 435 is linearly movable along the support 436 in the third direction 16. The arm 435 is coupled to a support 436. The support 436 is fixedly coupled to the pedestal 437. The pedestal 437 is movable along the guide rail 433. The pedestal 437 is coupled to the guide rail 433.

레지스트 도포 챔버들(410)은 모두 동일한 구조를 가질 수 있다. 다만, 각각의 레지스트 도포 챔버(410)에서 사용되는 포토 레지스트의 종류는 서로 상이할 수 있다. 일 예로서 포토 레지스트로는 화학 증폭형 레지스트(chemical amplification resist)가 사용될 수 있다. 레지스트 도포 챔버(410)는 기판(W) 상에 포토 레지스트를 도포한다. 레지스트 도포 챔버(410)는 하우징(411), 지지 플레이트(412), 그리고 노즐(413)을 포함한다. 하우징(411)은 상부가 개방된 컵 형상으로 제공된다. 지지 플레이트(412)는 하우징(411) 내에 위치되며, 기판(W)를 지지한다. 지지 플레이트(412)는 회전 가능하게 제공된다. 노즐(413)은 지지 플레이트(412)에 놓인 기판(W) 상으로 포토 레지스트를 공급한다. 노즐(413)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 포토 레지스트를 공급할 수 있다. 선택적으로 노즐(413)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(413)의 토출구는 슬릿으로 제공될 수 있다. 레지스트 도포 챔버(410)에는 세정액을 공급하는 노즐(414)이 더 제공될 수 있다. 세정액은 포토 레지스트가 도포된 기판(W) 표면을 세정한다. 일 예로 세정액은 탈이온수로 공급될 수 있다. The resist application chambers 410 may all have the same structure. However, the types of the photoresist used in each of the resist coating chambers 410 may be different from each other. As an example, a chemical amplification resist may be used as the photoresist. The resist coating chamber 410 applies a photoresist on the substrate W. [ The resist coating chamber 410 includes a housing 411, a support plate 412, and a nozzle 413. The housing 411 is provided in the form of a cup having an open top. The support plate 412 is placed in the housing 411 and supports the substrate W. [ The support plate 412 is rotatably provided. The nozzle 413 supplies the photoresist onto the substrate W placed on the support plate 412. The nozzle 413 has a circular tube shape and can supply photoresist to the center of the substrate W. [ Alternatively, the nozzle 413 may have a length corresponding to the diameter of the substrate W, and the discharge port of the nozzle 413 may be provided as a slit. The resist coating chamber 410 may further be provided with a nozzle 414 for supplying a cleaning liquid. The cleaning liquid cleans the surface of the substrate W to which the photoresist is applied. As an example, the cleaning liquid may be supplied as deionized water.

도 4 내지 도 7은 베이크 유닛을 보여주는 도면이다. 이하 도 4 내지 도 7을 참조하면, 베이크 유닛(500)은 기판(W)에 대해 베이크 공정을 수행한다. 예컨대, 베이크 유닛들(500)은 포토 레지스트를 도포하기 전에 기판(W)을 소정의 온도로 가열하여 기판(W) 표면의 유기물이나 수분을 제거하는 프리 베이크(prebake) 공정이나 포토레지스트를 기판(W) 상에 도포한 후에 행하는 소프트 베이크(soft bake) 공정 등을 수행하고, 각각의 가열 공정 이후에 기판(W)을 냉각하는 냉각 공정 등을 수행한다. Figs. 4 to 7 are views showing the bake unit. Fig. 4 to 7, the bake unit 500 performs a bake process on the substrate W. [ For example, the bake units 500 may include a prebake step of heating the substrate W to a predetermined temperature to remove organic matter and moisture on the surface of the substrate W before the photoresist is applied, A soft bake process is performed after coating the substrate W on the substrate W, and a cooling process for cooling the substrate W after each heating process is performed.

베이크 유닛(500)은 챔버(510), 이송 유닛(520), 가열 유닛(550), 냉각 유닛(580) 그리고 제어기(미도시)를 포함한다.The bake unit 500 includes a chamber 510, a transfer unit 520, a heating unit 550, a cooling unit 580 and a controller (not shown).

챔버(510)는 내부에 처리 공간을 제공한다. 처리 공간에서는 베이크 공정 냉각 공정이 수행된다. 챔버(510)는 직육면체 형상으로 제공될 수 있다. 챔버(510)는 제1측벽(511), 제2측벽(513), 제3측벽(514), 그리고 제4측벽(515)을 포함한다. The chamber 510 provides a processing space therein. In the processing space, a bake process cooling process is performed. The chamber 510 may be provided in a rectangular parallelepiped shape. The chamber 510 includes a first sidewall 511, a second sidewall 513, a third sidewall 514, and a fourth sidewall 515.

제1측벽(511)은 챔버(510)의 일측면에 제공된다. 제2측벽(513)은 제1측벽(511)과 맞은편에 제공된다. 챔버(510)의 측벽에는 기판(W)이 출입되는 출입구(512)가 형성된다. 일 예로 출입구(512)는 제1측벽(511)에 형성될 수 있다. 출입구(512)는 기판(W)이 이송 또는 반송 되도록 기판(W)의 이동하는 통로를 제공한다.A first side wall 511 is provided on one side of the chamber 510. A second sidewall 513 is provided opposite the first sidewall 511. On the side wall of the chamber 510, an entrance port 512 through which the substrate W enters and exits is formed. For example, the entrance 512 may be formed in the first sidewall 511. The entry port 512 provides a moving path for the substrate W so that the substrate W is transferred or transferred.

제3측벽(514)은 제1측벽(511)과 제2측벽(513) 사이에 위치한다. 제3측벽(514)은 제1측벽(511)과 제2측벽(513)에 수직하게 제공된다. 제3측벽(514)의 길이는 제1측벽(513)의 길이보다 길게 제공될 수 있다. The third sidewall 514 is located between the first sidewall 511 and the second sidewall 513. The third sidewall 514 is provided perpendicular to the first sidewall 511 and the second sidewall 513. The length of the third sidewall 514 may be longer than the length of the first sidewall 513.

제4측벽(515)은 제3측벽(514)과 맞은편에 제공된다. 제4측벽(515)은 제1측벽(511)과 제2측벽(513) 사이에 위치한다. 제3측벽(514)과 제4측벽(515)은 서로 평행하게 제공된다. 제1측벽(511), 제2측벽(513), 제3측벽(514) 그리고 제4측벽(515)은 서로 동일한 높이로 제공될 수 있다. A fourth sidewall 515 is provided opposite the third sidewall 514. The fourth sidewall 515 is located between the first sidewall 511 and the second sidewall 513. The third sidewall 514 and the fourth sidewall 515 are provided in parallel with each other. The first sidewall 511, the second sidewall 513, the third sidewall 514, and the fourth sidewall 515 may be provided at the same height.

이송 유닛(520)은 기판(W)을 이송한다. 일 예로 이송 유닛(520)은 기판(W)을 가열 유닛(550으로 이송하거나, 공정이 끝난 기판(W)을 외부로 반송하도록 기판(W)을 이송시킨다. 이송 유닛(520)은 지지판(521), 이송암(522), 지지링(533), 그리고 구동기(527)를 포함한다.The transfer unit 520 transfers the substrate W. The transfer unit 520 transfers the substrate W to the heating unit 550 or the substrate W so as to transfer the processed substrate W to the outside of the transfer unit 520. The transfer unit 520 includes a support plate 521 A transfer arm 522, a support ring 533, and a driver 527. [

지지판(521)에는 기판(W)이 놓인다. 지지판(521)은 원형의 형상으로 제공된다. 지지판(521)은 원형의 플레이트로 제공될 수 있다. 지지판(521)은 기판(W)과 동일한 크기로 제공될 수 있다. 지지판(521)은 열전도도가 좋은 금속의 재질로 제공된다. 지지판(521)에는 가이드 홀(525)이 형성되어 있다. 가이드 홀(525)은 리프트 핀(553)을 수용하기 위한 공간이다. 가이드 홀(525)은 지지판(521)의 외측으로부터 그 내측으로 연장되어 제공된다. 가이드 홀(525)은 지지판(521)의 이동 시 리프트 핀(553)과 간섭 또는 충돌이 일어나지 않도록 한다.The substrate W is placed on the support plate 521. The support plate 521 is provided in a circular shape. The support plate 521 may be provided as a circular plate. The support plate 521 may be provided in the same size as the substrate W. [ The support plate 521 is made of a metal having good thermal conductivity. A guide hole 525 is formed in the support plate 521. The guide hole 525 is a space for accommodating the lift pin 553. The guide hole 525 extends from the outside of the support plate 521 to the inside thereof. The guide hole 525 prevents interference or collision with the lift pin 553 when the support plate 521 is moved.

이송암(522)은 지지판(521)과 고정결합된다. 이송암(522)은 지지판(521)과 구동기(527) 사이에 제공된다. 이송암(522)은 플레이트로 제공될 수 있다.The transfer arm 522 is fixedly coupled to the support plate 521. The transfer arm 522 is provided between the support plate 521 and the driver 527. The transfer arm 522 may be provided as a plate.

지지링(533)은 지지판(521) 주위를 감싸며 제공된다. 지지링(533)은 지지판(521)의 가장 자리를 지지한다. 지지링(533)은 기판(W)이 지지판(521)에 놓여진 후 기판(W)이 정위치에 놓이도록 기판(W)을 지지하는 역할을 한다. The support ring 533 is provided so as to surround the support plate 521. The support ring 533 supports the edge of the support plate 521. The support ring 533 serves to support the substrate W such that the substrate W is placed in the correct position after the substrate W is placed on the support plate 521. [

구동기(527)는 지지판(521)를 이송 또는 반송할 수 있도록 한다. 구동기(527)는 지지판(521)를 직선 운동 또는 상하 구동할 수 있도록 제공된다. 구동기(527)는 지지판(521)를 출입구(512)와 가열 유닛(550) 사이로 이동시킬 수 있다. The driver 527 allows the support plate 521 to be transported or transported. The driver 527 is provided to linearly move the support plate 521 or to drive the support plate 521 up and down. The driving unit 527 can move the support plate 521 between the entrance port 512 and the heating unit 550.

가열 유닛(550)은 기판(W)을 설정 온도로 가열한다. 가열 유닛(550)은 가열판(551), 리프트 핀(553), 커버(555) 그리고 구동기(557)를 포함한다. The heating unit 550 heats the substrate W to a set temperature. The heating unit 550 includes a heating plate 551, a lift pin 553, a cover 555, and a driver 557.

가열판(551)의 내부에는 기판(W)을 가열하는 가열 수단이 제공된다. 가열판(551)에는 기판(W)이 놓인다. 가열판(551)는 원통의 형상으로 제공된다. 가열판은 제1측벽(511)보다 제2측벽(513)에 인접하게 위치한다. 가열판(551)의 상부에는 리프트 핀(553)을 수용하는 핀 홀(554)이 형성되어 있다. A heating means for heating the substrate W is provided in the heating plate 551. [ A substrate W is placed on the heating plate 551. The heating plate 551 is provided in the shape of a cylinder. The heating plate is positioned adjacent to the second sidewall 513 rather than the first sidewall 511. A pin hole 554 for receiving the lift pin 553 is formed on the heating plate 551.

가열판(551)내에는 히터(553)가 제공된다. 히터(553)는 가열판(551)을 가열하여 기판(W)을 가열한다. 이와는 달리 가열 수단으로 가열판(551)에 발열 패턴들이 제공될 수 있다.A heater 553 is provided in the heating plate 551. The heater 553 heats the heating plate 551 to heat the substrate W. Alternatively, heating patterns may be provided on the heating plate 551 as heating means.

핀 홀(554)은 리프트 핀(553)이 기판(W)을 상하로 이동시킬 때 리프트 핀(553)의 이동하는 경로를 위해 제공된다. 핀 홀(554)은 가열판(551)의 상부에 제공되며, 복수개가 제공될 수 있다. The pin hole 554 is provided for the moving path of the lift pin 553 when the lift pin 553 moves the substrate W up and down. The pinhole 554 is provided on the top of the heating plate 551, and a plurality of pins can be provided.

리프트 핀(554)은 승강 기구(미도시)에 의해 상하로 이동된다. 리프트 핀(554)은 기판(W)을 가열판(551) 상에 안착시킬 수 있다. 리프트 핀(554)은 기판(W)을 가열판(551)로부터 일정거리 이격된 위치로 기판(W)을 승강시킬 수 있다. The lift pins 554 are moved up and down by a lifting mechanism (not shown). The lift pins 554 can seat the substrate W on the heating plate 551. [ The lift pins 554 can raise and lower the substrate W to a position spaced apart from the heating plate 551 by a predetermined distance.

커버(555)는 가열판(551)의 상부에 위치한다. 커버(555)는 원통형의 형상으로 제공된다. 커버(555)는 내부에 가열 공간을 제공한다. 커버(555)는 기판(W)이 가열판(551)로 이동시 구동기(557)에 의해 가열판(551)의 상부로 이동한다. 커버(555)는 기판(W)이 가열판(551)에 의해 가열 시 구동기(557)에 의해 하부로 이동하여 기판(W)이 가열되는 가열 공간을 형성한다. The cover 555 is located on the top of the heating plate 551. The cover 555 is provided in a cylindrical shape. The cover 555 provides a heating space therein. The cover 555 moves to the upper portion of the heating plate 551 by the actuator 557 when the substrate W is moved to the heating plate 551. [ The cover 555 moves the substrate W downward by the actuator 557 when the substrate W is heated by the heating plate 551 to form a heating space in which the substrate W is heated.

구동기(557)는 지지부(558)에 의해 커버(555)와 고정 결합된다. 구동기(557)는 기판(W)의 가열판(551)로 이송 또는 반송 되는 경우 커버(555)를 상하로 승하강시킨다. 일 예로 구동기(557)는 실린더 구동기로 제공될 수 있다. The driver 557 is fixedly coupled to the cover 555 by a support 558. [ The driver 557 moves up and down the cover 555 when it is conveyed or conveyed to the heating plate 551 of the substrate W. In one example, the actuator 557 may be provided as a cylinder actuator.

냉각 유닛(580)은 가열판(551) 또는 처리가 끝난 기판(W)을 냉각시키는 역할을 한다. 냉각 유닛(580)은 지지판(521)의 내부에 제공된다. 일 예로 냉각 유닛(580)은 냉각 유로로 제공될 수 있다. 냉각 유로(580)는 단일의 유로로 제공된다. 냉각 유로는 지지판의 전체 영역에 제공된다. 냉각 유로(580)에는 냉각수가 공급되어 기판(W)을 냉각 할 수 있다. The cooling unit 580 serves to cool the heating plate 551 or the processed substrate W. [ The cooling unit 580 is provided inside the support plate 521. For example, the cooling unit 580 may be provided as a cooling flow path. The cooling channel 580 is provided as a single channel. The cooling channel is provided in the entire area of the support plate. Cooling water is supplied to the cooling passage 580 to cool the substrate W.

제어기(미도시)는 이송 유닛(520)과 냉각 유닛(580)을 제어한다. 제어기(미도시)는 가열판(551)에서 가열된 기판(W)을 냉각 시 기판(W)을 지지판(521) 상에 위치시킨다. 이 후 이송 유닛(520)을 이용해 지지판(521)를 챔버(510)의 입구로 이동시킨다. 제어기(미도시)는 지지판(521)가 이동되는 과정 또는 챔버(510)의 외부로 기판(W)이 이송되기 전까지 냉각 유닛(580)을 이용해 기판(W)을 냉각시키도록 제어한다.A controller (not shown) controls the transfer unit 520 and the cooling unit 580. The controller (not shown) places the substrate W on the support plate 521 when the substrate W heated by the heating plate 551 is cooled. Thereafter, the transfer plate 520 is used to move the support plate 521 to the entrance of the chamber 510. A controller (not shown) controls the cooling of the substrate W using the cooling unit 580 until the support plate 521 is moved or until the substrate W is transferred to the outside of the chamber 510.

현상 모듈(402)은 기판(W) 상에 패턴을 얻기 위해 현상액을 공급하여 포토 레지스트의 일부를 제거하는 현상 공정, 및 현상 공정 전후에 기판(W)에 대해 수행되는 가열 및 냉각과 같은 열처리 공정을 포함한다. 현상모듈(402)은 액처리 챔버(460), 베이크 유닛(470), 그리고 반송 챔버(480)를 가진다. 액처리 챔버(460), 베이크 유닛(500), 그리고 반송 챔버(480)는 제2방향(14)을 따라 순차적으로 배치된다. 액처리 챔버(460)는 현상 챔버로 제공될 수 있다. 현상 챔버(460)와 베이크 유닛(500)는 반송 챔버(480)를 사이에 두고 제2방향(14)으로 서로 이격되게 위치된다. 현상 챔버(460)는 복수 개가 제공되며, 제1방향(12) 및 제3방향(16)으로 각각 복수 개씩 제공된다. The developing module 402 includes a developing process for supplying a developing solution to obtain a pattern on the substrate W to remove a part of the photoresist and a heat treatment process such as heating and cooling performed on the substrate W before and after the developing process . The development module 402 has a liquid processing chamber 460, a bake unit 470, and a transfer chamber 480. [ The liquid processing chamber 460, the bake unit 500, and the transfer chamber 480 are sequentially disposed along the second direction 14. The liquid processing chamber 460 may be provided as a developing chamber. The development chamber 460 and the bake unit 500 are positioned apart from each other in the second direction 14 with the transfer chamber 480 therebetween. A plurality of developing chambers 460 are provided, and a plurality of developing chambers 460 are provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(480)는 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 제1방향(12)으로 나란하게 위치된다. 반송 챔버(480) 내에는 현상부 로봇(482)과 가이드 레일(483)이 위치된다. 반송 챔버(480)는 대체로 직사각의 형상을 가진다. 현상부 로봇(482)은 베이크 유닛들(470), 현상 챔버들(460), 그리고 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 냉각 챔버(350) 간에 기판(W)를 이송한다. 가이드 레일(483)은 그 길이 방향이 제1방향(12)과 나란하도록 배치된다. 가이드 레일(483)은 현상부 로봇(482)이 제1방향(12)으로 직선 이동되도록 안내한다. 현상부 로봇(482)은 핸드(484), 아암(485), 지지대(486), 그리고 받침대(487)를 가진다. 핸드(484)는 아암(485)에 고정 설치된다. 아암(485)은 신축 가능한 구조로 제공되어 핸드(484)가 수평 방향으로 이동 가능하도록 한다. 지지대(486)는 그 길이 방향이 제3방향(16)을 따라 배치되도록 제공된다. 아암(485)은 지지대(486)를 따라 제3방향(16)으로 직선 이동 가능하도록 지지대(486)에 결합된다. 지지대(486)는 받침대(487)에 고정 결합된다. 받침대(487)는 가이드 레일(483)을 따라 이동 가능하도록 가이드 레일(483)에 결합된다.The transfer chamber 480 is positioned in parallel with the second buffer 330 of the first buffer module 300 in the first direction 12. In the transfer chamber 480, the developing robot 482 and the guide rail 483 are positioned. The delivery chamber 480 has a generally rectangular shape. The developing robot 482 transfers the substrate W between the bake units 470, the developing chambers 460 and the second buffer 330 of the first buffer module 300 and the cooling chamber 350 . The guide rail 483 is arranged such that its longitudinal direction is parallel to the first direction 12. The guide rail 483 guides the developing robot 482 to linearly move in the first direction 12. The developing sub-robot 482 has a hand 484, an arm 485, a supporting stand 486, and a pedestal 487. The hand 484 is fixed to the arm 485. The arm 485 is provided in a stretchable configuration to allow the hand 484 to move in a horizontal direction. The support 486 is provided so that its longitudinal direction is disposed along the third direction 16. The arm 485 is coupled to the support 486 such that it is linearly movable along the support 486 in the third direction 16. The support table 486 is fixedly coupled to the pedestal 487. The pedestal 487 is coupled to the guide rail 483 so as to be movable along the guide rail 483.

현상 챔버들(460)은 모두 동일한 구조를 가진다. 다만, 각각의 현상 챔버(460)에서 사용되는 현상액의 종류는 서로 상이할 수 있다. 현상 챔버(460)는 기판(W) 상의 포토 레지스트 중 광이 조사된 영역을 제거한다. 이때, 보호막 중 광이 조사된 영역도 같이 제거된다. 선택적으로 사용되는 포토 레지스트의 종류에 따라 포토 레지스트 및 보호막의 영역들 중 광이 조사되지 않은 영역만이 제거될 수 있다. The development chambers 460 all have the same structure. However, the types of developers used in the respective developing chambers 460 may be different from each other. The development chamber 460 removes a region of the photoresist on the substrate W where light is irradiated. At this time, the area of the protective film irradiated with the light is also removed. Depending on the type of selectively used photoresist, only the areas of the photoresist and protective film that are not irradiated with light can be removed.

현상 챔버(460)는 하우징(461), 지지 플레이트(462), 그리고 노즐(463)을 가진다. 하우징(461)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(462)는 하우징(461) 내에 위치되며, 기판(W)를 지지한다. 지지 플레이트(462)는 회전 가능하게 제공된다. 노즐(463)은 지지 플레이트(462)에 놓인 기판(W) 상으로 현상액을 공급한다. 노즐(463)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 현상액 공급할 수 있다. 선택적으로 노즐(463)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(463)의 토출구는 슬릿으로 제공될 수 있다. 또한, 현상 챔버(460)에는 추가적으로 현상액이 공급된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(464)이 더 제공될 수 있다. The development chamber 460 has a housing 461, a support plate 462, and a nozzle 463. The housing 461 has a cup shape with an open top. The support plate 462 is located in the housing 461 and supports the substrate W. [ The support plate 462 is rotatably provided. The nozzle 463 supplies the developer onto the substrate W placed on the support plate 462. The nozzle 463 has a circular tube shape and can supply developer to the center of the substrate W. [ Alternatively, the nozzle 463 may have a length corresponding to the diameter of the substrate W, and the discharge port of the nozzle 463 may be provided with a slit. Further, the developing chamber 460 may further be provided with a nozzle 464 for supplying a cleaning liquid such as deionized water to clean the surface of the substrate W to which the developer is supplied.

현상 모듈(402)에 제공되는 베이크 유닛(500)은 전술한 베이크 유닛(500)과 대체로 동일하게 제공된다. The bake unit 500 provided in the development module 402 is provided substantially the same as the bake unit 500 described above.

상술한 바와 같이 도포 및 현상 모듈(400)에서 도포 모듈(401)과 현상 모듈(402)은 서로 간에 분리되도록 제공된다. 또한, 상부에서 바라볼 때 도포 모듈(401)과 현상 모듈(402)은 동일한 챔버 배치를 가질 수 있다. As described above, in the application and development module 400, the application module 401 and the development module 402 are provided to be separated from each other. In addition, the application module 401 and the development module 402 may have the same chamber arrangement as viewed from above.

인터페이스 모듈(700)은 기판(W)을 이송한다. 인터페이스 모듈(700)은 프레임(710), 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)를 포함한다. 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)은 프레임(710) 내에 위치된다. 제 1 버퍼(720)와 제 2 버퍼(730)는 서로 간에 일정거리 이격되며, 서로 적층되게 배치된다. 제 1 버퍼(720)는 제 2 버퍼(730)보다 높게 배치된다. The interface module 700 transfers the substrate W. The interface module 700 includes a frame 710, a first buffer 720, a second buffer 730, and an interface robot 740. The first buffer 720, the second buffer 730, and the interface robot 740 are located within the frame 710. The first buffer 720 and the second buffer 730 are spaced apart from each other by a predetermined distance and are stacked on each other. The first buffer 720 is disposed higher than the second buffer 730.

인터페이스 로봇(740)은 제 1 버퍼(720) 및 제 2 버퍼(730)와 제2방향(14)으로 이격되게 위치된다. 인터페이스 로봇(740)은 제 1 버퍼(720), 제 2 버퍼(730), 그리고 노광 장치(900) 간에 기판(W)을 운반한다. The interface robot 740 is spaced apart from the first buffer 720 and the second buffer 730 in the second direction 14. The interface robot 740 carries the substrate W between the first buffer 720, the second buffer 730 and the exposure apparatus 900.

제 1 버퍼(720)는 공정이 수행된 기판(W)들이 노광 장치(900)로 이동되기 전에 이들을 일시적으로 보관한다. 그리고 제 2 버퍼(730)는 노광 장치(900)에서 공정이 완료된 기판(W)들이 이동되기 전에 이들을 일시적으로 보관한다. 제 1 버퍼(720)는 하우징(721)과 복수의 지지대들(722)을 가진다. 지지대들(722)은 하우징(721) 내에 배치되며, 서로 간에 제3방향(16)을 따라 이격되게 제공된다. 각각의 지지대(722)에는 하나의 기판(W)이 놓인다. 하우징(721)은 인터페이스 로봇(740) 및 전처리 로봇(632)이 하우징(721) 내로 지지대(722)에 기판(W)를 반입 또는 반출할 수 있도록 인터페이스 로봇(740)이 제공된 방향 및 전처리 로봇(632)이 제공된 방향에 개구를 가진다. 제 2 버퍼(730)는 제 1 버퍼(720)와 유사한 구조를 가진다. 인터페이스 모듈에는 웨이퍼에 대해 소정의 공정을 수행하는 챔버의 제공 없이 상술한 바와 같이 버퍼들 및 로봇만 제공될 수 있다.The first buffer 720 temporarily stores the processed substrates W before they are transferred to the exposure apparatus 900. The second buffer 730 temporarily stores the processed substrates W in the exposure apparatus 900 before they are moved. The first buffer 720 has a housing 721 and a plurality of supports 722. The supports 722 are disposed within the housing 721 and are provided spaced apart from each other in the third direction 16. One substrate W is placed on each support 722. The housing 721 is movable in the direction in which the interface robot 740 is provided and in the direction in which the interface robot 740 and preprocessing robot 632 transfer the substrate W to and from the support table 722, 632 are provided with openings in the direction in which they are provided. The second buffer 730 has a structure similar to that of the first buffer 720. The interface module may be provided with only buffers and robots as described above without providing a chamber to perform a predetermined process on the wafer.

도 8은 본 발명의 일 실시예에 따른 기판 처리 방법을 개략적으로 보여주는 플로우 차트 도면이다. 이하 도 8을 참조하면, 기판 처리 방법(S100)은 제1단계(S110), 제2단계(S120), 제3단계(S130), 제4단계(S140) 그리고 제5단계(S150)를 포함한다. 제1단계(S110), 제2단계(S120), 제3단계(S130), 제4단계(S140) 그리고 제5단계(S150)는 순차적으로 수행된다. 8 is a flow chart diagram schematically illustrating a substrate processing method according to an embodiment of the present invention. Referring to FIG. 8, the substrate processing method S100 includes a first step S110, a second step S120, a third step S130, a fourth step S140, and a fifth step S150. do. The first step S110, the second step S120, the third step S130, the fourth step S140 and the fifth step S150 are sequentially performed.

제1단계(S110)는 외부에 기판(W)이 챔버(510)로 반입된다. 기판(W)은 별도의 반송 유닛(미도시)을 챔버(510)로 반입된다. 챔버(510) 내부로 유입된 기판(W)은 지지판(521)에 놓인다.In the first step S110, the substrate W is transferred to the chamber 510 from the outside. The substrate W is carried into the chamber 510 by a separate transfer unit (not shown). The substrate W introduced into the chamber 510 is placed on the support plate 521.

제2단계(S120)는 기판(W)을 이송하여 가열판(551)에 기판(W)을 놓는 단계이다. 지지판(521)로 이송된 기판(W)은 구동기(527)에 의해 이동한다. 이동 과정에서 구동기(557)는 커버(555)를 상부로 이동시킨다. 기판(W)은 지지판(521)에서 가열판(551)으로 이송된다. 기판(W)의 이송은 리프트 핀(553)이 기판(W)을 상부로 들어 올린 후 리프트 핀(553)을 하강하여 가열판(551) 위에 놓인다. 구동기(557)는 커버(555)를 하부로 이동시켜 가열 공간을 제공한다. The second step S120 is a step of transferring the substrate W and placing the substrate W on the heating plate 551. [ The substrate W transferred to the support plate 521 is moved by the driver 527. In the moving process, the actuator 557 moves the cover 555 upward. The substrate W is transferred from the support plate 521 to the heating plate 551. The transfer of the substrate W is carried out by the lift pins 553 lifting the substrate W upward and then lowering the lift pins 553 to be placed on the heating plate 551. The actuator 557 moves the cover 555 downward to provide a heating space.

제3단계(S130)는 기판(W)을 가열한다. 기판(W)은 가열판(551) 위에 놓인다. 이 후 가열판(551) 내에 히터(553)가 가열판(551)을 가열한다. 가열판(551)에 전달된 열은 기판(W)으로 전달되어 기판(W)을 가열한다. In a third step S130, the substrate W is heated. The substrate W is placed on the heating plate 551. Thereafter, the heater 553 heats the heating plate 551 in the heating plate 551. The heat transferred to the heating plate 551 is transferred to the substrate W to heat the substrate W.

제4단계(S140)는 가열된 기판(W)을 이송 유닛(520)으로 냉각하는 단계이다. 기판(W)이 가열된 후 구동기(557)는 커버(555)를 상부로 이동시킨다. 기판(W)은 리프트 핀(553)에 의해서 상승된다. 지지판(521)은 가열판(551)의 상부로 이동한다. 리프트 핀(553)의 하강으로 기판(W)은 지지판(521) 상에 놓인다. 구동기(527)는 지지판(521)를 제1측벽(511)에 가까운 위치로 이동한다. 기판(W)은 이송 유닛을 통해서 출입구(512)로 이동되는 과정에서 기판(W)이 챔버(510)의 밖으로 나가기 전까지 냉각 유닛(580)은 기판(W)을 냉각한다. The fourth step S140 is a step of cooling the heated substrate W to the transfer unit 520. [ After the substrate W is heated, the actuator 557 moves the cover 555 upward. The substrate W is lifted by the lift pins 553. The support plate 521 moves to the upper portion of the heating plate 551. [ The substrate W is placed on the support plate 521 by the descent of the lift pin 553. The driver 527 moves the support plate 521 to a position near the first sidewall 511. The cooling unit 580 cools the substrate W until the substrate W moves out of the chamber 510 in the course of moving the substrate W to the entrance 512 through the transfer unit.

제5단계(S150)는 기판을 외부로 반송한다. 지지판(521)에 놓인 기판은 외부의 반송 유닛(미도시)에 의해 챔버(510) 외부로 반송한다. In a fifth step S150, the substrate is transported to the outside. The substrate placed on the support plate 521 is transported to the outside of the chamber 510 by an external transport unit (not shown).

이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.The foregoing detailed description is illustrative of the present invention. In addition, the foregoing is intended to illustrate and explain the preferred embodiments of the present invention, and the present invention may be used in various other combinations, modifications, and environments. That is, it is possible to make changes or modifications within the scope of the concept of the invention disclosed in this specification, within the scope of the disclosure, and / or within the skill and knowledge of the art. The embodiments described herein are intended to illustrate the best mode for implementing the technical idea of the present invention and various modifications required for specific applications and uses of the present invention are also possible. Accordingly, the detailed description of the invention is not intended to limit the invention to the disclosed embodiments. It is also to be understood that the appended claims are intended to cover such other embodiments.

100 : 로드 포트 200 : 인덱스 모듈
300 : 버퍼 모듈 400 : 도포 및 현상 모듈
500 : 베이크 유닛 510 : 챔버
520 : 이송 유닛 521 : 지지판
535 : 가이드 홀 537 : 구동기
550 : 가열 유닛 551 : 가열판
553 : 리프트 핀 555 : 커버
557 : 구동기 580 : 냉각 유닛
700 : 인터페이스 모듈
100: Load port 200: Index module
300: buffer module 400: dispensing and developing module
500: Bake unit 510: Chamber
520: transfer unit 521:
535: Guide hole 537: Driver
550: heating unit 551: heating plate
553: lift pin 555: cover
557: Actuator 580: Cooling unit
700: Interface module

Claims (12)

베이크 유닛에 있어서,
챔버와;
상기 챔버 내부에 위치하며 기판을 가열하는 가열 유닛과;
상기 챔버 내부에 위치하며, 상기 기판을 이송하는 이송 유닛과; 그리고
상기 이송 유닛에 제공되어, 기판을 냉각하는 냉각 유닛을 포함하는 베이크 유닛.
In the bake unit,
A chamber;
A heating unit located in the chamber and heating the substrate;
A transfer unit located inside the chamber, the transfer unit transferring the substrate; And
And a cooling unit provided in the transfer unit for cooling the substrate.
제1항에 있어서,
상기 가열 유닛은,
가열판과;
상기 가열판을 가열하는 히터를 포함하며,
상기 이송 유닛은,
기판이 놓이는 지지판과;
상기 지지판을 지지하는 이송암과; 그리고
상기 이송암을 이동시키는 구동기를 포함하며,
상기 냉각 유닛은 상기 지지판에 제공되는 베이크 유닛.
The method according to claim 1,
The heating unit includes:
A heating plate;
And a heater for heating the heating plate,
The transfer unit
A support plate on which the substrate is placed;
A transfer arm for supporting the support plate; And
And a driver for moving the transfer arm,
Wherein the cooling unit is provided on the support plate.
제2항에 있어서,
상기 냉각 유닛은 상기 지지판의 내부에 제공되는 냉각 유로를 포함하는 베이크 유닛.
3. The method of claim 2,
Wherein the cooling unit includes a cooling channel provided inside the support plate.
제3항에 있어서,
상기 냉각 유로는 단일의 유로로 제공되며, 상기 지지판의 전체영역에 형성되는 베이크 유닛.
The method of claim 3,
Wherein the cooling passage is provided in a single flow passage, and is formed in the entire region of the support plate.
제1항 내지 제4항 중 어느 한 항에 있어서,
상기 챔버는,
기판이 반입되는 출입구를 가지는 제1측벽과;
상기 제1측벽과 마주보는 제2측벽을 포함하며,
상기 가열 유닛은 상기 제1측벽보다 상기 제2측벽에 가깝게 위치하는 베이크 유닛.
5. The method according to any one of claims 1 to 4,
The chamber may comprise:
A first sidewall having an entrance through which the substrate is introduced;
And a second sidewall facing the first sidewall,
Wherein the heating unit is positioned closer to the second sidewall than the first sidewall.
기판을 처리하는 장치에 있어서,
기판에 베이크 공정을 수행하는 베이크 유닛과;
기판에 처리액을 공급하여 공정을 수행하는 액처리 챔버와; 그리고
상기 베이크 유닛과 상기 액처리 챔버간에 기판을 이송하는 이송 챔버를 포함하되,
상기 베이크 유닛은,
챔버와;
상기 챔버 내부에 위치하며 기판을 가열하는 가열 유닛과;
상기 챔버 내부에 위치하며, 상기 기판을 이송하는 이송 유닛과; 그리고
상기 이송 유닛에 제공되어, 기판을 냉각하는 냉각 유닛을 포함하는 기판 처리 장치.
An apparatus for processing a substrate,
A bake unit for performing a bake process on the substrate;
A liquid processing chamber for performing a process by supplying a process liquid to a substrate; And
And a transfer chamber for transferring the substrate between the bake unit and the liquid processing chamber,
The bake unit may include:
A chamber;
A heating unit located in the chamber and heating the substrate;
A transfer unit located inside the chamber, the transfer unit transferring the substrate; And
And a cooling unit provided in the transfer unit for cooling the substrate.
제6항에 있어서,
상기 가열 유닛은,
가열판과;
상기 가열판을 가열하는 히터를 포함하며,
상기 이송 유닛은,
기판이 놓이는 지지판과;
상기 지지판을 지지하는 이송암과; 그리고
상기 이송암을 이동시키는 구동기를 포함하며,
상기 냉각 유닛은 상기 지지판에 제공되는 기판 처리 장치.
The method according to claim 6,
The heating unit includes:
A heating plate;
And a heater for heating the heating plate,
The transfer unit
A support plate on which the substrate is placed;
A transfer arm for supporting the support plate; And
And a driver for moving the transfer arm,
Wherein the cooling unit is provided on the support plate.
제7항에 있어서,
상기 냉각 유닛은 상기 지지판의 내부에 제공되는 냉각 유로를 포함하는 기판 처리 장치.
8. The method of claim 7,
Wherein the cooling unit includes a cooling channel provided inside the support plate.
제8항에 있어서,
상기 냉각 유로는 단일의 유로로 제공되며, 상기 지지판의 전체영역에 형성되는 기판 처리 장치.
9. The method of claim 8,
Wherein the cooling passage is provided in a single flow path, and is formed in the entire region of the support plate.
제6항 내지 제9항중 어느 한 항에 있어서,
상기 챔버는,
기판이 반입되는 출입구를 가지는 제1측벽과;
상기 제1측벽과 마주보는 제2측벽을 포함하며,
상기 가열 유닛은 상기 제1측벽보다 상기 제2측벽에 가깝게 위치하는 기판 처리 장치.
10. The method according to any one of claims 6 to 9,
The chamber may comprise:
A first sidewall having an entrance through which the substrate is introduced;
And a second sidewall facing the first sidewall,
Wherein the heating unit is located closer to the second sidewall than the first sidewall.
제2항의 베이크 유닛으로 기판을 처리하는 방법에 있어서,
외부에 기판이 반입되어 상기 지지판에 놓이는 제1단계;
상기 이송 유닛으로 기판을 이송하여 상기 가열판에 기판을 놓는 제2단계;
상기 기판을 상기 히터로 가열하는 제3단계;
상기 기판을 상기 이송 유닛으로 냉각하는 제4단계; 및
상기 기판을 외부로 반송하는 제5단계를 포함하는 기판 처리 방법.
A method of processing a substrate with a bake unit according to claim 2,
A first step in which a substrate is carried on the outside and placed on the supporting plate;
A second step of transferring the substrate to the transfer unit to place the substrate on the heating plate;
A third step of heating the substrate with the heater;
A fourth step of cooling the substrate with the transfer unit; And
And a fifth step of transporting the substrate to the outside.
제11항에 있어서,
상기 제4단계는 상기 이송 유닛으로 상기 기판을 상기 챔버에 형성된 출입구로 이송하는 동안에 이루어지는 기판 처리 방법.
12. The method of claim 11,
Wherein the fourth step is performed while transferring the substrate to the transfer unit to an entrance formed in the chamber.
KR1020140194033A 2014-12-30 2014-12-30 Bake unit, substrate treating apparatus including the unit, and substrate treating method KR20160081010A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020140194033A KR20160081010A (en) 2014-12-30 2014-12-30 Bake unit, substrate treating apparatus including the unit, and substrate treating method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140194033A KR20160081010A (en) 2014-12-30 2014-12-30 Bake unit, substrate treating apparatus including the unit, and substrate treating method

Publications (1)

Publication Number Publication Date
KR20160081010A true KR20160081010A (en) 2016-07-08

Family

ID=56503317

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140194033A KR20160081010A (en) 2014-12-30 2014-12-30 Bake unit, substrate treating apparatus including the unit, and substrate treating method

Country Status (1)

Country Link
KR (1) KR20160081010A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210055362A (en) * 2019-11-07 2021-05-17 세메스 주식회사 Transfering unit, substrate treating apparatus including the unit and substrate treating method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210055362A (en) * 2019-11-07 2021-05-17 세메스 주식회사 Transfering unit, substrate treating apparatus including the unit and substrate treating method

Similar Documents

Publication Publication Date Title
KR20160017699A (en) Bake unit, substrate treating apparatus including the unit, and substrate treating method
KR101605721B1 (en) Bake apparatus and Apparatus for treating substrate
KR101736854B1 (en) Substrate treating apparatus
KR102315662B1 (en) Substrate treating apparatus and method
KR102516725B1 (en) bake apparatus a having the unit and method processing substrate by using thereof
KR101706735B1 (en) Transfer unit, apparatus for treating substrate including the same and method for treating substrate
KR102324405B1 (en) Apparatus and Method for treating substrate
KR102046869B1 (en) Member for suppliyng a substrate, Buffer unit, and Apparatus for treating a substrate
KR102000023B1 (en) Substrate treating apparatus
KR101681185B1 (en) Interface module, apparatus and method for treating substrate comprising the same
KR101776018B1 (en) Method for heating a substrate and Apparatus for treating a substrate
KR20160081010A (en) Bake unit, substrate treating apparatus including the unit, and substrate treating method
KR101914482B1 (en) Substrate treating apparatus and substrate treating method
KR20170056224A (en) Bake apparatus and bake method
KR101721148B1 (en) Nozzle, Apparatus for treating substrate and method for applying chemicals
KR102582058B1 (en) Substrate processing equipment and substrate transfer method
KR101842121B1 (en) Apparatus for treating substrate and method for controlling driving speed thereof
KR101768518B1 (en) Transfer chamber, Apparatus for treating substrate, and method for trasnferring substrate
KR20160134926A (en) Method for applying a liquid and apparatus for treating a substrate
KR102371453B1 (en) Apparatus for treating substrate and method for removing static electricity
KR20140101946A (en) lift pin assembly
KR101935944B1 (en) Apparatus for treating substrate
KR102223764B1 (en) Apparatus and Method for treating substrate
KR102224987B1 (en) Heat processing apparatus
KR20170071807A (en) Falility for treating substrates, method for combining and separating modules

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application