KR20160030326A - 캡 층을 갖는 기판에 대한 증착후 세정 방법 및 제제 - Google Patents

캡 층을 갖는 기판에 대한 증착후 세정 방법 및 제제 Download PDF

Info

Publication number
KR20160030326A
KR20160030326A KR1020167005186A KR20167005186A KR20160030326A KR 20160030326 A KR20160030326 A KR 20160030326A KR 1020167005186 A KR1020167005186 A KR 1020167005186A KR 20167005186 A KR20167005186 A KR 20167005186A KR 20160030326 A KR20160030326 A KR 20160030326A
Authority
KR
South Korea
Prior art keywords
concentration
amine
present
cleaning
significant amount
Prior art date
Application number
KR1020167005186A
Other languages
English (en)
Other versions
KR101698731B1 (ko
Inventor
어르투르 콜리치
스졘 리
스? 리
티루히라팔리 아루나기리
윌리엄 티
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160030326A publication Critical patent/KR20160030326A/ko
Application granted granted Critical
Publication of KR101698731B1 publication Critical patent/KR101698731B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/0085Apparatus for treatments of printed circuits with liquids not provided for in groups H05K3/02 - H05K3/46; conveyors and holding means therefor
    • C11D11/0047
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5027Hydrocarbons
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명의 일 실시형태는 집적 회로를 제조하는 방법이다. 이 방법은, 금속 및 유전체 다마신 금속배선 층을 갖는 기판을 제공하는 단계 및 실질적으로 금속 상에 캡을 증착하는 단계를 포함한다. 캡의 증착 이후에, 7 내지 약 13 의 세정액 pH 를 제공하기 위한 아민을 포함하는 용액에 의해 기판이 세정된다. 본 발명의 다른 실시형태는 기판을 세정하는 방법이다. 본 발명의 또 다른 실시형태는 세정액의 제제이다.

Description

캡 층을 갖는 기판에 대한 증착후 세정 방법 및 제제{POST-DEPOSITION CLEANING METHODS AND FORMULATIONS FOR SUBSTRATES WITH CAP LAYERS}
상호 참조
본 출원은, Artur KOLICS, Shijian LI, Tana ARUNAGIRI 및 William THIE 에 의해 발명의 명칭이 "POST-DEPOSITION CLEANING METHODS AND FORMULATIONS FOR SUBSTRATES WITH CAP LAYERS" 로 2007년 12 월 21 일자로 출원된 미국 특허 출원 S/N 61/016,427, 도켓 번호 XCR-009 의 이점을 주장한다. 2007년 12월 21일자로 출원된 미국 특허 출원 S/N 61/016,427 는 본 명세서 전체에서 참조로서 통합된다.
본 발명은, 집적 회로와 같은 전자 디바이스의 제조에 관한 것으로, 더욱 상세하게, 본 발명은 금속 및 유전체 다마신 금속배선 구조상에 코발트 및 니켈과 같은 화학 엘리먼트들 중 적어도 하나를 함유하는 캡 층을 갖는 기판의 세정 방법 및 세정 제제에 관한 것이다.
불균일 조성물 (heterogeneous composition) 을 갖는 표면의 세정은 과제가 된다. 이는, 부식이 진행된 표면 및 불균일한 조성물에 대해 상이한 부식 속도를 갖는 표면과 같은 상황에서는 특히 사실이다. 전자 디바이스를 제조하는데 사용되는 층과 같은 박막층을 갖는 표면에 대해서는 문제가 더 크다. 전자 디바이스 제조에서, 약 20㎚ 두께의 필름을 갖는 표면은 그 필름의 표면 품질 및 두께의 저하를 피하면서 세정되어야만 한다.
특정한 경우, 금속캡이 유전체 구조 내에 매립된 구리 상호접속부에 적용되어 다마신 금속배선 층을 형성한다. 우수한 수율, 높은 파괴 전압 및 시간 의존형 절연 파괴 (time dependent dielectric breakdown) 와 낮은 누설 전류와 같은 우수한 전기적 성능을 제공하기 위해, 금속캡의 표면 및 유전체 영역의 표면이 세정되어 오염물질 및 결함을 제거하는 것이 바람직하다. 이 처리 도중에, 금속캡은 상당한 금속 손실, 피팅 (pitting) 또는 다른 형태의 국지적 부식을 경험하지 않아야만 한다. 금속캡용 재료의 예는, 코발트, 코발트 합금, 코발트-니켈 합금, 니켈, 및 니켈 합금과 같은 재료이다. 또한, 파티클 및 잔여물과 같은 결함은 전체 웨이퍼로부터 제거되어야만 한다.
전자 디바이스를 제조하는데 사용될 수 있는 기판을 세정하는 방법 및 세정하는 용액이 필요하다. 더욱 구체적으로, 이러한 디바이스에 대한 요구사항을 충족시킬 수 있는 개선된 용액 및 세정 방법이 필요하다.
본 발명은 전자 디바이스의 제조에 관한 것이다. 본 발명의 일 실시형태는 집적 회로를 제조하는 방법이다. 이 방법은, 금속 및 유전체 다마신 금속배선 층을 갖는 기판을 제공하는 단계 및 실질적으로 금속에 캡을 증착시키는 단계를 포함한다. 캡의 증착 이후에, 기판은 세정액에 7 내지 약 13 의 pH 를 제공하기 위한 아민을 포함하는 용액으로 세정된다. 본 발명의 다른 실시형태는 기판을 세정하는 방법이다. 본 발명의 다른 실시형태는 세정액을 위한 제제이다.
본 발명은 이하의 상세한 설명에 설명되거나 또는 도면에 도시된 컴포넌트의 배열 및 구조의 세부사항에만 적용되도록 한정되지 않았음을 이해해야만 한다. 본 발명은 다른 실시형태를 실행할 수 있고 다양한 방식으로 실행되고 수행될 수 있다. 또한, 본 명세서에 사용된 특수 용어 및 전문 용어는 설명을 위한 것이고 제한하는 것으로 해석되어서는 안 된다는 것을 이해해야만 한다.
이와 같이, 당업자는, 본 개시물이 기초로 하는 개념이 본 발명의 양태들을 수행하기 위한 다른 구조, 방법 및 시스템을 설계하기 위한 근본으로서 쉽게 활용될 수도 있다는 것을 이해할 것이다. 따라서, 청구범위가 본 발명의 사상 및 범위로부터 벗어나지 않는 한 이러한 등가적 구성을 포함하는 것으로서 간주하는 것은 중요하다.
도 1 은 본 발명의 일 실시형태의 프로세스 흐름도이다.
본 발명은, 집적 회로와 같은 전자 디바이스용 다마신 금속배선 구조를 형성하는 유전체 및 캡을 갖는 전기적으로 도전성인 금속을 사용하는 상호접속 금속배선에 관한 것이다. 더욱 상세하게는, 본 발명은 전자 디바이스용 화학 엘리먼트인 코발트 및 니켈 중 하나를 포함하는 캡을 갖는 구리와 같은 금속, 및 유전체를 포함하는 상호접속 금속배선 층에 관한 것이다.
본 발명의 실시형태의 동작은, 주로 집적 회로를 제조하는데 사용된 실리콘 웨이퍼와 같은 반도체 웨이퍼를 프로세싱하는 맥락으로 이하 설명될 것이다. 집적 회로용 금속배선 층은, 다마신 또는 듀얼 다마신 유전체 구조 내에 형성된 화학 엘리먼트인 코발트 및 니켈 또는 그 합금 중 적어도 하나를 포함하는 무전해적으로 증착된 캡을 갖는 금속 라인용 구리를 포함한다. 선택적으로, 유전체는 탄소 도핑된 실리콘 산화물 (SiOC:H) 과 같은 로우 k 유전체 재료이다. 그러나, 본 발명에 따른 실시형태는 다른 반도체 디바이스, 구리 이외의 금속, 및 반도체 웨이퍼 이외의 웨이퍼에 사용될 수도 있다는 것에 유의해야만 한다.
이제, 본 발명의 일 실시형태에 따라서 전자 디바이스를 제조하기 위한 프로세스 흐름 (20) 이 도시된 도 1 을 참조한다. 프로세스 흐름 (20) 은 금속 및 유전체 다마신 금속배선을 갖는 기판을 제공하는 단계 (단계 25) 를 포함한다. 또한, 프로세스 흐름 (20) 은 무전해 증착을 사용하여 화학 엘리먼트인 코발트 및 니켈 중 적어도 하나를 포함하는 캡을 금속상에 증착하는 단계 (단계 35) 를 포함한다. 단계 35 이후에, 프로세스 흐름 (20) 은 세정액에 7 내지 13 의 pH 를 제공하기 위한 아민을 포함하는 세정액에 의해 기판을 세정하는 단계 (단계 40) 를 포함한다. 더욱 구체적으로, 아민은 세정액의 pH 를 7 내지 13 범위의 값으로 그리고 그 안에 포함된 모든 값 및 서브범위로 상승시킬 수 있다. 옵션으로, 세정액은 2 개 이상의 아민을 포함할 수도 있고, 그 2 개 이상의 아민들 중 적어도 하나는 세정액의 pH 를 7 내지 13 범위의 값으로 그리고 그 안에 포함된 모든 값 및 서브범위로 상승시킬 수 있다. 본 발명의 바람직한 실시형태에 따르면, 세정액의 pH 는 약 8 내지 약 11.5 의 값이다.
본 발명의 실시형태에서 수많은 화합물이 아민으로서 사용하기에 적절하다. 본 발명의 실시형태에서 아민용으로 적절한 화합물의 목록은, 1 차 알킬아민; 2 차 알킬아민; 3 차 알킬아민; 4 차 알킬아민; 1 차 아릴아민; 2 차 아릴아민; 3 차 아릴아민; 4 차 아릴아민; 암모니아; 1 차 알칸올아민; 2 차 알칸올아민; 에탄올아민, 디에탄올아민, 트리에탄올아민, 콜린과 같은 3 차 알칸올아민; 혼합된 알킬 및 알칸올 기능성을 갖는 아민; 테트라메틸구아니딘; 히드록실아민; 및 그 조합을 포함하지만 이에 한정하지 않는다. 아민 분자는 통상적으로 일반식 R3- xNHx 를 갖는다 (여기서, R 은 탄화수소이고, 0≤x≤3 이다). 본 발명의 몇몇 실시형태는, 약 1g/L 내지 약 100g/L 범위의 양으로 세정액 내에 존재하는 하나 이상의 아민을 포함한다. 본 발명의 바람직한 실시형태는 세정액 내에 탈이온수를 포함한다.
본 발명의 목적으로, 기판을 세정하는 단계는 오염물질을 제거하는 것, 결함을 제거하는 것, 또는 오염물질을 제거하고 결함을 제거하는 것을 포함한다. 기판의 표면으로부터, 더욱 바람직하게는 캡의 표면 및 유전체의 표면으로부터, 결함 및/또는 오염물질의 제거를 용이하게 하도록 세정액의 조성물이 선택된다. 더욱 바람직하게는, 무시가능하게 축소된 캡 두께 또는 사실상 축소되지 않은 캡 두께를 갖는 유전체의 표면 및 캡의 표면을 세정하도록 세정액의 조성물이 선택된다. 바람직하게, 캡의 두께는 세정의 결과로서 15% 미만만큼 감소된다. 더욱 바람직하게는, 캡의 두께는 세정의 결과로서 10% 미만만큼 감소된다. 본 발명의 일 실시형태에 따르면, 캡의 두께는 1.5㎚ 미만만큼 감소된다.
도 1 에 나타난 본 발명의 실시형태는 하나 이상의 추가적인 첨가물을 함유하는 세정액을 사용하는 단계를 포함할 수도 있다. 본 발명의 몇몇 실시형태에 대한 옵션으로서, 세정액은 하나 이상의 착화제를 더 포함하고, 그 하나 이상의 착화제들 중 적어도 하나는 아민이 아니다. 본 개시물에서, 아민이 아닌 착화제는 비-아민 착화제로서 지칭된다. 본 개시물에서 아민 착화제는 화학적 화합물로서 정의되고, 여기서 착화 (complex) 를 형성하는 이 화합물내의 단지 하나의 작용기는 일반식 NRR'R" (여기서 N 은 질소 원자이고, R, R' 및 R" 은 수소, 알킬기 또는 방향족기일 수 있다) 를 갖는다.
본 발명의 실시형태에서, 수많은 화합물이 착화제로서 사용하기에 적절하다. 본 발명의 실시형태들을 위한 착화제의 목록은, 카르복실산, 히드록시카르복실산, 아미노산, 포스포닉산, 피틴산, 유기산, 여기서 CoL 에 대한 lg K > 2 (lg K for CoL>2), 및 그 조합을 포함하지만 이에 한정하지 않는다. 본 발명의 몇몇 실시형태는, 약 0.5g/L 내지 약 50g/L 범위의 양으로 세정액 내에 존재하는 하나 이상의 착화제를 포함한다.
옵션으로서, 도 1 에 나타난 것과 같은 본 발명의 몇몇 실시형태는 세정액 내에서 캡 필름을 실질적으로 보호하거나 캡의 용해를 저지하기 위해 하나 이상의 부식 억제제를 함유하는 세정액을 사용하는 단계를 포함한다. 앞서 나타난 바와 같이, 본 발명의 바람직한 실시형태는, 무시가능하게 축소된 캡 두께 또는 사실상 축소되지 않은 캡 두께를 갖는 기판을 세정할 수 있고; 이러한 목적으로 본 발명의 실시형태에서 하나 이상의 부식 억제제 화합물이 포함될 수도 있다.
본 발명의 실시형태에서, 수많은 화합물이 부식 억제제로서 사용하기에 적절하다. 본 발명의 실시형태를 위한 부식 억제제의 목록은, 벤조트리아졸, 메틸-벤조트리아졸, 카르복시-벤조트리아졸, 히드록시벤조트리아졸과 같은 트리아졸 및 그 유도체; 머캅토벤조티아졸과 같은 티아졸 및 그 유도체; 폴리비닐피롤리돈; 폴리비닐알코올 및 그 유도체; 폴리알킬이민; 폴리에틸렌이민; 장쇄 알킬아민; 테트라졸; 오르토포스페이트; 메타포스페이트; 포스파이트; 포스포네이트; 실리케이트; 알킬포스포네이트; 알콕시실란, 아질산염 (nitrite); 및 비시클로헥실암모늄 아질산염; 및 그 조합을 포함하지만, 이에 한정하지 않는다. 본 발명의 몇몇 실시형태는, 약 0.01g/L 내지 약 20g/L 범위의 양으로 세정액 내에 존재하는 하나 이상의 부식 억제제를 포함한다.
옵션으로서, 도 1 에 나타난 본 발명의 몇몇 실시형태는 용존 산소를 세정액으로부터 제거하기 위해 하나 이상의 산소 스캐빈저 화합물을 또한 함유하는 세정액을 사용하는 단계를 포함할 수도 있다. 더욱 상세하게는, 산소 스캐빈저는 세정액 내에서 보다 낮은 농도의 용존 산소를 제공한다. 바람직하게, 용존 산소의 양은 용존 산소에 의한 캡의 산화를 실질적으로 방지하기 위해 최소로 유지된다.
본 발명의 실시형태에서, 수많은 화합물이 용존 산소에 대한 산소 스캐빈저로서 사용하기에 적절하다. 본 발명의 실시형태를 위한 산소 스캐빈저의 목록은, 디에틸히드록실아민과 같은 히드록실아민 및 그 유도체; 메틸-에틸케톡심; 카르보히드라지드; L-아스코르빈산; D-아스코르빈산, 아스코르빈산의 유도체; 클로로겐산; 히드라진, 히드라진 염; 히드라진의 유도체; 카페인산; 피틴산; 루테올린; 설파이트; 및 그 조합을 포함하지만 이에 한정하지 않는다. 본 발명의 몇몇 실시형태는, 약 0.05g/L 내지 약 10g/L 범위의 양으로 세정액 내에 존재하는 하나 이상의 산소 스캐빈저를 포함한다. 본 발명의 바람직한 실시형태는, 세정액 내의 용존 산소의 농도를 1ppm (part per million) 미만으로 유지한다. 본 발명의 몇몇 실시형태에서, 충분한 양의 하나 이상의 산소 스캐빈저를 제공함으로써 낮은 레벨의 용존 산소가 획득된다.
옵션으로서, 도 1 에 나타난 본 발명의 몇몇 실시형태는 하나 이상의 환원제를 또한 함유하는 세정액을 사용하는 단계를 포함할 수도 있다. 환원제는 용존 산소를 실절적으로 소거할 수 없게 하도록 선택된다. 더욱 상세하게, 환원제는 세정액 내의 용존 산소에 대한 소거 이외의 기능을 제공하기 위해 선택된다. 환원제의 주요 기능은 캡의 원치 않은 애노드성 용해를 최소화하기 위한 것이다. 이는, 세정액 내에 환원제를 도입함으로써 수행된다. 환원제의 유형에 따라, 이러한 화합물의 산화는 캡의 산화 및 용해보다 에너지적으로 더욱 바람직하다.
본 발명의 실시형태에서, 수많은 화합물이 환원제로서 사용하기에 적절하다. 본 발명의 실시형태를 위한 환원제의 목록은, 보론 함유 환원제, 하이포포스파이트, 티오설파이트, 알데히드 및 그 조합을 포함하지만, 이에 한정하지 않는다. 본 발명의 몇몇 실시형태는 약 0.1g/L 내지 약 10g/L 범위의 양으로 세정액 내에 존재하는 하나 이상의 환원제를 포함한다.
옵션으로서, 도 1 에 나타난 것과 같은 본 발명의 몇몇 실시형태는 하나 이상의 표면 활성제를 또한 포함하는 세정액을 사용하는 단계를 포함할 수도 있다. 세정 도중에 기판의 적절한 젖음성을 제공하기 위해 표면 활성제가 포함된다. 바람직하게, 기판의 유전체 영역이 습윤되고 기판의 캡 영역이 습윤되도록, 기판의 전체 표면은 세정액에 의해 적절하게 습윤된다.
본 발명의 실시형태에서, 수많은 화합물이 표면 활성제로서 사용하기에 적절하다. 본 발명의 실시형태를 위한 표면 활성제의 목록은, 음이온성 표면 활성제, 양이온성 표면 활성제, 비이온성 표면 활성제, 양성이온성 표면 활성제, 및 그 조합을 포함하지만 이에 한정하지 않는다. 본 발명의 몇몇 실시형태는 약 0.02g/L 내지 약 2g/L 범위의 양으로 세정액 내에 존재하는 하나 이상의 표면 활성제를 포함한다. 바람직하게, 2 종 이상의 표면 활성제가 존재하면, 표면 활성제들의 각각이 약 0.02g/L 내지 약 2g/L 의 양으로 세정액 내에 존재한다.
옵션으로서, 도 1 에 나타난 본 발명의 몇몇 실시형태는 하나 이상의 수용성 용매를 또한 함유하는 세정액을 사용하는 단계를 포함할 수도 있다. 수용성 용매는 기판의 표면으로부터 유기 오염물질을 제거하는 것을 돕는 임무를 수행하도록 포함된다. 또는, 수용성 용매는, 물에서 낮은 용해도 또는 불충분한 용해도를 가질 수도 있는 세정액 내에 포함된 하나 이상의 첨가제를 용해하는 것을 돕는 임무를 수행하도록 포함될 수도 있다.
본 발명의 실시형태에서, 수많은 화합물이 수용성 용매로서 사용하기에 적절하다. 본 발명의 실시형태를 위한 수용성 용매의 목록은, 1 차 알코올, 2 차 알코올, 3 차 알코올, 폴리올, 에틸렌 글리콜, 디메틸술폭시드, 프로필렌카보네이트, 및 그 조합을 포함하지만, 이에 한정하지 않는다. 본 발명의 몇몇 실시형태는, 약 10g/L 내지 약 100g/L 범위의 양으로 세정액 내에서 존재하는 하나 이상의 수용성 용매를 포함한다.
옵션으로서, 도 1 에 나타난 본 발명의 몇몇 실시형태에서, 세정액으로 기판을 세정하는 단계 (단계 40) 는 브러시를 사용하여 기판에 세정액을 도포함으로써 수행될 수 있다. 브러시를 사용하지 않고 기판에 세정액을 도포하는 단계를 포함하는 본 발명의 다른 실시형태가 수행될 수 있는데; 더욱 상세하게는 기판을 세정액에 침지하는 것, 세정액을 기판상에 분사시키는 것, 및 근접 헤드를 사용하여 세정액을 도포하는 것과 같은 방법에 의해 세정액이 도포될 수도 있다.
도 1 에 나타난 본 발명의 바람직한 실시형태에 따르면, 세정액으로 기판을 세정하는 단계 40 을 수행하기 위한 온도는 약 5℃ 내지 약 90℃ 의 범위인 것이 바람직하다. 바람직하게, 세정액의 온도는 제어된다. 옵션으로서, 기판의 온도는 제어될 수도 있다.
도 1 에 나타난 본 발명의 바람직한 실시형태는, 사실상 전술한 비-아민 착화제, 사실상 전술한 부식 억제제, 사실상 전술한 표면 활성제, 사실상 전술한 산소 스캐빈저, 사실상 전술한 환원제, 및 사실상 전술한 수용성 용매와 같은 하나 이상의 첨가제를 또한 포함하는 세정액을 사용한다. 이는, 본 발명의 추가적인 실시형태가 도 1 에 도시된 흐름도에 대해 세정액에 제공된 이들 첨가제들의 조합에 의해 설명된다. 더욱 바람직하게는, 첨가제 및 첨가제의 조합은 도 1 에 나타난 본 발명의 다른 실시형태들을 정의하는 다른 조성물을 갖는 세정액을 제조한다. 도 1 에 나타난 본 발명의 바람직한 실시형태를 위한 세정액의 추가적인 바람직한 조성물의 목록은 이하의 세정액을 포함하지만 이에 한정하지 않는다:
1. 상당량의 착화제 및 상당량의 부식 억제제를 포함하는 도 1 에 나타난 세정액.
2. 상당량의 착화제 및 상당량의 산소 스캐빈저를 포함하는 도 1 에 나타난 세정액.
3. 상당량의 착화제 및 상당량의 환원제를 포함하는 도 1 에 나타난 세정액.
4. 상당량의 착화제, 상당량의 부식 억제제, 및 상당량의 산소 스캐빈저를 포함하는 도 1 에 나타난 세정액.
5. 상당량의 착화제, 상당량의 부식 억제제, 및 상당량의 환원제를 포함하는 도 1 에 나타난 세정액.
6. 상당량의 착화제, 상당량의 산소 스캐빈저, 및 상당량의 환원제를 포함하는 도 1 에 나타난 세정액.
7. 상당량의 착화제, 상당량의 산소 스캐빈저, 상당량의 환원제, 및 상당량의 부식 억제제를 포함하는 도 1 에 나타난 세정액.
8. 상당량의 착화제, 상당량의 부식 억제제, 및 상당량의 표면 활성제를 포함하는 도 1 에 나타난 세정액.
9. 상당량의 착화제, 상당량의 산소 스캐빈저, 및 상당량의 표면 활성제를 포함하는 도 1 에 나타난 세정액.
10. 상당량의 착화제, 상당량의 환원제, 및 상당량의 표면 활성제를 포함하는 도 1 에 나타난 세정액.
11. 상당량의 착화제, 상당량의 부식 억제제, 상당량의 산소 스캐빈저, 및 상당량의 표면 활성제를 포함하는 도 1 에 나타난 세정액.
12. 상당량의 착화제, 상당량의 부식 억제제, 상당량의 환원제, 및 상당량의 표면 활성제를 포함하는 도 1 에 나타난 세정액.
13. 상당량의 착화제, 상당량의 산소 스캐빈저, 상당량의 환원제, 및 상당량의 표면 활성제를 포함하는 도 1 에 나타난 세정액.
14. 상당량의 착화제, 상당량의 산소 스캐빈저, 상당량의 환원제, 상당량의 부식 억제제, 및 상당량의 표면 활성제를 포함하는 도 1 에 나타난 세정액.
15. 상당량의 착화제, 상당량의 부식 억제제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
16. 상당량의 착화제, 상당량의 산소 스캐빈저, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
17. 상당량의 착화제, 상당량의 환원제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
18. 상당량의 착화제, 상당량의 부식 억제제, 상당량의 산소 스캐빈저, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
19. 상당량의 착화제, 상당량의 부식 억제제, 상당량의 환원제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
20. 상당량의 착화제, 상당량의 산소 스캐빈저, 상당량의 환원제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
21. 상당량의 착화제, 상당량의 산소 스캐빈저, 상당량의 환원제, 상당량의 부식 억제제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
22. 상당량의 착화제, 상당량의 부식 억제제, 상당량의 표면 활성제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
23. 상당량의 착화제, 상당량의 산소 스캐빈저, 상당량의 표면 활성제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
24. 상당량의 착화제, 상당량의 환원제, 상당량의 표면 활성제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
25. 상당량의 착화제, 상당량의 부식 억제제, 상당량의 산소 스캐빈저, 상당량의 표면 활성제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
26. 상당량의 착화제, 상당량의 부식 억제제, 상당량의 환원제, 상당량의 표면 활성제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
27. 상당량의 착화제, 상당량의 산소 스캐빈저, 상당량의 환원제, 상당량의 표면 활성제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
28. 상당량의 착화제, 상당량의 산소 스캐빈저, 상당량의 환원제, 상당량의 부식 억제제, 상당량의 표면 활성제, 및 상당량의 수용성 용매를 포함하는 도 1 에 나타난 세정액.
29. 약 0.01g/L 내지 약 10g/L 사이의 농도로 존재하는 부식 억제제 및 약 0.05g/L 와 내지 10g/L 사이의 농도로 존재하는 산소 스캐빈저를 포함하는 도 1 에 나타난 세정액.
30. 상당량의 착화제, 약 0.01g/L 내지 약 20g/L 사이의 농도로 존재하는 부식 억제제 및 약 0.1g/L 내지 약 10g/L 사이의 농도로 존재하는 환원제를 포함하는 도 1 에 나타난 세정액.
31. 상당량의 착화제, 약 0.05g/L 내지 약 10g/L 사이의 농도로 존재하는 산소 스캐빈저 및 약 0.1g/L 내지 약 10g/L 사이의 농도로 존재하는 환원제를 포함하는 도 1 에 나타난 세정액.
32. 상당량의 착화제, 약 0.01g/L 내지 약 20g/L 사이의 농도로 존재하는 부식 억제제, 약 0.05g/L 내지 약 10g/L 사이의 농도로 존재하는 산소 스캐빈저 및 약 0.1g/L 와 약 10g/L 사이의 농도로 존재하는 환원제를 포함하는 도 1 에 나타난 세정액.
33. 상당량의 비-아민 착화제, 상당량의 부식 억제제, 상당량의 표면 활성제, 상당량의 산소 스캐빈저, 상당량의 환원제 및 상당량의 수용성 용매를 포함하는 포함하는 도 1 에 나타난 세정액.
34. 약 1g/L 내지 약 100g/L 의 농도의 아민을 갖는 도 1 에 도시된 세정액으로서, 상기 세정액은 상당량의 비-아민 착화제, 상당량의 부식 억제제, 상당량의 표면 활성제, 상당량의 산소 스캐빈저, 상당량의 환원제, 및 상당량의 수용성 용매 중 적어도 하나를 또한 포함하는, 세정액.
35. 약 1g/L 내지 약 100g/L 의 농도의 아민을 갖는 도 1 에 도시된 세정액으로서, 상기 세정액은 상당량의 비-아민 착화제, 상당량의 부식 억제제, 상당량의 표면 활성제, 상당량의 산소 스캐빈저, 상당량의 환원제, 및 상당량의 수용성 용매를 또한 포함하는, 세정액.
36. 약 1g/L 내지 약 100g/L 의 농도의 아민을 갖는 도 1 에 도시된 세정액으로서, 상기 세정액은 약 0.5g/L 내지 약 50g/L 의 농도의 비-아민 착화제; 약 0.01g/L 내지 약 20g/L 의 농도의 부식 억제제, 0.02g/L 내지 2g/L 의 농도의 표면 활성제; 약 0.05g/L 내지 약 10g/L 의 농도의 산소 스캐빈저; 약 0.1g/L 내지 약 10g/L 의 농도의 환원제; 및 약 10g/L 내지 약 100g/L 의 농도의 수용성 용매 중 적어도 하나를 또한 포함하는, 세정액.
37. 약 1g/L 내지 약 100g/L 의 농도의 아민을 갖는 도 1 에 도시된 세정액으로서, 상기 세정액은 약 0.5g/L 내지 약 50g/L 의 농도의 비-아민 착화제; 약 0.01g/L 내지 약 20g/L 의 농도의 부식 억제제; 0.02g/L 내지 2g/L 의 농도의 표면 활성제; 약 0.05g/L 내지 약 10g/L 의 농도의 산소 스캐빈저; 약 0.1g/L 내지 약 10g/L 의 농도의 환원제; 및 약 10g/L 내지 약 100g/L 의 농도의 수용성 용매를 또한 포함하는, 세정액.
38. 약 1g/L 내지 약 100g/L 의 농도의 아민을 갖는 도 1 에 도시된 세정액으로서, 상기 아민은 1 차 알킬아민, 2 차 알킬아민, 3 차 알킬아민, 4 차 알킬아민, 1 차 아릴아민, 2 차 아릴아민, 3 차 아릴아민, 4 차 아릴아민, 암모니아, 1 차 알칸올아민, 2 차 알칸올아민, 3 차 알칸올아민, 에탄올아민, 디에탄올아민, 트리에탄올아민, 콜린, 혼합된 알킬 및 알칸올 기능성을 갖는 아민, 테트라메틸구아니딘, 및 히드록실아민으로 이루어진 그룹으로부터 선택되고; 상기 세정액은:
카르복실산, 히드록시카르복실산, 아미노산, 포스포닉산, 피틴산, 및 유기산으로 이루어진 그룹으로부터 선택되고, 여기서 CoL 에 대한 lg K > 2 인, 약 0.5g/L 내지 약 50g/L 농도의 착화제;
트리아졸, 벤조트리아졸, 메틸-벤조트리아졸, 카르복시-벤조트리아졸, 히드록시벤조트리아졸, 티아졸, 머캅토벤조티아졸, 폴리비닐피롤리돈, 폴리비닐알코올, 폴리알킬이민, 폴리에틸렌이민, 장쇄 알킬아민, 테트라졸, 오르토포스페이트, 메타포스페이트, 포스파이트, 포스포네이트, 실리케이트, 알킬포스포네이트, 알콕시실란, 아질산염, 비시클로헥실암모늄 아질산염으로 이루어진 그룹으로부터 선택된 약 0.01g/L 내지 약 20g/L 의 농도의 부식 억제제;
음이온성 표면 활성제, 양이온성 표면 활성제, 비이온성 표면 활성제, 양성이온성 표면 활성제, 및 그 조합으로 이루어진 그룹으로부터 선택된 0.02g/L 내지 2g/L 농도의 표면 활성제;
히드록실아민, 디에틸히드록실아민, 메틸-에틸케톡심, 카르보히드라지드, L-아스코르빈산, D-아스코르빈산, 아스코르빈산의 유도체, 클로로겐산, 히드라진, 히드라진 염, 히드라진의 유도체, 카페인산, 피틴산, 루테올린, 및 설파이트로 이루어진 그룹으로부터 선택된 약 0.05g/L 내지 약 10g/L 농도의 산소 스캐빈저;
보론 함유 환원제, 하이포포스파이트, 티오설파이트, 및 알데히드로 이루어진 그룹으로부터 선택된 약 0.1g/L 내지 약 10g/L 농도의 환원제; 및
1 차 알코올, 2 차 알코올, 3 차 알코올, 폴리올, 에틸렌 글리콜, 디메틸술폭시드, 프로필렌카보네이트, 및 그 혼합물로 이루어진 그룹으로부터 선택된 약 10g/L 내지 약 100g/L 농도의 수용성 용매
중 적어도 하나를 또한 포함한다.
도 1 에 나타난 본 발명의 몇몇 실시형태를 위한 옵션으로서, 앞서 설명된 세정액 조성물과 같은 임의의 세정액 조성물이 브러시를 사용하여 기판에 도포될 수 있다. 대안적으로, 앞서 설명된 세정액 조성물과 같은 임의의 세정액 조성물은 브러시를 사용하는 것 이외의 방법에 의해 기판에 도포될 수 있다. 도 1 에 나타난 본 발명의 몇몇 실시형태를 위한 다른 옵션으로서, 앞서 설명된 세정액 조성물과 같은 임의의 세정액 조성물이 기판을 세정하기 위해 약 5℃ 내지 약 90℃ 범위의 온도에서 사용될 수 있다.
앞서 설명된 것과 같이, 본 발명의 실시형태에는 수많은 세정액 조성물이 적절하다. 본 발명의 바람직한 실시형태에 따르면, 기판을 세정하는 단계 40 은 앞서 설명된 세정액 조성물을 사용하여 수행되고, 세정액 내의 용존 산소의 농도는 약 1 ppm 미만으로 유지된다. 이는, 본 발명의 실시형태를 위한 임의로 선택된 세정액에 대해, 기판을 세정할 때 세정액 내의 용존 산소 농도는 약 1ppm 미만으로 유지되는 것이 바람직하다.
본 발명의 다른 실시형태는 전자 디바이스용 기판의 세정 방법을 포함한다. 더욱 상세하게는, 구리 및 유전체 다마신 금속배선을 갖는 기판의 세정 방법이다. 구리의 표면은, 코발트, 코발트 합금, 니켈, 니켈 합금 또는 코발트-니켈 합금을 포함하는 캡을 갖는다. 이 방법은, 캡을 최소로 용해시키면서 결함 및/또는 오염물질을 제거하기 위해 기판에 세정액을 도포하는 단계를 포함하는데, 이 세정액은 하나 이상의 아민을 포함하고, 이들 하나 이상의 아민 중에서 적어도 하나는 세정액 내에 7 내지 13 의 pH 를 제공한다. 본 발명의 바람직한 실시형태에 따르면, 세정액의 pH 는 약 8 내지 약 11.5 의 값이다.
본 발명의 목적에서, 기판을 세정하는 것은 오염물질을 제거하는 것, 결함을 제거하는 것, 또는 오염물질을 제거하고 결함을 제거하는 것을 포함한다. 세정액의 조성물은 기판의 표면, 더욱 구체적으로는 캡의 표면 및 유전체의 표면으로부터 결함 및/또는 오염물질의 제거를 용이하게 하기 위해 선택된다. 더욱 바람직하게는, 무시가능하게 축소된 캡 두께 또는 사실상 축소되지 않은 캡 두께를 갖는 유전체의 표면 및 캡의 표면을 세정하기 위한 세정액의 조성물이 선택된다. 바람직하게, 캡의 두께는 세정의 결과로서 15% 미만만큼 감소된다. 더욱 바람직하게는, 캡의 두께는 세정의 결과로서 10% 미만만큼 감소된다. 본 발명의 일 실시형태에 따르면, 캡의 두께는 1.5㎚ 미만만큼 감소된다.
기판을 세정하는 방법의 바람직한 실시형태는 사실상 앞서 설명된 착화제, 사실상 전술된 부식 억제제, 사실상 전술된 표면 활성제, 사실상 전술된 산소 스캐빈저, 사실상 전술된 환원제, 및 사실상 전술된 수용성 용매와 같은 하나 이상의 첨가제를 또한 포함하는 세정액을 사용한다. 이는, 본 발명의 추가적인 실시형태는 세정액에 제공된 이러한 첨가제의 조합으로 설명된다. 더욱 상세하게는, 첨가제 및 첨가제의 조합은 기판을 세정하는 방법의 다른 실시형태들을 정의하는 다른 조성물을 갖는 세정액을 제조한다. 첨가제 및 첨가제의 양의 조합은, 세정액이 캡의 두께를 실질적으로 축소시키지 않고 기판을 세정하는데 효과적이 되도록, 선택된다. 기판을 세정하는 방법의 바람직한 실시형태에 대한 추가적인 바람직한 조성물의 목록은 프로세스 흐름 (20) 의 실시형태에 대해 앞서 설명된 세정액을 포함하지만 이에 한정하지 않는다.
본 발명의 다른 실시형태는 집적 회로 기판용 세정액이다. 이 세정액은 7 내지 13 그리고 그 안에 포함된 모든 값 및 서브범위의 세정액의 pH 를 제공하기 위해 약 1g/L 내지 약 100g/L 의 농도의 아민을 포함한다. 더욱 상세하게는, 이 아민은 세정액의 pH 를 7 초과의 그리고 약 13 미만의 값으로 상승시킬 수 있다. 본 발명의 바람직한 실시형태에 따르면, 세정액의 pH 는 약 8 내지 약 11.5 의 값이다. 세정액은 또한,
약 0.5g/L 내지 약 50g/L 농도의 비-아민 착화제;
약 0.01g/L 내지 약 20g/L 농도의 부식 억제제;
0.02g/L 내지 2g/L 농도의 표면 활성제;
약 0.05g/L 내지 약 10g/L 농도의 산소 스캐빈저;
약 0.1g/L 내지 약 10g/L 농도의 환원제로서, 이 환원제는 실질적으로 어떠한 산소 소거 특성도 갖지 않는, 상기 환원제; 및
약 10g/L 내지 약 100g/L 농도의 수용성 용매로 이루어진 그룹으로부터 선택된 적어도 하나의 첨가제를 포함한다.
대안으로서, 세정액은 또한,
약 0.5g/L 내지 약 50g/L 농도의 비-아민 착화제;
약 0.01g/L 내지 약 20g/L 농도의 부식 억제제;
0.02g/L 내지 2g/L 농도의 표면 활성제;
약 0.05g/L 내지 약 10g/L 농도의 산소 스캐빈저;
약 0.1g/L 내지 약 10g/L 농도의 환원제로서, 이 환원제는 실질적으로 어떠한 산소 소거 특성도 갖지 않는, 상기 환원제; 및
약 10g/L 내지 약 100g/L 농도의 수용성 용매로 이루어진 그룹으로부터 선택된 적어도 2 개의 첨가제를 포함한다.
다른 대안으로서, 세정액은 또한,
약 0.5g/L 내지 약 50g/L 농도의 비-아민 착화제;
약 0.01g/L 내지 약 20g/L 농도의 부식 억제제;
0.02g/L 내지 2g/L 농도의 표면 활성제;
약 0.05g/L 내지 약 10g/L 농도의 산소 스캐빈저;
약 0.1g/L 내지 약 10g/L 농도의 환원제로서, 이 환원제는 실질적으로 어떠한 산소 소거 특성도 갖지 않는, 상기 환원제; 및
약 10g/L 내지 약 100g/L 농도의 수용성 용매로 이루어진 그룹으로부터 선택된 적어도 3 개의 첨가제를 포함한다.
또 다른 대안으로서, 세정액은 또한,
약 0.5g/L 내지 약 50g/L 농도의 비-아민 착화제;
약 0.01g/L 내지 약 20g/L 농도의 부식 억제제;
0.02g/L 내지 2g/L 농도의 표면 활성제;
약 0.05 내지 약 10g/L 농도의 산소 스캐빈저;
약 0.1g/L 내지 약 10g/L 농도의 환원제로서, 이 환원제는 실질적으로 어떠한 산소 소거 특성도 갖지 않는, 상기 환원제; 및
약 10g/L 내지 약 100g/L 농도의 수용성 용매로 이루어진 그룹으로부터 선택된 적어도 4 개의 첨가제를 포함한다.
본 발명의 다른 실시형태는 집적 회로 기판용 세정액이다. 이 세정액은 7 내지 13 그리고 그 안에 포함된 모든 값 및 서브범위의 세정액의 pH 를 제공하기 위한 아민, 착화제, 부식 억제제, 표면 활성제, 산소 스캐빈저, 환원제, 및 수용성 용매를 포함한다. 세정액이 집적 회로 기판을 세정하는데 효과적이 되게 하기 위해 세정액의 성분 각각 및 그 성분들 각각의 양이 포함된다. 본 발명의 실시형태를 위한 세정액의 성분의 화합물 및 특징의 추가적인 설명은 앞서 설명되었다.
이제, 본 발명의 실시형태들에 대한 용액 조성의 개요 및 세정액 조성물을 사용하는 7 개의 실험에 대한 결과가 나타난 표 1 에 대한 참조가 이루어진다. 이 세정액은, 코발트 텅스텐 인 복합물을 포함하는 캡 층을 갖는 기판 표면을 세정하는데 사용되었다. 세정 단계는 대략 실온에서 행해졌다. 계면활성제 Triton X-100
Figure pat00001
은 Union Carbide 의 상표로 등록된 것이며, 화학식 (C14H22O(C2H4O)n) 을 갖는다. 캡의 에칭 레이트는 낮고, 동작을 생성하기 위한 요구조건을 충족시킨다.
앞선 상세한 설명에서, 본 발명은 구체적인 실시형태를 참조하여 설명되었다. 그러나, 당업자는, 다양한 변형 및 변경이 이하의 청구범위에서 설명된 바와 같이 본 발명의 범위를 벗어나지 않고 이루어질 수 있다는 것을 이해한다. 따라서, 상세한 설명 및 도면은 제한적인 의미라기 보다는 예시적인 의미로 간주되어야만 하고, 모든 변형은 본 발명의 범위 내에 포함되도록 의도된다.
다른 강점, 과제에 대한 이점 및 해결책이 구체적인 실시형태와 관련하여 설명되었다. 그러나, 임의의 이점, 강점, 또는 해결책이 발생하거나 또는 더욱 명확하게 되도록 할 수도 있는 이점, 강점, 과제에 대한 해결책, 및 임의의 엘리먼트(들) 은, 임의의 또는 모든 청구범위의 중요한, 필수적인, 또는 본질적인 특징 또는 엘리먼트로서 구성되는 것은 아니다.
본 명세서에 사용된 바와 같이, 용어 "포함하다 (comprises)", "포함 (comprising), "구비하다 (includes)", "구비 (including), "가지다 (has)", "가짐 (having)", "적어도 하나의 (at least one of)", 또는 임의의 다른 변형물은 배타적인 포함을 커버하도록 의도된다. 예를 들어, 프로세스, 방법, 물품, 또는 엘리먼트들의 리스트를 포함하는 장치는, 이들 엘리먼트들에만 불가피하게 제한되지 않지만, 명확하게 열거되지 않거나 또는 이러한 프로세스, 방법, 물품 또는 장치 고유의 다른 엘리먼트를 포함할 수도 있다. 또한, 그렇지 않다고 명시되지 않는 한, "또는 (or)" 은 배타적인 합이 아닌 포괄적인 합으로 지칭된다. 예를 들어, 조건 A 또는 B 는: A 는 사실 (또는 존재함) 그리고 B 는 거짓 (또는 존재하지 않음), A 는 거짓 (또는 존재하지 않음) 및 B 는 사실 (또는 존재함), 그리고 A 및 B 모두가 사실 (또는 존재함) 중 임의의 하나에 의해 충족된다.
Figure pat00002

Claims (21)

  1. 캡 층 영역 및 유전체 영역을 갖는 집적 회로 기판용 세정액으로서,
    제1 아민;
    상기 세정액에 7 내지 13의 pH 를 제공하기 위한 제2 아민;
    적어도 하나의 착화제;
    적어도 하나의 부식 억제제;
    적어도 하나의 표면 활성제;
    상기 세정액 내의 용존 산소 농도를 1 ppm 미만으로 저하시키기 위한 적어도 하나의 산소 스캐빈저;
    보론 함유 환원제, 하이포포스파이트, 및 티오설파이트로 이루어진 그룹으로부터 선택되는 적어도 하나의 환원제; 및
    적어도 하나의 수용성 용매를 포함하는, 집적 회로 기판용 세정액.
  2. 제 1 항에 있어서,
    상기 pH 는 8 내지 11.5 인, 집적 회로 기판용 세정액.
  3. 제 1 항에 있어서,
    상기 적어도 하나의 착화제는 비-아민인, 집적 회로 기판용 세정액.
  4. 제 1 항에 있어서,
    상기 제1 아민 및 상기 제2 아민의 농도는 1g/L 내지 100g/L 인, 집적 회로 기판용 세정액.
  5. 제 1 항에 있어서,
    상기 제1 아민 및 상기 제2 아민의 농도는 1g/L 내지 100g/L 이고,
    상기 제1 아민 및 상기 제2 아민은, 1 차 알킬아민, 2 차 알킬아민, 3 차 알킬아민, 4 차 알킬아민, 1 차 아릴아민, 2 차 아릴아민, 3 차 아릴아민, 4 차 아릴아민, 암모니아, 1 차 알칸올아민, 2 차 알칸올아민, 3 차 알칸올아민, 에탄올아민, 디에탄올아민, 트리에탄올아민, 콜린, 혼합된 알킬 및 알칸올 기능성을 갖는 아민, 테트라메틸구아니딘, 및 히드록실아민으로 이루어진 그룹으로부터 선택된, 집적 회로 기판용 세정액.
  6. 제 1 항에 있어서,
    상기 적어도 하나의 착화제 농도는 0.5g/L 내지 50g/L 인, 집적 회로 기판용 세정액.
  7. 제 1 항에 있어서,
    상기 적어도 하나의 착화제 농도는 0.5g/L 내지 50g/L 의 값이고,
    상기 적어도 하나의 착화제는, 카르복실산, 히드록시카르복실산, 아미노산, 피틴산, 및 유기산으로 이루어진 그룹으로부터 선택되고, 여기서 CoL 에 대한 lg K > 2 인, 집적 회로 기판용 세정액.
  8. 제 1 항에 있어서,
    상기 부식 억제제 농도는 0.01g/L 내지 20g/L 사이인, 집적 회로 기판용 세정액.
  9. 제 1 항에 있어서,
    상기 부식 억제제는, 폴리비닐피롤리돈, 폴리비닐알코올, 폴리알킬이민, 폴리에틸렌이민, 오르토포스페이트, 메타포스페이트, 포스파이트, 포스포네이트, 실리케이트, 알킬포스포네이트, 알콕시실란, 아질산염, 및 비시클로헥실암모늄 아질산염으로 이루어진 그룹으로부터 선택되는, 집적 회로 기판용 세정액.
  10. 제 1 항에 있어서,
    상기 적어도 하나의 산소 스캐빈저 농도는 0.05g/L 내지 10g/L 사이인, 집적 회로 기판용 세정액.
  11. 제 1 항에 있어서,
    상기 적어도 하나의 산소 스캐빈저는, 히드록실아민, 디에틸히드록실아민, 메틸-에틸케톡심, 카르보히드라지드, 클로로겐산, 히드라진, 히드라진 염, 히드라진의 유도체, 카페인산, 피틴산, 루테올린, 및 설파이트로 이루어진 그룹으로부터 선택되는, 집적 회로 기판용 세정액.
  12. 제 1 항에 있어서,
    상기 적어도 하나의 환원제 농도는 0.1g/L 내지 10g/L 사이인, 집적 회로 기판용 세정액.
  13. 제 1 항에 있어서,
    상기 적어도 하나의 부식 억제제는, 0.01g/L 내지 10g/L 사이의 농도로 존재하고,
    상기 적어도 하나의 산소 스캐빈저는 0.05g/L 내지 10g/L 사이의 농도로 존재하는, 집적 회로 기판용 세정액.
  14. 제 1 항에 있어서,
    상기 적어도 하나의 부식 억제제 농도는 0.01g/L 내지 20g/L 사이이고,
    상기 적어도 하나의 환원제 농도는 0.1g/L 내지 10g/L 사이인, 집적 회로 기판용 세정액.
  15. 제 1 항에 있어서,
    상기 적어도 하나의 산소 스캐빈저 농도는 0.05g/L 내지 10g/L 사이이고,
    상기 적어도 하나의 환원제 농도는 0.1g/L 내지 10g/L 사이인, 집적 회로 기판용 세정액.
  16. 제 1 항에 있어서,
    상기 적어도 하나의 부식 억제제 농도는 0.01g/L 내지 20g/L 사이이고,
    상기 적어도 하나의 산소 스캐빈저 농도는 0.05g/L 내지 10g/L 사이이고,
    상기 적어도 하나의 환원제 농도는 0.1g/L 내지 10g/L 사이인, 집적 회로 기판용 세정액.
  17. 제 1 항에 있어서,
    상기 적어도 하나의 표면 활성제는 상기 적어도 하나의 표면 활성제 각각에 대해 0.02g/L 내지 2g/L 의 농도로 존재하는, 집적 회로 기판용 세정액.
  18. 제 1 항에 있어서,
    상기 적어도 하나의 표면 활성제는, 음이온성 표면 활성제, 양이온성 표면 활성제, 비이온성 표면 활성제, 양성이온성 표면 활성제, 또는 그 조합인, 집적 회로 기판용 세정액.
  19. 제 1 항에 있어서,
    상기 적어도 하나의 수용성 용매는 10g/L 내지 100g/L 의 농도로 존재하는, 집적 회로 기판용 세정액.
  20. 제 1 항에 있어서,
    상기 적어도 하나의 수용성 용매는, 1 차 알코올, 2 차 알코올, 3 차 알코올, 폴리올, 에틸렌 글리콜, 디메틸술폭시드, 또는 프로필렌카보네이트를 포함하는, 집적 회로 기판용 세정액.
  21. 제 1 항에 있어서,
    상기 세정액 내의 용존 산소의 농도는 1ppm 미만인, 집적 회로 기판용 세정액.
KR1020167005186A 2007-12-21 2008-12-20 캡 층을 갖는 기판에 대한 증착후 세정 방법 및 제제 KR101698731B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US1642707P 2007-12-21 2007-12-21
US61/016,427 2007-12-21
US12/334,462 2008-12-13
US12/334,462 US8404626B2 (en) 2007-12-21 2008-12-13 Post-deposition cleaning methods and formulations for substrates with cap layers
PCT/US2008/087878 WO2009086231A2 (en) 2007-12-21 2008-12-20 Post-deposition cleaning methods and formulations for substrates with cap layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020107016277A Division KR101633940B1 (ko) 2007-12-21 2008-12-20 캡 층을 갖는 기판에 대한 증착후 세정 방법 및 제제

Publications (2)

Publication Number Publication Date
KR20160030326A true KR20160030326A (ko) 2016-03-16
KR101698731B1 KR101698731B1 (ko) 2017-01-20

Family

ID=40788967

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020107016277A KR101633940B1 (ko) 2007-12-21 2008-12-20 캡 층을 갖는 기판에 대한 증착후 세정 방법 및 제제
KR1020167005186A KR101698731B1 (ko) 2007-12-21 2008-12-20 캡 층을 갖는 기판에 대한 증착후 세정 방법 및 제제

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020107016277A KR101633940B1 (ko) 2007-12-21 2008-12-20 캡 층을 갖는 기판에 대한 증착후 세정 방법 및 제제

Country Status (6)

Country Link
US (2) US8404626B2 (ko)
JP (2) JP5804706B2 (ko)
KR (2) KR101633940B1 (ko)
CN (1) CN101971296B (ko)
TW (1) TWI528426B (ko)
WO (1) WO2009086231A2 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7772128B2 (en) * 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
US9058975B2 (en) * 2006-06-09 2015-06-16 Lam Research Corporation Cleaning solution formulations for substrates
US8404626B2 (en) 2007-12-21 2013-03-26 Lam Research Corporation Post-deposition cleaning methods and formulations for substrates with cap layers
US9691622B2 (en) 2008-09-07 2017-06-27 Lam Research Corporation Pre-fill wafer cleaning formulation
US8361237B2 (en) * 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
US8444768B2 (en) * 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
KR20100110123A (ko) * 2009-04-02 2010-10-12 삼성전자주식회사 반도체 소자의 제조 방법
SG10201408636XA (en) 2009-12-23 2015-02-27 Lam Res Corp Post deposition wafer cleaning formulation
US8632628B2 (en) 2010-10-29 2014-01-21 Lam Research Corporation Solutions and methods for metal deposition
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
US8603913B1 (en) * 2012-12-20 2013-12-10 Lam Research Corporation Porous dielectrics K value restoration by thermal treatment and or solvent treatment
US20140264151A1 (en) * 2013-03-15 2014-09-18 Cabot Microelectronics Corporation Aqueous cleaning composition for post copper chemical mechanical planarization
CN105849245B (zh) 2013-10-21 2020-03-13 富士胶片电子材料美国有限公司 用于去除表面上残余物的清洗调配物
CN108485840B (zh) 2013-12-06 2020-12-29 富士胶片电子材料美国有限公司 用于去除表面上的残余物的清洗调配物
WO2016011329A1 (en) * 2014-07-18 2016-01-21 Cabot Microelectronics Corporation Stabilization of tris(2-hydroxyethyl( methylammonium hydroxide against decomposition with dialkyhydroxylamine
WO2016011331A1 (en) * 2014-07-18 2016-01-21 Cabot Microelectronics Corporation Cleaning composition following cmp and methods related thereto
JP6486652B2 (ja) * 2014-10-31 2019-03-20 東京応化工業株式会社 リソグラフィー用洗浄液、及び基板の洗浄方法
US10301580B2 (en) * 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
KR101854510B1 (ko) 2015-12-11 2018-05-03 삼성에스디아이 주식회사 금속 배선 연마용 cmp 슬러리 조성물 및 이를 이용한 연마 방법
WO2018148237A1 (en) * 2017-02-10 2018-08-16 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulations
GB2567456B (en) 2017-10-12 2021-08-11 Si Group Switzerland Chaa Gmbh Antidegradant blend
CN108377615B (zh) * 2018-03-15 2020-12-29 昆山长优电子材料有限公司 用于pcb图形转移前处理制程的铜面键合溶液
KR20200138742A (ko) 2018-03-28 2020-12-10 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 세정 조성물
GB201807302D0 (en) 2018-05-03 2018-06-20 Addivant Switzerland Gmbh Antidegradant blend
JP6858209B2 (ja) * 2019-02-20 2021-04-14 東京応化工業株式会社 リソグラフィー用洗浄液、及び基板の洗浄方法
CN110003996B (zh) * 2019-05-21 2021-03-23 广东剑鑫科技股份有限公司 一种浸泡液及其制备方法和使用方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004084056A (ja) * 2002-07-05 2004-03-18 Ebara Corp 無電解めっき装置および無電解めっき後の洗浄方法
JP2004511917A (ja) * 2000-10-16 2004-04-15 マリンクロッド・ベイカー・インコーポレイテッド マイクロエレクトロニクス基板洗浄用の安定化アルカリ性組成物
JP2005307187A (ja) * 2004-03-19 2005-11-04 Air Products & Chemicals Inc 化学的機械的平坦化後用のアルカリ性洗浄組成物
WO2006127885A1 (en) * 2005-05-26 2006-11-30 Advanced Technology Materials, Inc. Copper passivating post-chemical mechanical polishing cleaning composition and method of use

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5909742A (en) * 1993-03-26 1999-06-08 Betzdearborn Inc. Metal cleaning method
TW416987B (en) * 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
US20010052351A1 (en) * 1998-09-29 2001-12-20 Brian J. Brown Method for cleaning semiconductor wafer having copper structure formed thereon
JP2002069495A (ja) * 2000-06-16 2002-03-08 Kao Corp 洗浄剤組成物
JP4942263B2 (ja) * 2001-08-31 2012-05-30 ラムリサーチ株式会社 洗浄装置
US6913651B2 (en) * 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6875691B2 (en) * 2002-06-21 2005-04-05 Mattson Technology, Inc. Temperature control sequence of electroless plating baths
US6846519B2 (en) * 2002-08-08 2005-01-25 Blue29, Llc Method and apparatus for electroless deposition with temperature-controlled chuck
KR100536593B1 (ko) * 2002-12-05 2005-12-14 삼성전자주식회사 선택적인 막 제거를 위한 세정 용액 및 그 세정 용액을사용하여 실리사이드 공정에서 막을 선택적으로 제거하는방법
JP4419528B2 (ja) * 2003-01-07 2010-02-24 東ソー株式会社 洗浄液及びそれを用いた洗浄方法
TWI258504B (en) * 2003-01-07 2006-07-21 Tosoh Corp Washing solution and washing method using the same
US6911067B2 (en) * 2003-01-10 2005-06-28 Blue29, Llc Solution composition and method for electroless deposition of coatings free of alkali metals
US6902605B2 (en) * 2003-03-06 2005-06-07 Blue29, Llc Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
US6794288B1 (en) * 2003-05-05 2004-09-21 Blue29 Corporation Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
KR100672933B1 (ko) * 2003-06-04 2007-01-23 삼성전자주식회사 세정 용액 및 이를 이용한 반도체 소자의 세정 방법
US7883739B2 (en) * 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
WO2005038084A2 (en) * 2003-10-17 2005-04-28 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
TWI362415B (en) * 2003-10-27 2012-04-21 Wako Pure Chem Ind Ltd Novel detergent and method for cleaning
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US7435712B2 (en) * 2004-02-12 2008-10-14 Air Liquide America, L.P. Alkaline chemistry for post-CMP cleaning
JP4390616B2 (ja) * 2004-04-27 2009-12-24 Necエレクトロニクス株式会社 洗浄液及び半導体装置の製造方法
US20070054482A1 (en) * 2004-08-10 2007-03-08 Takahito Nakajima Semiconductor device fabrication method
JP2007165514A (ja) * 2005-12-13 2007-06-28 Toshiba Corp 半導体装置の製造方法
JP2006106616A (ja) * 2004-10-08 2006-04-20 Tokyo Ohka Kogyo Co Ltd ホトレジスト除去用処理液および基板の処理方法
JP2006178423A (ja) * 2004-11-25 2006-07-06 Kyowa Hakko Chemical Co Ltd 化学増幅ポジ型レジスト組成物
US7247579B2 (en) * 2004-12-23 2007-07-24 Lam Research Corporation Cleaning methods for silicon electrode assembly surface contamination removal
US7273813B2 (en) * 2005-02-08 2007-09-25 Applied Materials, Inc. Wafer cleaning solution for cobalt electroless application
CN101379597B (zh) * 2006-02-01 2012-07-18 国立大学法人东北大学 半导体装置的制造方法以及半导体表面的微粗糙度减低方法
JP2007250915A (ja) * 2006-03-16 2007-09-27 Ebara Corp 基板処理方法および基板処理装置
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
TWI454574B (zh) * 2007-05-17 2014-10-01 Advanced Tech Materials 用於化學機械研磨後(post-CMP)清洗配方之新穎抗氧化劑
US8404626B2 (en) 2007-12-21 2013-03-26 Lam Research Corporation Post-deposition cleaning methods and formulations for substrates with cap layers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004511917A (ja) * 2000-10-16 2004-04-15 マリンクロッド・ベイカー・インコーポレイテッド マイクロエレクトロニクス基板洗浄用の安定化アルカリ性組成物
JP2004084056A (ja) * 2002-07-05 2004-03-18 Ebara Corp 無電解めっき装置および無電解めっき後の洗浄方法
JP2005307187A (ja) * 2004-03-19 2005-11-04 Air Products & Chemicals Inc 化学的機械的平坦化後用のアルカリ性洗浄組成物
WO2006127885A1 (en) * 2005-05-26 2006-11-30 Advanced Technology Materials, Inc. Copper passivating post-chemical mechanical polishing cleaning composition and method of use

Also Published As

Publication number Publication date
TWI528426B (zh) 2016-04-01
JP2014088569A (ja) 2014-05-15
KR101698731B1 (ko) 2017-01-20
US20130323410A1 (en) 2013-12-05
CN101971296B (zh) 2012-05-30
KR20100108397A (ko) 2010-10-06
WO2009086231A2 (en) 2009-07-09
TW200945424A (en) 2009-11-01
US8790465B2 (en) 2014-07-29
US20090162537A1 (en) 2009-06-25
JP2011508438A (ja) 2011-03-10
US8404626B2 (en) 2013-03-26
WO2009086231A3 (en) 2009-08-27
KR101633940B1 (ko) 2016-06-27
JP5804706B2 (ja) 2015-11-04
CN101971296A (zh) 2011-02-09

Similar Documents

Publication Publication Date Title
KR101633940B1 (ko) 캡 층을 갖는 기판에 대한 증착후 세정 방법 및 제제
EP2028262B1 (en) Improved alkaline chemistry for post-cmp cleaning
EP1888735B1 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
EP2975108B1 (en) Copper corrosion inhibition system
KR101723691B1 (ko) 기판용 세정 용액 조성물
TWI648430B (zh) 半導體裝置用基板洗淨液及半導體裝置用基板之洗淨方法
TW200538544A (en) Alkaline post-chemical mechanical planarization cleaning compositions
JPWO2012073909A1 (ja) 銅配線用基板洗浄剤及び銅配線半導体基板の洗浄方法
KR101005925B1 (ko) 반도체 기판 세정액 조성물
TWI551726B (zh) 金屬膜表面的抗氧化方法以及抗氧化液
KR101083474B1 (ko) 반도체 구리 프로세싱용 수성 세정 조성물
EP2687589A2 (en) Copper passivating post-chemical mechanical polishing cleaning composition and method of use
TWI787225B (zh) 洗淨液組成物
US8067352B2 (en) Aqueous cleaning composition for semiconductor copper processing
KR102134577B1 (ko) 화학적 기계적 연마 후 세정용 조성물
JP7276343B2 (ja) 洗浄液、洗浄方法及び半導体ウェハの製造方法
JP2012124398A (ja) 金属膜表面の酸化防止方法及び酸化防止液
TW499477B (en) An aqueous cleaning composition for post chemical mechanical planarization

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant