KR20160028428A - 압력­제어형 웨이퍼 캐리어 및 웨이퍼 운송 시스템 - Google Patents

압력­제어형 웨이퍼 캐리어 및 웨이퍼 운송 시스템 Download PDF

Info

Publication number
KR20160028428A
KR20160028428A KR1020160020803A KR20160020803A KR20160028428A KR 20160028428 A KR20160028428 A KR 20160028428A KR 1020160020803 A KR1020160020803 A KR 1020160020803A KR 20160020803 A KR20160020803 A KR 20160020803A KR 20160028428 A KR20160028428 A KR 20160028428A
Authority
KR
South Korea
Prior art keywords
wafer
pressure
enclosure
wafers
carrier
Prior art date
Application number
KR1020160020803A
Other languages
English (en)
Other versions
KR101888236B1 (ko
Inventor
시훙 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160028428A publication Critical patent/KR20160028428A/ko
Application granted granted Critical
Publication of KR101888236B1 publication Critical patent/KR101888236B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J3/00Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
    • B01J3/02Feed or outlet devices therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Robotics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Abstract

통상적인 웨이퍼 캐리어들에서의 대기 노출로부터 초래되는 웨이퍼 오염을 방지하기 위해서, 웨이퍼들을 일정한 압력의, 대기압 이하의 또는 이상의 임의의 미리 설정된 값 하에서 웨이퍼들을 유지하는 웨이퍼 캐리어가 개시되고, 그리고 또한 그러한 웨이퍼 캐리어를 이용하는 웨이퍼 운송 시스템 및 방법이 개시된다. 미리 설정된 캐리어 압력으로 충전된 웨이퍼 캐리어가 운송되고, 그리고 에어록, 진고 이송 모듈, 및 프로세스 챔버를 포함하는 웨이퍼 프로세싱 툴의 에어록과 도킹된다. 에어록은, 가스 펌프에 의해서, 내부 압력이, 캐리어 도어의 개방에 앞서서 제 1의 캐리어 압력과 같아지도록 그리고 다음에, 진공 이송 모듈의 도어의 개방에 앞서서 진공 이송 모듈 압력과 같아지도록 조정한다. 이어서, 웨이퍼들이 프로세스 챔버 내로 이송된다. 프로세싱 후에, 웨이퍼들이 웨이퍼 캐리어 내로 역으로 이송되고 그리고 언도킹되어 다음 웨이퍼 프로세싱 툴로 운송되기에 앞서서, 미리 설정된 캐리어 압력으로 충전된다.

Description

압력­제어형 웨이퍼 캐리어 및 웨이퍼 운송 시스템{A PRESSURE­CONTROLLED WAFER CARRIER AND WAFER TRANSPORT SYSTEM}
본 발명은 반도체 제조에 관한 것이고, 보다 구체적으로는 압력­제어형 웨이퍼 캐리어 및 웨이퍼 운송 시스템에 관한 것이다.
반도체 집적 회로(IC) 산업은 급격한 성장을 경험하였다. IC 재료들에서의 기술적 진보들, 및 프로세싱 동작들은 IC들의 세대들을 만들었으며, 그러한 각각의 세대는 이전 세대 보다 더 작고 보다 복잡한 회로들을 가진다.
IC들이 웨이퍼들 상에 형성되고, 그러한 웨이퍼들은 수 백가지 프로세스 단계들을 거쳐야 하고, 그들 단계들의 각각은, 많은 경우들에서 진공 또는 거의-진공이 조건하에서, 특별한 프로세스를 위해서 특별하게 디자인된 웨이퍼 프로세싱 툴링(tooling)의 프로세스 챔버 내에서 전형적으로 실시된다. 전형적으로, 웨이퍼들은, FOUP(a front opening unified pod 또는 a front opening universal pod)으로 지칭되는 웨이퍼 캐리어 내에서 수용된 상태로, 자동 재료 핸들링 시스템(AMHS)으로 지칭되는 웨이퍼 운송 시스템에 의해서 하나의 웨이퍼 프로세싱 툴링으로부터 다른 툴링으로 자동적으로 운송된다. FOUP은, 실리콘 웨이퍼들을 확실하게 그리고 안전하게 유지하도록, 그리고 웨이퍼들이 프로세싱 또는 측정을 위해서 적절한 로드(load) 포트들 및 로봇형 핸들링 시스템들을 구비하는 웨이퍼 프로세싱 툴링 내로 제거될 수 있게 허용하도록 디자인된 특별한 플라스틱 인클로저(enclosure)이다. 전형적인 FOUP은 FOUP 내의 핀들(fins)에 의해서 확실하게 유지되는 약 25개의 300 mm 직경의 웨이퍼들을 수용하고, 그리고 로봇 핸들링 메커니즘들이 웨이퍼들에 접근할 수 있도록 허용하기 위한 전방 개방 도어를 가진다.
현재 이용되는 FOUP들의 밀봉 시스템은 웨이퍼들에 대해서 임의 진공 또는 가압된 분위기를 제공하고 유지하도록 구성되지 않았고, 그에 따라, 웨이퍼들은 FOUP들 내에서 대기압으로 유지된다. 이는, 웨이퍼들을 오염시킬 수 있는 수분 및/또는 화학물질들이 FOUP 내로 진입하게 허용하는 것과 같은 문제들을 초래할 수 있을 것이다.
그에 따라, 그러한 잠재적인 웨이퍼 오염을 방지하기 위해서, 운송 중에 내부의 웨이퍼들에 대해서 일정한 미리 설정된 압력을 제공할 수 있는 웨이퍼 캐리어를 제공하는 것이 바람직할 수 있으며, 그러한 압력은 특별한 필요성에 따라서 대기압 보다 낮거나 높을 수 있을 것이다. 그리고, 그러한 압력-제어형 웨이퍼 캐리어를 이용하는 것에 의해서, 웨이퍼 오염 문제를 배제할 뿐만 아니라, 2개의 이웃하는 웨이퍼 프로세싱 툴들 사이에서 웨이퍼 캐리어를 이동시키기 위해서 소요되는 웨이퍼 이송 시간을 감소시킬 수 있는 웨이퍼들을 운송하기 위한 개선된 시스템들 및 방법들을 제공하는 것이 추가적으로 바람직할 수 있을 것이다.
첨부 도면들을 참조할 때 이하의 상세한 설명으로부터 본원 개시 내용을 가장 잘 이해할 수 있을 것이다. 산업계의 표준 실무에 따라서, 여러 가지 피쳐들(features)을 실척으로(scale) 도시하지 않았고 그리고 여러 가지 피쳐들이 단지 설명을 위해서 이용되었다는 것을 주지하여야 할 것이다. 사실상, 여러 가지 피쳐들의 치수들은 설명의 명료함을 위해서 임의적으로 증대되거나 축소될 수 있을 것이다.
도 1은 반도체 디바이스를 제조하는데 있어서 현재 당업계에서 이용되는 통상적인 진공 웨이퍼 프로세싱 툴의 평면도이다.
도 2는 반도체 디바이스를 제조하는데 있어서 현재 당업계에서 이용되는 통상적인 진공 웨이퍼 프로세싱 툴의 개략적인 횡단면도이다.
도 3은 본원 개시 내용의 양태에 따라서 반도체 디바이스를 제조하는데 있어서 웨이퍼들을 압력-제어형으로 운송하기 위해서 실시되는 방법의 개략도이다.
도 4a-4d는 본원 개시 내용의 양태에 따라서 도 3에 도시된 방법의 선택된 단계들을 개략적으로 도시한 도면이다.
도 5는 본원 개시 내용의 양태에 따라서 도 3에 도시된 방법의 하나의 단계를 개략적으로 도시한 도면이다.
이하의 개시 내용은 개시 내용의 상이한 피쳐들을 구현하기 위한 많은 상이한 실시예들, 또는 예들을 제공한다. 본원 개시 내용을 단순화하기 위해서, 성분들 및 배열체들(arrangements)의 구체적인 예들이 이하에서 설명된다. 물론, 이들은 단지 예들이고 그리고 제한적인 것으로 의도된 것이 아니다. 예를 들어, 이하의 개시 내용에서 제 2 피쳐 상에 또는 그 위에 제 1 피쳐를 형성하는 것은, 제 1 및 제 2 피쳐들이 직접적으로 접촉되어 형성되는 실시예들을 포함할 수 있을 것이고, 또한 부가적인 피쳐들이 상기 제 1 및 제 2 피쳐들 사이에 형성되어 제 1 및 제 2 피쳐들이 직접적으로 접촉하지 않을 수 있는 실시예들을 포함할 수 있을 것이다. 또한, 본원 개시 내용은 여러 가지 예들에서 참조 번호들 및/또는 문자들을 반복할 수 있을 것이다. 이러한 반복은 단순함 및 명료함을 위한 것이고 그리고 그것 자체가 개시된 여러 가지 실시예들 및/또는 구성들 사이의 관계를 구술하는 것은 아니다.
도 1은 현재 당업계에서 이용되는 통상적인 진공 웨이퍼 프로세싱 툴 구조물(100)의 평면도를 도시하고 그리고 도 2는 그러한 통상적인 진공 웨이퍼 프로세싱 툴 구조물(100)의 개략적인 횡단면도를 도시한다. 도 1 및 2를 참조하면, 통상적인 툴 구조물(100)은 일반적으로 ATM(대기압) 이송 모듈(110), 에어록(airlock)(120), 진공 이송 모듈(130), 및 복수의 진공 프로세스 모듈 또는 프로세스 챔버들(140)을 포함한다. ATM 이송 모듈(110)은 FOUPs(150)와의 도킹(docking)을 위해서 그 측부 상에 몇 개의 로드 포트들(112)을 가진다. 전형적으로, ATM 이송 모듈(110)은 내부의 대기압에서 동작하고 그리고 그 주요 기능은 그 로드 포트들(112)에서 FOUPs(150)를 단지 수용하고, FOUPs(150)의 전방 개방 도어(152)를 개방하고, 그리고 웨이퍼들(160)에 대해서 프로세스를 실시하기 위해서 다음 모듈로 웨이퍼들을 이동시키기에 앞서서 그 내부에 설치된 로봇 아암들(115)을 이용하여 웨이퍼들(160)을 이송 모듈(110)로 내보내는 것이다. 앞서서 주지한 바와 같이, 현재 당업계에서 이용되는 통상적인 FOUPs(150)는 내부에 대기압을 가지며, 그에 따라 잠재적인 오염물질들에 대해서 노출되기 쉽다.
에어록(120)이 ATM 이송 모듈(110)에 연결되고, 그리고 에어록 도어(122)에 의해서 분리된다. 반도체 디바이스의 제조에 있어서, 프로세스 챔버(140) 내의 많은 웨이퍼 프로세스들이 진공 또는 거의-진공인 압력 하에서 실시된다. 에어록(120)은, 웨이퍼들(160) 상의 압력이 조정되는 버퍼 지역으로서 동작한다. 웨이퍼들(160)이 이송 모듈(110)로부터 에어록(120) 내로 이송된 후에, 에어록(120)은, 그때까지 웨이퍼들(160)이 노출되었던 대기압을 진공 이송 모듈(130) 내에서 유지되는 특정 압력 값으로 변화시키고, 상기 특정 압력 값은 특별한 웨이퍼 프로세스에 의해서 지정된 바와 같은 거의 진공인 압력 또는 대기압 보다 낮은 압력이다. 에어록(120) 내의 압력은 그 에어록(120)에 부착된 가스 펌프(미도시)를 통해서 조정되고, 그리고 전형적으로, 압력 제어를 위해서 질소(N2) 가스의 유입유동(inflow)이 이용된다. 에어록(120)을 ATM 이송 모듈(110) 및 진공 이송 모듈(130) 각각으로부터 분리시키는 에어록 도어(122) 및 진공 모듈 도어(132)은, 폐쇄되었을 때, 임의 가스가 유동하는 것을 방지하여 에어록(120)을 밀봉하고 그 내부의 압력을 보존한다. 에어록 도어(122) 또는 진공 모듈 도어(132)를 개방하는 것은, 상호간의 자유로운 기체 연통을 통해서, 에어록(120)과 그 에어록(120)으로 개방된 임의(whichever) 챔버 사이의 압력 및 온도 평형을 설정하며, 상기 임의 챔버는 ATM 이송 모듈(110) 또는 진공 이송 모듈(130)이 될 수 있을 것이다. 에어록(120) 내의 압력이 진공 모듈 도어(132)를 가지는 진공 이송 모듈(130)의 압력과 동일해지도록 일단 조정되면, 진공 모듈 도어(132)가 개방되고 그리고 웨이퍼들이 진공 이송 모듈(130) 내로 이송된다.
진공 이송 모듈(130)은 중앙 도크(dock) 스테이션의 역할을 하고, 그러한 중앙 도크 스테이션에서 에어록(120)으로부터 이송된 웨이퍼들(160)이 프로세싱을 위한 준비 상태로 일시적으로 유지되고 그리고 로봇 아암들(135)에 의해서 복수의 프로세스 챔버들(140)로 분배된다. 전형적으로, 프로세스 챔버(140)에 대한 각각의 진입구에 챔버 도어(142)가 위치된다. 웨이퍼(160)가 특별한 프로세스 챔버(140) 내로 이송되면, 챔버 도어(142)가 폐쇄된다.
웨이퍼들(160)이 프로세스 챔버(140) 내에 일단 배치되면, 그 내부의 압력 즉, 진공 이송 모듈(130)의 값은 각각의 프로세스 챔버(140)에 연결된 분리형 압력 펌프를 통해서 특별한 프로세스에 의해서 요구되는 바에 따라서 다른 값으로 개별적으로 추가적으로 변경될 수 있을 것이다. 프로세스가 종료된 후에, 챔버 도어(142)가 개방되기 전에 압력이 다시 초기 진공 이송 모듈 값으로 조정될 수 있을 것이고, 그리고 웨이퍼들(160)이 진공 이송 모듈(130)로 다시 이송될 수 있을 것이다. 일반적으로, 단일 웨이퍼 프로세싱 툴(100)이 1 내지 20 개의 프로세스 챔버들(140)을 가지며, 그리고 프로세싱 툴의 특별한 타입에 따라서, 각각의 프로세스 챔버(140)가 내부에 수용된 웨이퍼들(160)에 대해서 동일한 또는 상이한 프로세싱 단계들을 실시할 수 있을 것이다.
도 1 및 2에 도시된 바와 같이, 현재의 웨이퍼 이송 시스템에서 이용되는 FOUP들은 대기압 하에서 웨이퍼들을 운송하는데, 이는 웨이퍼들을 가압된 분위기 하에서 유지하기 위한 밀봉 시스템의 이용이 불가능하기 때문이다. 그러한 웨이퍼 핸들링은, 잠입된 수분, 미생물들, 또는 화학물질들로부터 발생되는 모든 종류의 생화학적 마이크로 오염의 위험에 웨이퍼들을 노출시켜, 여러 가지 웨이퍼 프로세싱 단계들의 성능 및 품질을 손상시킬 수 있을 것이다. 또한, 만약 현재의 웨이퍼 운송 시스템의 ATM 이송 모듈의 기능 즉, 단지 FOUP들을 수용하고, 웨이퍼들을 반출하고, 그리고 웨이퍼들을 압력 조정을 위해서 에어록으로 이송하는 기능이, 예를 들어, 에어록에 의해서 대체될 수 있다면, 단일 프로세싱 툴 내에서 웨이퍼들을 프로세싱하기 위해서 필요한 전체 시간이 단축될 수 있을 것이고 그리고 전체적인 제조 프로세스의 비용 및 효율이 크게 개선될 수 있을 것이다. 현재 당업계에서 채용되는 FOUP들 및 웨이퍼 운송 시스템에 대해서 주목된 부족한 부분에 비추어 볼 때, 본원 개시 내용은 웨이퍼들에 대한 잠재적인 오염을 방지하기 위한 FOUP과 같은 비-대기압 압력 제어형 웨이퍼 캐리어, 및 압력 제어형 웨이퍼 캐리어를 이용하는 웨이퍼들을 운송하기 위한 개선된 시스템 및 방법을 제공한다.
도 3은 본원 개시 내용의 양태에서 반도체 디바이스를 제조하는데 있어서 웨이퍼들을 압력 제어형으로 운송하기 위해서 실시되는 방법(300)의 개략도이다. 도 3의 방법(300)의 단계들은, 방법(300)의 선택된 단계들을 개략적으로 도시한 도 4a-4d를 참조하여, 여기에서 설명될 것이다.
이제 도 3을 참조하면, 단계(302)에서, 웨이퍼 프로세싱 툴이 제공된다. 여기에서, '제공'이라는 단어는, 비제한적으로, 웨이퍼 프로세싱 툴인 준비 대상을 '구매', '준비', '제조', '배열', 또는 '주문(making in order)'하는 것을 포함하는, 모든 조달 모드들을 포함하는 광의로 사용된 것이다. 도 4a를 참조하면, 웨이퍼 프로세싱 툴(400)이 에어록(410), 이송 모듈(420), 및 복수의 프로세스 모듈 또는 프로세스 챔버들(430)을 포함한다. 한편으로, 에어록(410)은, 본원 개시 내용에 따라서 디자인되고 그리고 운송되는 하나 이상의 웨이퍼-반송 인클로저들(450)과 도킹하기 위한 하나 이상의 로드 포트들(412)을 가진다. 웨이퍼-반송 인클로저들(450)의 구조물은, 다음 단계(304)가 설명될 때, 이하에서 설명될 것이다. 다른 측면에서, 에어록(410)이 이송 모듈(420)에 연결되는 한편, 이송 모듈 도어(422)에 의해서 분리된다. 이송 모듈 도어(422)가 폐쇄될 때, 에어록(410)이 이송 모듈(420)로부터 격리되어 상이한 압력을 가진다. 그러나, 이송 모듈 도어(422)가 개방될 때, 에어록(410)은 이송 모듈(420)과 기체 연통되어 그들 사이의 압력 평형에 도달한다. 에어록(410)의 일차적인 기능은 웨이퍼-반송 인클로저들(450)을 그 로드 포트들(412)에서 수용하고, 후속 단계들에서 설명되는 바와 같이 적절하게 내부 압력을 조정하고, 웨이퍼-반송 인클로저들(450)의 전방 개방 도어(452)를 개방하고, 그리고 로봇 아암들을 통해서 웨이퍼-반송 인클로저들(450) 내에 수용된 웨이퍼들(460)을 이송 모듈(420) 내로 반출하는 것이다. 에어록(410) 내의 압력은 그러한 에어록(410)에 연결된 가스 펌프(415)에 의해서 조정되고, 그리고 전형적으로, 질소(N2) 가스, 공기, 또는 이들의 혼합물의 유입유동/유출유동을 이용하여 압력을 제어한다.
이송 모듈(420)은 중앙 도크 스테이션의 역할을 하고, 그러한 중앙 도크 스테이션에서 에어록(410)으로부터 이송된 웨이퍼들(460)이 일시적으로 유지되고 그리고 특별한 웨이퍼 프로세싱을 위한 복수의 프로세스 챔버들(140)로 순서대로 분배된다. 프로세싱의 완료 시에, 개별적인 웨이퍼-반송 인클로저들(450) 내로 다시 이송되고 에어록(410)을 다시 통과하기에 앞서서 웨이퍼들(460)이 다시 수집된다. 이송 모듈(420)은 챔버 도어(432)에 의해서 프로세스 챔버들(430)의 각각으로부터 선택적으로 분리된다. 챔버 도어(431)가 폐쇄될 때, 상응하는 프로세스 챔버(430)가 이송 모듈(420)로부터 격리되기 시작하고 그리고 필요에 따라 분리된 가스 펌프를 통해서 상이한 압력을 가질 수 있다. 대부분의 웨이퍼 프로세싱 툴들(400)의 경우에, 이송 모듈(420) 내의 압력이 진공, 거의-진공, 또는 극히 낮은 압력으로 유지된다. 하나의 실시예에서, 이송 모듈(420)이 전형적으로, 약 100 밀리 torr인 거의-진공인 압력을 가진다. 다른 실시예에서, 특별한 프로세싱 툴의 웨이퍼 프로세싱의 종류에 따라서, 이송 모듈(420)이 대기압과 같은 또는 그보다 높은 압력을 가질 수 있을 것이다. 이송 모듈(420)은, 전형적으로, 에어록(410), 이송 모듈(420), 및 프로세스 챔버들(430) 내외로 웨이퍼들(460)을 이송하기 위해서 내부에 설치된 로봇 아암들(425)을 가진다.
프로세스 챔버들(430)은, 웨이퍼들(460)이 특별한 반도체 디바이스를 제조하기 위한 여러 가지 웨이퍼 프로세싱 단계들을 거치게 되는 실제적인 공간이다. 웨이퍼들(460)은 압력 평형하에서, 즉 이송 모듈(420)과 동일한 압력하에서, 이송 모듈(420)로부터 프로세스 챔버(430) 내로 이송된다. 웨이퍼들(460)이 프로세스 챔버(430) 내로 이동되면, 그 내부의 압력이 특별한 프로세스에 의해서 요구되는 바에 따라 추가적으로 변화될 수 있을 것이다. 이를 위해서, 각각의 프로세스 챔버(430)를 위한 분리된 압력 펌프들이 존재할 수 있거나 또는 하나의 단일 중앙 압력 펌프가 모든 프로세스 챔버들(430)에 연결될 수 있을 것이다. 전형적으로, 단일 웨이퍼 프로세싱 툴(400)이 복수의 프로세스 챔버들(430), 전형적으로 1개 내지 20개의 프로세스 챔버들을 가질 수 있을 것이다. 프로세싱 툴의 특별한 타입에 따라서, 하나의 실시예에서, 단일 툴(400) 내의 복수의 프로세스 챔버들(430)이 웨이퍼들(460)에 대해서 모두 동일한 프로세싱 단계들을 실시할 수 있을 것이고, 또는 다른 실시예들에서, 상기 챔버들이 모두 또는 부분적으로 상이한 프로세싱 단계들을 실시할 수 있을 것이다.
이제 다시 도 3을 참조하면, 단계(304)에서, 복수의 웨이퍼들을 내부에 수용하는 웨이퍼-반송 인클로저가 운송된다. 도 4a는 또한, 그러한 웨이퍼-반송 인클로저(450)가 운송되고 그리고 에어록(410)의 로드 포트들(412) 중 하나에 근접한 것을 도시한다. 통상적인 FOUP(front opening unified pod)와 유사하게, 하나의 실시예에서 웨이퍼-반송 인클로저(450)가 표준 크기 즉, 300 mm 직경의 표준 실리콘 웨이퍼들을 유지하도록 디자인될 수 있을 것이다. 완전히 로딩되면, 그 인클로저가 25개의 웨이퍼들을 유지할 수 있을 것이다. 그러나, 웨이퍼 크기의 개발 및 특별한 프로세싱 요구들에 따라서, 웨이퍼-반송 인클로저(450)가 임의의 다른 크기의 임의의 다른 수의 웨이퍼들을 유지하도록 디자인될 수 있을 것이다. 하나의 실시예에서, 로봇 핸들링 메커니즘들(425)이 통과하여 웨이퍼들에 직접적으로 접근할 수 있도록 허용하기 위해서, 웨이퍼-반송 인클로저(450)가 또한 통상적인 FOUP과 같은 전방 개방 도어(452)를 가질 수 있을 것이다. 단계(302)에서 제공된 웨이퍼 프로세싱 툴(400) 내의 에어록(410)의 로드 포트(412) 상에 웨이퍼-반송 인클로저(450)가 위치되도록 허용하기 위해서, 상기 인클로저가 다양한 커플링 플레이트들, 핀들 및 홀들(미도시)을 추가적으로 구비할 수 있을 것이다. 또한 웨이퍼-반송 인클로저(450)가 될 수 있는 FOUP들의 통상적인 내부 구조물이 당업계에 잘 알려져 있고, 그에 따라 여기에서 추가적으로 설명하지 않는다.
또한, 본원 개시 내용의 웨이퍼-반송 인클로저(450)는, 운송 중에 일정하게 유지되는 내부의 미리 설정된 목표 캐리어 압력을 가지도록 구성될 수 있을 것이다. 미리 설정된 캐리어 압력은, 특별한 프로세싱 요구들에 따라서, 대기압 보다 높거나 낮을 수 있을 것이다. 미리 설정된 캐리어 압력은, 웨이퍼들을 잠재적으로 오염시킬 수 있는 임의의 분진, 박테리아 또는 균류, 습도 또는 화학물질들을 충분히 회피할 수 있는 적합한 레벨의 압력, 및 툴(400) 내의 프로세싱 챔버들(430)에서 실시되는 특정 프로세싱 단계들의 타입에 따라 달라질 수 있는 이송 모듈(420) 내의 압력의 레벨과 같은 여러 가지 인자들을 고려하여 결정될 수 있을 것이다. 내부의 압력을 일정하게 유지하기 위해서, 웨이퍼-반송 인클로저(450)가 적절하게 디자인된 밀봉 구조물을 구비할 필요가 있을 것이다. 밀봉 구조물은 가압 용기들을 제공하기 위해서 이용되는 당업계에서 공지된 임의의 적합한 기밀(氣密) 밀봉/패키징 기술 또는 재료를 이용할 수 있을 것이다. 예를 들어, 만약 목표 압력이 대기 압력 보다 낮다면, 밀봉 구조물이 진공 밀봉/패키징, 유리-금속 밀봉과 같은 밀폐(hermetic) 밀봉, 또는 PTFE 밀봉 링들, o-링들(선택적으로 캡슐화된 o-링들), 또는 PTFE 슬리브들을 이용하는 배리어 패키징을 위해서 이용되는 기술들 및 재료들을 이용할 수 있을 것이다. 만약 목표 압력이 대기압 보다 높다면, 가압형 밀봉/패키징을 위해서 이용되는 기술들 및 재료들이 웨이퍼-반송 인클로저(450)의 밀봉 구조물을 위해서 채택될 수 있을 것이다. 웨이퍼-반송 인클로저(450)의 형상은 통상적인 FOUP의 직사각형 박스-형상으로 한정될 필요가 없을 수 있을 것이다. 구체들, 원통들, 및 원뿔들의 섹션들을 가지는 형상들을 포함하여, 특별한 디자인 및 적용예 요건들에 의해서 요구되는 바에 따른 거의 모든 형상을 취할 수 있을 것이다.
웨이퍼-반송 인클로저(450)는, 단독형 압력-충전(charge) 스테이션으로서 또는 웨이퍼 프로세싱 툴의 일부로서 존재할 수 있는, 가스 펌프 및 도킹 포트를 구비하는 에어록에서 미리 설정된 목표 내부 압력으로 충전될 수 있을 것이다. 일단 충전되면, 웨이퍼-반송 인클로저(450)가 조작되고, 그리고 통상적인 AMHS(자동화된 재료 핸들링 시스템)과 같은 운송 시스템을 통해서, 컨베이어 상에서, 예를 들어, 복수의 웨이퍼 프로세싱 툴들 중에서 하나의 툴로부터 다른 툴로 운송된다. AMHS의 시스템은 당업계에서 잘 알려져 있고, 그에 따라 여기에서 추가적으로 설명하지 않는다.
웨이퍼-반송 인클로저(450)는, 비제한적으로, 미리 설정된 캐리어 압력, 인클로저(450) 내부의 실제 압력 및 온도, 그리고 수용된 웨이퍼들의 수를 포함하는, 특별한 웨이퍼-반송 인클로저(450)에 관한 여러 가지 정보의 신호들을 무선으로 송신하는, RFID와 같은 센서/송신기(455)를 추가적으로 구비할 수 있을 것이다. 무선 송신은, 와이-파이, 또는 블루투스 네트워크들을 포함하는, 당업계에 공지된 임의의 무선 데이터 통신 기술을 통해서 이루어질 수 있다. 신호들이 각각의 웨이퍼 프로세싱 툴(400) 또는 단독형 압력-충전 스테이션에 설치된 수신기에 의해서 수신될 수 있다.
다시 도 3을 참조하면, 이제 단계(306)에서, 웨이퍼-반송 인클로저(450)가 그 로드 포트들(412) 중 하나에서 웨이퍼 프로세싱 툴(100)의 에어록(410)과 도킹된다. 도 4b는 웨이퍼 프로세싱 툴(100)의 에어록(410)과 도킹된 웨이퍼-반송 인클로저(450)를 개략적으로 도시한다. 그러한 도킹은 인클로저(450) 상에 형성된 여러 가지 커플링 플레이트들, 핀들 및 홀들(미도시)을 이용하여 확실하게 달성될 수 있을 것이다. 일단 도킹되면, 도 4b에 도시된 바와 같이, 웨이퍼-반송 인클로저(450)의 인클로저 개방 도어(452)가 다음 단계까지 폐쇄되어 유지되며, 그에 따라 인클로저(450) 내의 웨이퍼들(460)을 일정한 캐리어 압력 하에서 유지한다. 도킹에 앞서서 또는 도킹시에, 접근하는 또는 현재 도킹되는 특별한 웨이퍼-반송 인클로저(450)에 관한 여러 가지 정보가 에어록(410)으로 통지될 수 있을 것이다. 그러한 정보는 인클로저(450)에 설치된 센서/송신기(455)로부터 송신되고, 그리고 각각의 웨이퍼 프로세싱 툴(400)에 존재하는 지역적인(local) 수신기(미도시)에 의해서, 또는 중앙 서버 또는 제어 유닛에 위치된 수신기에 의해서 수신될 수 있을 것이다. 후자의 경우에, 그러한 정보가, 특별한 웨이퍼-반송 인클로저(450)가 도킹된 특별한 프로세싱 툴(400)로 전달된다. 전술한 바와 같이, 웨이퍼-반송 인클로저(450)에 관한 정보가 캐리어 압력의 미리 설정된 목표 값, 인클로저(450) 내부의 실제 압력 및 온도, 그리고 내부에 수용된 웨이퍼들의 수를 포함할 수 있을 것이고, 그리고 특별한 프로세싱 툴(400) 내의 웨이퍼들의 프로세싱에서 이용될 수 있을 것이다.
*다시 도 3 그리고 또한 도 4c를 참조하면, 단계(308)에서, 에어록(410) 내의 압력이 웨이퍼-반송 인클로저(450) 내부의 미리 설정된 캐리어 압력으로 변화된다. 웨이퍼-반송 인클로저(450)가 에어록(410)과 도킹되기에 앞서서, 에어록(410) 내의 압력은 미리 설정된 캐리어 압력과 상이하다. 하나의 실시예에서, 에어록(410) 내부의 압력이 대기압이다. 웨이퍼-반송 인클로저(450)가 도킹된 후에, 가스 펌프(415)의 이용에 의해서 에어록 압력이 미리 설정된 캐리어 압력과 같아진다. 만약 미리 설정된 캐리어 압력이 대기압 보다 낮다면, 에어록 압력 즉, 대기압이 미리 설정된 캐리어 압력에 도달할 때까지, 에어록(410) 내부의 공기가 인출된다. 에어록(410) 내부의 변화되는 압력이 그 내부에 설치된 압력 센서(미도시)에 의해서 측정될 수 있고, 그러한 압력 센서는, 가스 펌프(415)가 에어록 압력을 정밀하게 모니터링 및 제어할 수 있도록, 펌프(415)에 연결된다. 만약 미리 설정된 캐리어 압력이 대기압 보다 높다면, 가스 펌프(415)가 공기 또는 질소(N2) 가스를 내부로 펌핑하여 에어록 압력을 미리 설정된 캐리어 압력으로 증가시킨다. 하나의 실시예에서, 가스 펌프(415)가 압력 제어를 위해서 90% 질소 및 10%의 공기로 이루어진 가스를 이용하고, 그러한 가스는 다른 실시예들에서 달라질 수 있을 것이다. 에어록(410) 내부의 압력이 미리 설정된 캐리어 압력과 같아질 때까지, 인클로저 개방 도어(452)가 폐쇄되어 유지된다.
웨이퍼-반송 인클로저(450)와 에어록(410) 사이의 압력 평형에 일단 도달하면, 단계(310)에서, 인클로저 개방 도어(452)가 개방된다. 인클로저(450) 내의 웨이퍼들(460)은 여전히 동일한 압력 분위기 하에서 유지된다. 도 4c는, 인클로저-에어록 압력 평형에 도달하고 그리고 인클로저 도어(452)가 개방된 후의, 인클로저(450) 및 압력 툴(400)을 개략적으로 도시한다. 인클로저 개방 도어(452)의 개방 또는 폐쇄는, 도 4a에 개략적으로 도시된, 에어록의 로드 포트(412)에 인접하여 에어록(410)에 설치된 인클로저 도어 개방/폐쇄 메커니즘(417)에 의해서 실시될 수 있을 것이다. 인클로저 개방 도어(452)가 폐쇄되었을 때의 타이트한 밀봉을 위해서 그리고 어떠한 가스도 유동하는 것을 방지하기 위해서, 하나의 실시예에서, 또한 도 4a에 개략적으로 도시된, 복수의 통상적인 밀봉 O-링들(414)이 인클로저 개방 도어(452) 및 로드 포트(412) 모두에서 이용될 수 있을 것이다.
다시 도 3을 참조하면, 이제 단계(312)에서, 현재 미리 설정된 캐리어 압력과 동일한 에어록(410) 및 웨이퍼-반송 인클로저(450) 내부의 압력이, 이송 모듈 도어(422)가 폐쇄된 상태에서, 이송 모듈(420) 내부의 압력으로 변화된다. 다시, 이를 위해서, 에어록(410)에 연결된 가스 펌프(415)가 이용된다. 하나의 실시예에서, 이송 모듈(420) 내부의 압력이 진공, 거의-진공, 또는 극히 낮은 압력, 예를 들어, 약 100 밀리 torr의 전형적인 진공 압력이 되도록 유지된다. 다른 실시예에서, 프로세싱 공간들(430)에서 실시되는 특별한 웨이퍼 프로세싱 단계들의 종류에 따라서, 대기압 보다 높거나 대기압과 같은 압력을 가질 수 있을 것이다. 예를 들어, 일부 포토리소그래픽 프로세싱 단계들 또는 세정 프로세스의 경우에, 이송 모듈(420) 내에서 대기압이 이용될 수 있을 것이다(이러한 경우에, '진공 이송 모듈'이라는 명칭 내의 '진공'이라는 용어는 오칭이 될 것이다).
에어록(410) 및 웨이퍼-반송 인클로저(450) 내부의 압력이 이송 모듈(420) 내의 압력과 동일해지면, 단계(314)에서, 이송 모듈 도어(422)가 개방된다. 이러한 스테이지에서, 에어록(410), 이송 모듈(420), 및 웨이퍼-반송 인클로저(450) 모두가 동일한 압력, 즉 이송 모듈(420)의 압력 하에 놓이게 된다. 도 4d는, 이송 모듈 도어(422)가 개방된 후에, 단계(312)의 이러한 스테이지를 개략적으로 도시한다. 이송 모듈 도어(422)의 개방 또는 폐쇄가, 인클로저 도어 개방/폐쇄 메커니즘(417)과 유사한 종류의, 도 4a에 도시된 바와 같은, 이송 모듈 도어(422)에 인접하여 설치된 도어 개방/폐쇄 메커니즘(427)에 의해서 실시될 수 있을 것이다.
이송 모듈 도어(422)가 개방된 후에, 단계(316)에서, 웨이퍼-반송 인클로저(450) 내부의 웨이퍼들(460)이, 이송 모듈(420) 내에 설치된 복수의 로봇 아암들(425)에 의해서 이송 모듈(420)로 이송된다. 도 4a에 개략적으로 도시된 로봇 아암들(425)이 웨이퍼들을 끌어 당기기 위한 포크 형상을 가지고, 그리고 당업계에서 일반적으로 이용되는 통상적인 것이다. 그에 따라, 보다 상세한 구조에 대해서는 여기에서 추가로 설명하지 않는다.
도 3을 다시 참조하면, 단계(318)에서, 특별한 프로세싱 챔버(430)의 챔버 도어(432)가, 도어 개방/폐쇄 메커니즘들(417 또는 427)과 유사한, 도어 개방/폐쇄 메커니즘(미도시)에 의해서 개방된다. 많은 프로세스 챔버들(430) 중에서, 현재 이송 모듈(420) 내에서 대기 중인 웨이퍼들(460)을 이송하기 위해서 어떠한 특별한 챔버가 개방되어야 하는지가, 미리 결정된 프로세스 순서에 의해서 결정될 수 있을 것이고 그리고 이송 모듈(420)에 연결된 제어 센터 또는 서버 컴퓨터에 의해서 자동적으로 제어될 수 있을 것이다. 바람직하게, 특별한 프로세스 챔버(430)의 도어(432)가 개방되기에 앞서서, 챔버 도어(432)가 개방된 후에 교란없이(undistrubed) 이송 모듈(420)의 압력이 유지되도록, 프로세싱 챔버(430)에 연결된 분리된 가스 펌프(미도시)를 통해서 프로세스 챔버(430)의 내부 압력이 이송 모듈(420)의 내부 압력과 같아지도록 조정될 수 있을 것이다.
여전히 도 3을 참조하면, 이제 단계(320)에서, 이송 모듈(420) 내부의 웨이퍼들(460)이, 다시 이송 모듈(420) 내에 설치된 복수의 로봇 아암들(425)에 의해서, 프로세싱을 위해서 선택된 특별한 프로세스 챔버(430) 내로 이송된다. 웨이퍼들(460)이 이송된 후에, 챔버 도어(432)가 폐쇄되고, 그리고 특별한 프로세스 단계의 요건에 따라서, 프로세스 챔버(430) 내부의 압력이 진공 이송 모듈 압력 보다 높거나 낮게 추가적으로 변화될 수 있을 것이다. 그러한 상황에서, 웨이퍼들(460)의 프로세싱이 종료된 후에 그리고 웨이퍼들(460)을 이송 모듈(420) 내로 이송하기 위해서 챔버 도어(432)를 개방하기 전에, 챔버 압력이 진공 이송 모듈 압력으로 다시 조정될 필요가 있다.
도 3을 계속 참조하면, 웨이퍼들(460)의 프로세싱이 종료된 후에, 단계(322)에서, 웨이퍼들(460)이 프로세스 챔버(430)로부터 웨이퍼-반송 인클로저(450) 내로 다시 이송되고, 이송 모듈(420) 및 에어록(410) 내로의 연속적인 이송들을 통해서 진행된다. 이어서, 단계(324)에서, 웨이퍼-반송 인클로저(450)가 미리 설정된 캐리어 압력으로 다시 충전된다(charged back). 그러한 압력 역-충전(back-charging) 단계는 기본적으로 방법(300)의 정방향(forward) 단계들에 이어서 역순으로 실시된다. 보다 구체적으로, 웨이퍼들(460)을 웨이퍼-반송 인클로저(450) 내로 역으로 이송한 후의 압력 역-충전 프로세스는: 이송 모듈 도어(422)를 폐쇄하는 것; 에어록(410) 및 웨이퍼-반송 인클로저(450) 내부의 압력을 미리 설정된 캐리어 압력으로 변화시키는 것; 및 인클로저 개방 도어(412)를 폐쇄하는 것을 포함한다. 이러한 역방향 단계들에 관한 상세한 내용들은 정방향 단계들과 동일하고, 그에 따라 여기에서 추가로 설명하지 않는다.
마지막으로, 단계(324)에서, 웨이퍼-반송 인클로저(450)가 에어록(410)으로부터 언도킹되고 그리고 다른 웨이퍼 프로세싱을 위해서 AMHS를 통해서 다음 웨이퍼 프로세싱 툴로 운송된다.
복수의 웨이퍼 프로세싱 툴들 사이에서 웨이퍼들을 운송하기 위한 방법(300)은, 센서/송신기에 의해서 측정되고 브로드캐스팅된(broadcasted) 실제 압력이 미리 설정된 캐리어 값과 상이한 경우에, 웨이퍼 프로세싱 툴들 사이에서의 웨이퍼-반송 인클로저의 운송 중에, 미리 설정된 캐리어 압력으로 웨이퍼-반송 인클로저를 충전하는 단계를 더 포함한다. 도 5는, AMHS와 같은 운송 시스템(500)을 통해서 복수의 웨이퍼-반송 인클로저들(510)이 운송되는, 즉 상이한 웨이퍼 프로세싱 툴들(미도시) 사이에서 이동하는 단계를 개략적으로 도시한다. 운송 중에, 개별적인 인클로저들에 부착된 센서/송신기들(520)은 각각의 인클로저들에 대한 여러 가지 정보, 특히 실제 내부 압력에 관한 정보를 지속적으로 무선 브로드캐스팅한다. 그러한 송신된 정보는, 예를 들어, 가스 펌프(560)에 연결된 단독형 압력-충전 스테이션 또는 에어록(550)에 의해서 수신된다. 만약 일부 웨이퍼-반송 인클로저들(515)의 브로드캐스팅된 실제 압력이 미리 설정된 캐리어 값과 상이하다면, 그러한 인클로저들(515)이 정규적인 트랙(504)으로부터 측부트랙(sidetrack)(508)으로 반출되고 그리고 에어록(550) 내로 이동되며, 그러한 에어록 내에서 인클로저들이 미리 설정된 캐리어 값의 정확한 압력으로 충전된다.
본원 개시 내용에서 기술된 신규한 압력-제어형 웨이퍼 캐리어에 의해서, 각각의 웨이퍼 캐리어의 내부 압력이 특별한 디자인 및 프로세싱 요건에 의해서 요구되는 바에 따라서 대기압 이하의 또는 그 이상의 일정한 압력으로 유지될 수 있으며, 그에 따라 제어되지 않은 대기압 하에서 웨이퍼들을 유지하는 FOUP들과 같은 당업계의 통상적인 웨이퍼 캐리어들에 대한 웨이퍼 오염들의 문제가 해결될 수 있다. 또한, 본원 개시 내용의 압력-제어형 웨이퍼 캐리어와 함께 이용되는, 본원 개시 내용에서 설명된 웨이퍼 운송 방법은, 통상적인 웨이퍼 운송 시스템의 성분인 ATM 이송 모듈의 이용 필요성을 배제함으로써, 전체적인 프로세스 시간 및 비용을 상당히 절감할 수 있게 한다.
전술한 내용은 당업자가 본원 개시 내용을 보다 잘 이해할 수 있도록 몇몇 실시예들의 특징들을 개략적으로 설명한 것이다. 당업자들이 여기에서 소개된 실시예들과 동일한 목적들을 달성하고 및/또는 동일한 장점들을 성취하기 위해서 다른 프로세스들 및 구조들을 설계 또는 수정하기 위한 기본으로서 본원 개시 내용을 용이하게 이용할 수 있다는 것을, 당업자는 이해하여야 할 것이다. 또한, 당업자는, 그러한 균등한 구성들이 본원 개시 내용의 사상 및 범위를 벗어나지 않는다는 것을, 그리고 본원 개시 내용의 사상 및 범위를 벗어나지 않고도 당업자가 여러 가지 변화들, 치환들, 대안들을 적용할 수 있다는 것을 이해하여야 할 것이다.

Claims (8)

  1. 제 1 웨이퍼 프로세싱 툴에서 웨이퍼들을 운송하는 방법에 있어서,
    상기 제 1 웨이퍼 프로세싱 툴은 제 1 압력을 내부에 가지는 에어록, 상기 에어록과 기체 연통하지만 상기 에어록과의 사이에 위치된 제 1 도어에 의해서 상기 에어록으로부터 격리될 수 있는 이송 모듈, 및 상기 이송 모듈과 기체 연통하지만 상기 이송 모듈과의 사이에 위치된 제 2 도어에 의해서 상기 이송 모듈로부터 격리될 수 있는 프로세스 챔버를 포함하고,
    상기 이송 모듈 및 상기 프로세스 챔버는 각각 제 2 및 제 3의 미리 설정된 압력들을 내부에 가지며,
    상기 방법은,
    복수의 웨이퍼들을 내부에 수용하는 웨이퍼-반송 인클로저를 운송하는 단계로서, 상기 인클로저는 인클로저 개구부 및 인클로저 도어 - 이 인클로저 도어는 상기 복수의 웨이퍼들을 상기 인클로저 도어를 통과하여 이송함 - 를 구비하고, 상기 웨이퍼-반송 인클로저는 운송 중에 상기 인클로저 내부에서 일정한 미리 설정된 캐리어 압력을 유지하도록 구성되는 것인, 상기 웨이퍼-반송 인클로저를 운송하는 단계;
    상기 웨이퍼-반송 인클로저를 상기 에어록과 도킹시키는 단계;
    상기 에어록 내부의 제 1 압력을 상기 캐리어 압력으로 변화시키는 단계;
    상기 인클로저 도어를 개방하는 단계;
    상기 에어록 및 상기 웨이퍼-반송 인클로저 내부의 캐리어 압력을 상기 제 2 압력으로 변화시키는 단계;
    상기 제 1 도어를 개방하는 단계;
    상기 복수의 웨이퍼들을 상기 웨이퍼-반송 인클로저로부터 상기 이송 모듈 내로 이송하는 단계;
    상기 제 2 도어를 개방하는 단계; 및
    프로세싱을 위해서, 상기 복수의 웨이퍼들을 상기 이송 모듈로부터 상기 프로세스 챔버 내로 이송하는 단계를 포함하는, 웨이퍼 운송 방법.
  2. 제 1 항에 있어서,
    상기 프로세싱 이후에, 상기 복수의 웨이퍼들을 상기 프로세스 챔버로부터 상기 웨이퍼-반송 인클로저 내로 역으로 이송하는 단계를 더 포함하는, 웨이퍼 운송 방법.
  3. 제 2 항에 있어서,
    상기 복수의 웨이퍼들을 상기 웨이퍼-반송 인클로저 내로 역으로 이송하는 단계 후에, 상기 캐리어 압력으로 상기 웨이퍼-반송 인클로저를 충전하는(charging) 단계를 더 포함하는, 웨이퍼 운송 방법.
  4. 제 3 항에 있어서,
    상기 캐리어 압력으로 상기 웨이퍼-반송 인클로저를 충전하는 단계 이후에, 상기 웨이퍼-반송 인클로저를 상기 에어록으로부터 언도킹시키는 단계; 및
    상기 웨이퍼-반송 인클로저를 제 2 웨이퍼 프로세싱 툴로 운송하는 단계를 더 포함하는, 웨이퍼 운송 방법.
  5. 제 1 항에 있어서,
    상기 제 1 압력을 변화시키는 단계 및 상기 캐리어 압력을 변화시키는 단계는 상기 에어록에 부착된 가스 펌프에 의해서 이루어지는 것인, 웨이퍼 운송 방법.
  6. 제 1 항에 있어서,
    상기 웨이퍼-반송 인클로저에 배치된 센서-송신기에 의해서, 상기 웨이퍼-반송 인클로저의 운송 중에 상기 웨이퍼-반송 인클로저 내부의 실제 압력, 복수의 웨이퍼들의 수, 및 온도 중 적어도 하나를 무선으로 브로드캐스팅하는 단계를 더 포함하는, 웨이퍼 운송 방법.
  7. 제 6 항에 있어서,
    상기 센서에 의해서 브로드캐스팅되는 실제 압력이 상기 캐리어 압력과 상이한 경우에, 상기 캐리어 압력으로 상기 웨이퍼-반송 인클로저를 충전하는 단계를 더 포함하는, 웨이퍼 운송 방법.
  8. 제 1 항에 있어서,
    상기 미리 설정된 캐리어 압력이 대기압 미만 또는 초과인, 웨이퍼 운송 방법.
KR1020160020803A 2013-03-14 2016-02-22 압력­제어형 웨이퍼 캐리어 및 웨이퍼 운송 시스템 KR101888236B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/831,498 2013-03-14
US13/831,498 US9748123B2 (en) 2013-03-14 2013-03-14 Pressure-controlled wafer carrier and wafer transport system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020130158426A Division KR20140113302A (ko) 2013-03-14 2013-12-18 압력­제어형 웨이퍼 캐리어 및 웨이퍼 운송 시스템

Publications (2)

Publication Number Publication Date
KR20160028428A true KR20160028428A (ko) 2016-03-11
KR101888236B1 KR101888236B1 (ko) 2018-08-13

Family

ID=51503988

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020130158426A KR20140113302A (ko) 2013-03-14 2013-12-18 압력­제어형 웨이퍼 캐리어 및 웨이퍼 운송 시스템
KR1020160020803A KR101888236B1 (ko) 2013-03-14 2016-02-22 압력­제어형 웨이퍼 캐리어 및 웨이퍼 운송 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020130158426A KR20140113302A (ko) 2013-03-14 2013-12-18 압력­제어형 웨이퍼 캐리어 및 웨이퍼 운송 시스템

Country Status (3)

Country Link
US (1) US9748123B2 (ko)
KR (2) KR20140113302A (ko)
CN (1) CN104051310B (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543988B2 (en) 2016-04-29 2020-01-28 TricornTech Taiwan Real-time mobile carrier system for facility monitoring and control
US10153282B1 (en) * 2017-08-11 2018-12-11 Lam Research Corporation Ultra-high vacuum transport and storage
KR102206194B1 (ko) * 2017-09-26 2021-01-22 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치 및 반도체 장치의 제조 방법
CN109786297B (zh) * 2017-11-10 2021-09-28 长鑫存储技术有限公司 晶圆传送装置、晶圆传送装置的控制方法及晶圆加工设备
US11101163B2 (en) * 2018-01-30 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for automated robotic arm sensing
CN108987317A (zh) * 2018-06-12 2018-12-11 上海集成电路研发中心有限公司 一种硅片片盒和设备平台
CN112750715B (zh) * 2019-10-29 2022-04-08 长鑫存储技术有限公司 半导体工艺方法
CN113035681B (zh) * 2019-12-24 2023-12-15 中微半导体设备(上海)股份有限公司 晶圆气锁装置
CN111599715B (zh) * 2020-04-30 2023-11-14 北京北方华创微电子装备有限公司 晶圆传输控制方法
CN114724981B (zh) * 2022-03-10 2023-02-28 江苏亚电科技有限公司 一种晶圆片数片装置及数片方法
CN116659593B (zh) * 2023-08-01 2023-10-20 浙江果纳半导体技术有限公司 一种晶圆存储检测方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06198160A (ja) * 1992-05-19 1994-07-19 Ebara Corp 真空容器並びに該真空容器を用いた真空処理方法及び装置
KR20060030676A (ko) * 2004-10-06 2006-04-11 삼성전자주식회사 챔버들 간의 웨이퍼 이송을 위한 반도체 제조 장치 및그의 제어 방법
KR20090057308A (ko) * 2006-09-14 2009-06-04 브룩스 오토메이션 인코퍼레이티드 캐리어 가스 시스템 및 기판 캐리어와 로드포트의 연결
JP2012094822A (ja) * 2010-09-30 2012-05-17 Shibaura Mechatronics Corp 密閉型容器及び半導体製造装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5398481A (en) 1992-05-19 1995-03-21 Ebara Corporation Vacuum processing system
KR0164007B1 (ko) * 1994-04-06 1999-02-01 이시다 아키라 미세 패턴화된 레지스트막을 가지는 기판의 건조처리방법 및 장치
US6065481A (en) * 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
JPH11168135A (ja) * 1997-12-03 1999-06-22 Toshiba Corp 基板保管装置および基板保管方法
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6274490B1 (en) * 2000-03-08 2001-08-14 Lucent Technologies Inc. Method of manufacturing semiconductor devices having high pressure anneal
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US9105673B2 (en) 2007-05-09 2015-08-11 Brooks Automation, Inc. Side opening unified pod

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06198160A (ja) * 1992-05-19 1994-07-19 Ebara Corp 真空容器並びに該真空容器を用いた真空処理方法及び装置
KR20060030676A (ko) * 2004-10-06 2006-04-11 삼성전자주식회사 챔버들 간의 웨이퍼 이송을 위한 반도체 제조 장치 및그의 제어 방법
KR20090057308A (ko) * 2006-09-14 2009-06-04 브룩스 오토메이션 인코퍼레이티드 캐리어 가스 시스템 및 기판 캐리어와 로드포트의 연결
JP2012094822A (ja) * 2010-09-30 2012-05-17 Shibaura Mechatronics Corp 密閉型容器及び半導体製造装置

Also Published As

Publication number Publication date
KR20140113302A (ko) 2014-09-24
CN104051310A (zh) 2014-09-17
CN104051310B (zh) 2017-10-27
US9748123B2 (en) 2017-08-29
KR101888236B1 (ko) 2018-08-13
US20140271053A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
KR101888236B1 (ko) 압력­제어형 웨이퍼 캐리어 및 웨이퍼 운송 시스템
JP7263639B2 (ja) 基板搬送部
US11631605B2 (en) Sealed substrate carriers and systems and methods for transporting substrates
TWI509722B (zh) 處理半導體晶圓的裝置及方法
US10832928B2 (en) Systems, apparatus, and methods for an improved load port
US20180114710A1 (en) Equipment front end module and semiconductor manufacturing apparatus including the same
US20090016853A1 (en) In-line wafer robotic processing system
CN102064123B (zh) 半导体被处理基板的真空处理系统及半导体被处理基板的真空处理方法
US8544651B2 (en) Wafer transfer pod for reducing wafer particulate contamination
JP2011124565A (ja) 半導体被処理基板の真空処理システム及び半導体被処理基板の真空処理方法
KR20150002888A (ko) 워크스테이션들 간의 전달 챔버
US20240136213A1 (en) Modular pressurized workstation
US10332769B2 (en) Semiconductor processing station, semiconductor process and method of operating semiconductor processing station
US20180122675A1 (en) Substrate carrier transport, sorting and loading/unloading
CN109300828B (zh) 一种晶圆的传输系统及传输方法
US20120288355A1 (en) Method for storing wafers
US20220122861A1 (en) Semiconductor device manufacturing system and method for manufacturing semiconductor device
US9564350B1 (en) Method and apparatus for storing and transporting semiconductor wafers in a vacuum pod
KR101433810B1 (ko) 기판 처리 설비 및 방법
KR20020063664A (ko) 반도체 소자 제조용 설비의 멀티챔버 시스템
US10734268B1 (en) Modularity of Tec-Cell, FOUP and substrate containers and carriers
KR102569744B1 (ko) 무인 비행체를 이용한 웨이퍼 이송 시스템
KR102332806B1 (ko) 기판 캐리어 수송, 분류 및 로딩/언로딩
CN117690842A (zh) 晶圆传输系统和半导体设备
CN111508880A (zh) 用以制造面板的无尘系统及方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
GRNT Written decision to grant