KR20150061607A - 반도체 장치 및 그 제조 방법 - Google Patents

반도체 장치 및 그 제조 방법 Download PDF

Info

Publication number
KR20150061607A
KR20150061607A KR1020140167775A KR20140167775A KR20150061607A KR 20150061607 A KR20150061607 A KR 20150061607A KR 1020140167775 A KR1020140167775 A KR 1020140167775A KR 20140167775 A KR20140167775 A KR 20140167775A KR 20150061607 A KR20150061607 A KR 20150061607A
Authority
KR
South Korea
Prior art keywords
layer
ild
sidewall
gate structure
semiconductor device
Prior art date
Application number
KR1020140167775A
Other languages
English (en)
Other versions
KR101630080B1 (ko
Inventor
춘-펭 니에
호우-유 첸
웬-타이 루
유-창 린
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150061607A publication Critical patent/KR20150061607A/ko
Application granted granted Critical
Publication of KR101630080B1 publication Critical patent/KR101630080B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66848Unipolar field-effect transistors with a Schottky gate, i.e. MESFET
    • H01L29/66856Unipolar field-effect transistors with a Schottky gate, i.e. MESFET with an active layer made of a group 13/15 material
    • H01L29/66863Lateral single gate transistors
    • H01L29/66871Processes wherein the final gate is made after the formation of the source and drain regions in the active layer, e.g. dummy-gate processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

반도체 장치가 제공된다. 반도체 장치는, 소스 영역과 드레인 영역 사이에 배치된 채널 영역과, 채널 영역 위의 게이트 구조체, 게이트 구조체에 근접한 층간 유전체(ILD)층, 그리고 게이트 구조체의 상부 부분에 인접하고 ILD층 위에 있는 ILD 응력층을 포함한다. 게이트 구조체는 제1 측벽, 제2 측벽 및 상부 부분을 포함한다. 제1 응력 기억 영역이 또한 제공된다. 제1 응력 기억 영역은 게이트 구조체의 상부 부분에 인접하여 있다. 반도체 장치의 제조 방법이 또한 제공된다.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD OF MAKING}
MUGFET(multiple gate field effect transistor) 등의 트랜지스터는, 소스 영역, 드레인 영역, 소스 영역과 드레인 영역 사이의 채널 영역 및 게이트를 포함한다. 그 이름이 내포하고 있듯이, MUGFET는 하나 이상의 게이트를 포함하는 경우가 많다. 일부 MUGFET에서는, 다수의 게이트가 단일의 게이트 전극에 의해 제어되며, 다수의 게이트 표면은 전기적으로 단일의 게이트로서 작용하여, 채널 영역을 통과하는 전류 흐름을 제어한다.
도 1은, 일부 실시예에 따른, 반도체 장치를 제조하는 방법을 예시하는 흐름도이고
도 2는, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 3은, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 4는, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 5는, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 6은, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 7은, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 8은, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 9는, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 10은, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 11a는, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 11b는, 일부 실시예에 따른, 제조 중의 반도체 장치의 단면도이고,
도 12a는, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 12b는, 일부 실시예에 따른, 제조 중의 반도체 장치의 단면도이고,
도 13은, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 14는, 일부 실시예에 따른, 제조 중의 반도체 장치의 사시도이고,
도 15a는, 일부 실시예에 따른, 제조 중의 반도체 장치의 단면도이고,
도 15b는, 일부 실시예에 따른, 제조 중의 반도체 장치의 단면도이다.
이제, 도면을 참고로 하여 청구된 주제를 설명하며, 도면 전체에 걸쳐, 동일 도면 부호는 전체적으로 동일한 요소를 지칭하는 데에 사용된다. 이하의 설명에서는, 설명의 편의상, 청구된 주제를 이해할 수 있도록 하기 위하여 많은 특정의 세부 사항을 설명한다. 그러나 이들 특정의 세부 사항 없이도 청구된 주제를 실시할 수 있다는 것은 명백하다. 경우에 따라서는, 청구된 주제에 대한 설명을 용이하게 하기 위하여 구조체 및 장치를 블록도 형태로 예시하고 있다.
본원 명세서에 있어서는, 하나 이상의 반도체 장치 및 그러한 반도체 장치를 형성하는 하나 이상의 방법이 제공된다. 일부 실시예에 있어서, 반도체 장치는, 멀티게이트 트랜지스터 또는 핀-타입 멀티게이트 트랜지스터 등의 MUGFET 장치를 포함한다. 일부 실시예에 있어서, 반도체 장치는 PFET 또는 NFET 중 적어도 하나를 포함한다.
도 1을 참조하면, 일부 실시예에 따른 반도체 장치(200)를 제조하는 방법(100)의 흐름도가 도시되어 있다. 또한 도 2 내지 도 15b를 참조하면, 도 1의 방법(100) 등과 같이, 일부 실시예에 따른 다양한 제조 단계에서의 반도체 장치(200)를 다양하게 도시하고 있다. 일부 실시예에 있어서, 반도체 장치(200)의 일부는 CMOS 공정 흐름에 의해 제조된다. 일부 실시예에 있어서, 도 1의 방법(100)의 전, 중, 후 중 적어도 하나에 추가의 공정들이 제공된다.
102에서, 도 2에 도시된 바와 같이, 기판(202)이 제공된다. 일부 실시예에 있어서, 기판(202)은 벌크 실리콘 기판이다. 일부 실시예에 따르면, 기판(202)은 웨이퍼 또는 웨이퍼로부터 형성된 다이를 포함한다. 일부 실시예에 있어서, 기판(202)은, 실리콘, 게르마늄, 실리콘 게르마늄, 탄화실리콘, 비화갈륨, 인화갈륨, 인화인듐, 비화인듐 또는 안티몬화인듐 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 기판(202)은 SOI(Silicon-on-insulator) 기판이다. 일부 실시예에 있어서, SOI 기판은, SIMOX(separation by implantation of oxygen) 또는 웨이퍼 접합(wafer bonding) 중 적어도 하나를 이용하여 제조된다.
일부 실시예에 있어서, 기판(202)은 절연체층을 포함한다. 일부 실시예에 있어서, 절연체층은 산화실리콘 또는 사파이어를 포함한다. 일부 실시예에 있어서, 절연체층은 매립 산화물층(BOX; buried oxide layer)이다. 일부 실시예에 있어서, 절연체층은, 주입(예컨대, SIMOX), 산화, 성막 또는 기타 적절한 공정 중 적어도 하나에 의해 형성된다. 일부 실시예에 있어서, 절연체층은 SOI 기판의 구성요소이다.
일부 실시예에 있어서, 기판(202)은 다양한 도핑 영역을 포함한다. 일부 실시예에 있어서, 도핑 영역은, p형 웰 또는 n형 웰 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 도핑 영역은, 붕소 또는 BF2 등의 p형 도펀트나, 인 또는 비소 등의 n형 도펀트 중 적어도 하나로 도핑된다. 일부 실시예에 있어서, 도핑 영역은, P-웰 구조체, N-웰 구조체, 듀얼-웰 구조체 또는 융기 구조체 중 적어도 하나의 형태로 기판(202) 상에 형성된다. 일부 실시예에 있어서, 반도체 기판(202)은 제1 활성 영역 또는 제2 활성 영역 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 제1 활성 영역 또는 제2 활성 영역 중 적어도 하나는, nFET(N-type field-effect transistor) 또는 pFET(P-type field-effect transistor) 중 적어도 하나로서 구성된다. 일부 실시예에 있어서, nFET 또는 pFET 중 적어도 하나는, MOSFET(metal-oxide-semiconductor field-effect transistor)이다.
일부 실시예에 있어서, 제1 핀 구조체(204)와 제2 핀 구조체(205)가 기판(202)으로부터 또는 기판 상에 중 적어도 하나에 형성되어 있다. 일부 실시예에 있어서, 제1 핀 구조체(204)와 제2 핀 구조체(205) 중 적어도 하나는, 성막, 포토리소그래피 또는 에칭 공정 중 적어도 하나에 의해 형성된다. 일부 실시예에 있어서, 포토리소그래피 공정은, 기판 위에 형성되는 레지스트에 패턴을 형성하는 것을 포함한다. 일부 실시예에 있어서, 포토리소그래피 공정 후에, 에칭이 실시되어 패턴화된 레지스트에 의해 덮이지 않은 기판의 영역을 제거하여 핀 구조체를 형성한다. 일부 실시예에 있어서, 에칭 공정은 반응성 이온 에칭(RIE) 공정을 포함한다.
104에서, 도 3에 도시된 바와 같이, 절연 구조체(206)가 형성된다. 일부 실시예에 있어서, 절연 구조체(206)는 기판(202) 상에 형성된다. 일부 실시예에 있어서, 절연 구조체(206)는 제1 핀 구조체(204) 또는 제2 핀 구조체(205) 중 적어도 하나의 사이에 형성된다. 일부 실시예에 있어서, 절연 구조체(206)는 STI(shallow trench isolation) 구조체이다. 일부 실시예에 있어서, 절연 구조체(206)는 성막 공정에 의해 형성된다. 일부 실시예에 있어서, 절연 구조체(206)는 산화실리콘, 질화실리콘, 산질화실리콘 또는 에어 갭 중 적어도 하나를 포함한다.
106에서, 도 4에 도시된 바와 같이, 더미 게이트 구조체(208)가 형성된다. 일부 실시예에 있어서, 더미 게이트 구조체(208)는, 제1 핀 구조체(204), 제2 핀 구조체(205), 절연 구조체(206) 또는 기판(202) 중 적어도 하나의 위에 형성된다. 일부 실시예에 있어서, 더미 게이트 구조체(208)는, 더미 유전체층(212), 제1 더미 폴리층(214) 또는 하드 마스크층(216) 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 더미 게이트 구조체는, 도시하지 않은 제1 측벽 또는 제2 측벽(209) 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 제1 측벽 또는 제2 측벽(209) 중 적어도 하나는, 더미 유전체층(212), 제1 더미 폴리층(214) 또는 하드 마스크층(216) 중 적어도 하나의 측면으로부터 형성된다.
일부 실시예에 있어서, 더미 유전체층(212)은 성막 공정에 의해 형성된다. 일부 실시예에 있어서, 더미 유전체층(212)은 산화물을 포함한다. 일부 실시예에 있어서, 더미 유전체층(212)은, 산화실리콘, 질화실리콘, 산질화실리콘, HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 산화지르코늄, 산화알루미늄 또는 이산화하프늄-알루미나(HfO2-Al2O3) 합금 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 더미 유전체층(212)은 약 0.5 nm 내지 약 5 nm의 높이를 갖는다.
일부 실시예에 있어서, 제1 더미 폴리층(214)은 더미 유전체층(212) 위에 형성된다. 일부 실시예에 있어서, 제1 더미 폴리층(214)은 성막 공정에 의해 형성된다. 일부 실시예에 있어서, 제1 더미 폴리층(214)은 폴리실리콘을 포함한다. 일부 실시예에 있어서, 제1 더미 폴리층(214)은 높이(250)를 갖는다. 일부 실시예에 있어서, 높이(250)는 약 20 nm 내지 약 100 nm이다.
일부 실시예에 있어서, 하드 마스크층(216)은 제1 더미 폴리층(214) 위에 형성된다. 일부 실시예에 있어서, 하드 마스크층(216)은 성막 공정에 의해 형성된다. 일부 실시예에 있어서, 하드 마스크층(216)은, 질화실리콘, 산질화실리콘 또는 탄화실리콘 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 하드 마스크층(216)은, 약 1 nm 내지 약 20 nm 범위의 높이를 갖는다.
일부 실시예에 있어서, 더미 게이트 구조체(208)는 임의의 적절한 공정(들)에 의해 형성된다. 일부 실시예에 있어서, 더미 게이트 구조체(208)는 성막, 포토리소그래피 패터닝 또는 에칭 공정 중 적어도 하나에 의해 형성된다. 일부 실시예에 있어서, 성막 공정은, 화학적 증착(CVD), 물리적 증착(PVD), 원자층 증착(ALD), 고밀도 플라즈마 CVD(HDPCVD), 유기금속 CVD(MOCVD), 원격 플라즈마 CVD(RPCVD), 플라즈마 지원 CVD(PECVD) 또는 도금 공정 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 포토리소그래피 패터닝 공정은, 포토레지스트 코팅, 소프트 베이킹, 마스크 얼라이닝, 노광, 노광후 베이킹, 포토레지스트의 현상, 린스 세정 또는 건조 공정 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 포토리소그래피 노광 공정은, 마스크리스 포토리소그래피, 전자빔 묘화 및 이온빔 묘화 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 에칭 공정은, 건식 에칭, 습식 에칭 또는 반응성 이온 에칭 중 적어도 하나를 포함한다.
108에서, 도 5에 도시된 바와 같이, 반도체 장치(200)의 기존의 부분의 노광된 표면 위에 게이트 스페이서 재료(221)가 형성된다. 일부 실시예에 있어서, 게이트 스페이서 재료(221)는 성막된다. 일부 실시예에 있어서, 게이트 스페이서 재료(221)는 유전체 재료를 포함한다. 일부 실시예에 있어서, 유전체 재료는 질화실리콘, 탄화실리콘 또는 탄질화실리콘 중 적어도 하나를 포함한다.
110에서, 도 6에 도시된 바와 같이, 핀 리세스(310)가 실시된다. 일부 실시예에 있어서, 제1 핀 구조체(204) 또는 제2 핀 구조체(205) 중 적어도 하나는 적어도 부분적으로 제거된다. 일부 실시예에 있어서, 게이트 스페이서 재료(221)의 적어도 일부는, 제1 측벽에 인접한 제1 게이트 스페이서(218) 또는 제2 측벽(209)에 인접한 제2 게이트 스페이서(220) 중 적어도 하나를 남기고 적어도 부분적으로 제거된다. 일부 실시예에 있어서, 제1 핀 구조체(204) 또는 제2 핀 구조체(205) 중 하나의 적어도 일부는 게이트 구조체(208) 아래에 남아 채널 영역(268)을 형성한다. 일부 실시예에 있어서, 핀 리세스(310)는, 반도체 장치(200) 위에 포토레지스트 층을 형성하는 것과, 제1 핀 구조체(204) 또는 제2 핀 구조체(205) 중 적어도 하나의 활성 영역을 노출시키는 개구를 갖도록 포토레지스트 층을 패터닝하는 것과, 제1 핀 구조체(204) 또는 제2 핀 구조체(205) 중 적어도 하나를 리세스하도록 재료를 에칭백(etching back)하는 것을 포함한다. 일부 실시예에 있어서, 에칭은, 건식 에칭, 습식 에칭 또는 반응성 이온 에칭 중 적어도 하나를 포함한다.
112에서, 도 7에 도시하는 바와 같이, 소스/드레인(S/D) 영역(222a, 222b, 223a, 223b)(도시 생략)이 형성된다. 일부 실시예에 있어서, 영역(222a, 223a)은 소스 영역이고, 영역(222b, 223b)은 드레인 영역이다. 일부 실시예에 있어서, 영역(222a, 223a)은 드레인 영역이고, 영역(222b, 223b)은 소스 영역이다. 일부 실시예에 있어서, 채널 영역(268)이 소스 영역과 드레인 영역 사이에 배치된다. 일부 실시예에 있어서, S/D 영역은 에피택셜(epi) 성장에 의해 형성된다. 일부 실시예에 있어서, epi 성장은, 기상 에피택시(VPE), 초고진공 CVD(CHV-CVD) 또는 분자빔 에피택시 중 적어도 하나를 포함한다. 일부 실시예에 있어서, S/D 영역 중 적어도 일부는 현장에서 도핑된다. 일부 실시예에 있어서, 현장 도핑에 사용되는 도펀트는 p형 도펀트 또는 n형 도펀트 중 적어도 하나를 포함한다. 일부 실시예에 있어서, p형 도펀트는 붕소 또는 BF2 중 적어도 하나를 포함한다. 일부 실시예에 있어서, n형 도펀트는 인 또는 비소 중 적어도 하나를 포함한다.
114에서, 도 8에 도시된 바와 같이, CESL(contact etch stop layer; 226)이 형성된다. 일부 실시예에 있어서, CESL(226)은 공정 320에 의해 형성된다. 일부 실시예에 있어서, 공정(320)은, PECVD, SACVD(sub atmospheric chemical vapor deposition), LPCVD(low pressure chemical vapor deposition), ALD, HDPCVD, PEALD(plasma enhanced atomic layer deposition), MLD(molecular layer deposition) 또는 PICVD(plasma impulse chemical vapor deposition) 중 적어도 하나를 포함한다. 일부 실시예에 있어서, CESL은 S/D 영역(222a, 222b, 223a, 223b), 게이트 구조체(208), 제1 게이트 스페이서(218), 제2 게이트 스페이서(220) 또는 절연 구조체(206) 중 적어도 하나의 위에 형성된다. 일부 실시예에 있어서, CESL(226)은 유전체 재료를 포함한다. 일부 실시예에 있어서, CESL(226)은 SiNx, SiOx, SiON, SiC, SiCN, BN, SiBN 또는 SiCBN 중 적어도 하나를 포함한다. 일부 실시예에 있어서, CESL(226)의 두께는 약 10 nm 내지 약 100 nm이다.
116에서, 도 9에 도시된 바와 같이, ILD층(230)이 형성된다. 일부 실시예에 있어서, ILD층(230)은 공정(330)에 의해 형성된다. 일부 실시예에 있어서, 공정(330)은, FCVD(flowed chemical vapor deposition)를 포함한다. 일부 실시예에 있어서, ILD층(230)은, 기판(202) 또는 CESL(226) 중 적어도 하나의 위에 형성된다. 일부 실시예에 있어서, ILD층(230)은 산화물을 포함한다. 일부 실시예에 있어서, ILD층(230)은, 산화실리콘, 산질화실리콘, 탄화실리콘 또는 기타 적절한 로우-k 재료 중 적어도 하나를 포함한다. 일부 실시예에 있어서, ILD층(230)은 nFET 소자와 인접 pFET 소자 사이의 갭을 메운다. 일부 실시예에 있어서, 도 10에 도시된 바와 같이, 반도체 장치(200)에 공정(340)이 실시되어 ILD층(230)을 평탄화한다. 일부 실시예에 있어서, 공정(340)은, CMP(chemical mechanical polishing) 공정을 포함한다. 일부 실시예에 있어서, 공정(340)은 더미 게이트 구조체(208)를 노출시킨다. 일부 실시예에 있어서, 공정(340)은 하드 마스크층(216)을 제거하여, 제1 더미 폴리층(214)의 상면을 노출시킨다.
118에서, 도 11a 및 도 11b에 도시된 바와 같이 주입(350)이 실시된다. 일부 실시예에 있어서, 주입(350)은 도펀트를 주입한다. 일부 실시예에 있어서, 도펀트는, Si, Ge, Ar, F, N, Xe, BF2 또는 As 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 도펀트는, ILD층(230) 또는 제1 더미 폴리층(214) 중 적어도 하나에 주입된다. 일부 실시예에 있어서, 주입(350)은 주입 ILD층(240) 또는 주입 더미 폴리층(242) 중 적어도 하나를 형성한다. 일부 실시예에 있어서, 주입 더미 폴리층(242)은, 적어도 부분적으로 비정질이다. 일부 실시예에 있어서, 주입 더미 폴리층(242)은 제1 더미 폴리층(214) 위에 있다. 일부 실시예에 있어서, 주입 ILD층(240)은 ILD층(230) 위에 있다. 일부 실시예에 있어서, 주입 ILD층(240) 또는 주입 더미 폴리층(242) 중 적어도 하나는 깊이(252)를 갖는다. 일부 실시예에 있어서, 깊이(252)는 약 5 nm 내지 약 50 nm이다. 일부 실시예에 있어서, 깊이(252)는, 주입 에너지, 주입 각도, 주입량 또는 도입된 도펀트 중 적어도 하나에 의해 제어된다. 일부 실시예에 있어서, 주입량은 약 1E14 cm2 내지 약 1E16 cm2 이다.
도 11b, 도 12b 및 도 15b는, 평면(258)을 따른 반도체 장치(200)의 다양한 단면도를 제공한다. 일부 실시예에 있어서, 반도체 장치(200)는 nFET 소자(244) 및 pFET 소자(246)를 포함한다. 일부 실시예에 있어서, nFET 소자(244)와 pFET 소자(246)는, 상이한 타입의 도펀트로 도핑된 S/D 영역을 갖는 것을 제외하고는 실질적으로 동일하다. 일부 실시예에 있어서, 방법(100)은 nFET 소자(244) 및 pFET 소자(246)에 동시에 실행된다.
120에서, 도 12a 및 도 12b에 도시된 바와 같이, 어닐(360)이 실시된다. 일부 실시예에 있어서, 어닐(360)은, RTA(rapid thermal anneal), DSA(dynamic spike anneal), LSA(laser spike anneal), 플래시 어닐(flash anneal), SSA(sub-second anneal) 또는 uSSA(ultra sub-second anneal) 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 어닐(360)은 약 700oC 내지 약 1300oC의 온도로 실행된다. 일부 실시예에 있어서, 어닐(360)은, 주입된 도펀트를 주입 ILD층(240) 또는 주입 더미 폴리층(242) 중 적어도 하나 내로 밀어 넣는다. 일부 실시예에 있어서, 어닐(360)은, 주입 ILD층(240) 또는 주입 더미 폴리층(242)으로부터 각각, ILD 응력층(260) 또는 제2 더미 폴리층(262) 중 적어도 하나를 형성한다. 일부 실시예에 있어서, ILD 응력층(260)은 ILD층(230) 위에 있다. 일부 실시예에 있어서, 제2 더미 폴리층(262)은 제1 더미 폴리층(214) 위에 있다. 일부 실시예에 있어서, ILD 응력층(260) 또는 제2 더미 폴리층(262) 중 적어도 하나는, Si, Ge, Ar, F, N, Xe, BF2 또는 As 중 적어도 하나를 포함한다.
일부 실시예에 있어서, 어닐(360)은, 도 12b에 도시된 바와 같이, 제1 응력 기억 영역(264) 또는 제2 응력 기억 영역(266) 중 적어도 하나를 형성한다. 일부 실시예에 있어서, 제1 응력 기억 영역(264)은, 제1 게이트 스페이서(218), 제2 게이트 스페이서(220), CESL(226) 또는 더미 게이트 구조체(208) 중 적어도 하나를 팽창 및 압축시키는 ILD 응력층(260)에 의해 형성된다. 일부 실시예에 있어서, ILD 응력층(260)의 팽창에 의해, 더미 게이트 구조체(208)의 상하 방향으로 압축 변형이 가해진다. 일부 실시예에 있어서, 상하 방향의 압축 변형은, NFET 소자(246)에 있어서의 전자 이동성을 향상시킨다. 일부 실시예에 있어서, 제2 응력 기억 영역(266)은, 채널 영역(268)에서의 압축 변형에 의해 형성된다. 일부 실시예에 있어서, 채널 영역(268)에서의 압축 변형은, 제1 폴리층(214)과 제2 폴리층(262) 사이의 입경의 차이로 인하여 발생한다. 일부 실시예에 있어서, 입경의 차이는, 주입 더미 폴리층(242)의 비정질 부분을 재결정화하는 어닐(360)에 의해 야기된다. 일부 실시예에 있어서, 제2 더미 폴리층(262)은, 제1 더미 폴리층(214)의 제1 입경보다 큰 제2 입경을 갖는다. 일부 실시예에 있어서, 채널 영역(268)에서의 압축 변형에 의해, PFET 소자(246)의 홀 이동성이 개선된다.
122에서, 대체 게이트 공정이 실시된다. 일부 실시예에 있어서, 도 13에 도시된 바와 같이, 더미 게이트 구조체(208)가 제거된다. 일부 실시예에 있어서, 더미 게이트 구조체(208)는 공정(370)에 의해 제거된다. 일부 실시예에 있어서, 공정(370)은, 건식 에칭, 습식 에칭, 또는 반응성 이온 에칭 공정 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 더미 게이트 구조체(208)의 제거에 의해 개구(272)가 형성된다.
일부 실시예에 있어서, 도 14에 도시된 바와 같이, 개구(272)에 게이트 구조체(274)가 형성된다. 일부 실시예에 있어서, 게이트 구조체(274)는, 계면층(276), 게이트 유전체층(278) 또는 게이트 전극층(280) 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 게이트 구조체(274)는, 제1 측벽, 제2 측벽 또는 상부 부분 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 상부 부분은 높이(255)를 갖는다. 일부 실시예에 있어서, 높이(255)는 약 5 nm 내지 약 40 nm이다.
일부 실시예에 있어서, 계면층(276)은 기판(202) 위에 형성된다. 일부 실시예에 있어서, 계면층(276)은, 산화실리콘 또는 산질화실리콘 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 계면층(276)은 게이트 구조체(276)와 기판(202) 사이의 손상을 방지한다.
일부 실시예에 있어서, 게이트 유전체층(278)은 계면층(276) 위에 형성된다. 일부 실시예에 있어서, 게이트 유전체층(278)은, 산화실리콘, 질화실리콘, 산질화실리콘 또는 하이-k 유전체 재료 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 하이-k 유전체 재료는, HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 산화지르코늄, 산화알루미늄 또는 이산화하프늄-알루미나(HfO2-Al2O3) 합금 중 적어도 하나를 포함한다.
일부 실시예에 있어서, 도 14에 도시된 바와 같이, 게이트 전극층(280)은, 게이트 유전체층(278) 위에 형성된다. 일부 실시예에 있어서, 게이트 전극층(280)은 금속을 포함한다. 일부 실시예에 있어서, 게이트 전극층(280)은, 폴리실리콘, 알루미늄, 구리, 티타늄, 탄탈륨, 텅스텐, 몰리브덴, 질화탄탈, 니켈 실리사이드, 코발트 실리사이드, TiN, WN, TiAl, TiAlN, TaCN, TaC 또는 TaSiN 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 게이트 전극층(280)은 일함수 금속층(work function metal layer)을 포함한다. 일부 실시예에 있어서, 게이트 전극층(280)은 개구(272)를 채운다. 일부 실시예에 있어서, 도 15a 및 도 15b에 도시된 바와 같이, 공정(380)이 실시되어 ILD 응력층(260) 또는 게이트 전극층(280) 중 적어도 하나의 상부 부분을 평탄화한다. 일부 실시예에 있어서, 공정(380)은 CMP 공정이다. 일부 실시예에 있어서, 게이트 구조체(274)의 형성을 통하여 제1 응력 기억 영역(264) 또는 제2 응력 기억 영역(266) 중 적어도 하나가 유지된다.
일부 실시예에 있어서, 게이트 구조체(274)는, 임의의 적절한 공정(들)에 의해 형성된다. 일부 실시예에 있어서, 게이트 구조체(274)는, 성막, 포토리소그래피 패터닝 또는 에칭 공정 중 적어도 하나에 의해 형성된다. 일부 실시예에 있어서, 성막 공정은, CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD 또는 도금 공정 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 포토리소그래피 패터닝 공정은 포토레지스트 코팅, 소프트 베이킹, 마스크 얼라이닝, 노광, 노광후 베이킹, 포토레지스트의 현상, 린스 세정 또는 건조 공정 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 포토리소그래피 노광 공정은, 마스크리스 포토리소그래피, 전자빔 묘화 및 이온빔 묘화 중 적어도 하나를 포함한다. 일부 실시예에 있어서, 에칭 공정은, 건식 에칭, 습식 에칭 또는 반응성 이온 에칭 중 적어도 하나를 포함한다.
일부 실시예에 있어서, 반도체 장치(200)는, 구체적으로 예시하고 있지 않은 다른 층 또는 특징부를 포함한다. 일부 실시예에 있어서, 반도체 장치(200)에 다른 BEOL(back end of line) 공정이 실시된다. 일부 실시예에 있어서, 방법(100)은, 비대체 금속 게이트 공정 또는 게이트-퍼스트 공정으로서 실행된다.
본 발명의 일부 양태에 따르면, 반도체 장치가 제공된다. 반도체 장치는, 소스 영역과 드레인 영역 사이에 배치된 채널 영역과, 채널 영역 위의 게이트 구조체, 게이트 구조체에 근접한 층간 유전체(ILD)층, 그리고 게이트 구조체의 상부 부분에 인접하고 ILD층 위에 있는 ILD 응력층을 포함한다. 게이트 구조체는 제1 측벽, 제2 측벽 및 상부 부분을 포함한다.
본 발명의 일부 양태에 따르면, 반도체 장치가 제공된다. 반도체 장치는, 소스 영역과 드레인 영역 사이에 배치된 채널 영역과, 채널 영역 위의 게이트 구조체, 게이트 구조체에 근접한 층간 유전체(ILD)층, 게이트 구조체의 상부 부분에 인접하고 ILD층 위에 있는 ILD 응력층, 그리고 제1 응력 기억 영역을 포함한다. 게이트 구조체는 제1 측벽, 제2 측벽 및 상부 부분을 포함한다. 제1 응력 기억 영역은, 게이트 구조체의 상부 부분에 인접하여 있다.
본 발명의 일부 양태에 따르면, 반도체 장치의 형성 방법이 제공된다. 이 방법은, 기판 위에 더미 게이트 구조체를 형성하는 공정과, 제1 측벽에 인접하여 제1 게이트 스페이서를 형성하는 공정과, 제2 측벽에 인접하여 제2 게이트 스페이서를 형성하는 공정과, 기판 위에 층간 유전체(ILD)층을 형성하는 공정과, ILD층 또는 폴리층 중 적어도 하나에 주입을 실시하는 공정과, 어닐을 실시하여, ILD층 위의 ILD 응력층 또는 제1 더미 폴리층 위의 제2 더미 폴리층 중 적어도 하나를 형성하는 공정을 포함한다. 제2 더미 폴리층은, 제1 더미 폴리층의 제1 입경과 상이한 제2 입경을 갖는다. 더미 게이트 구조체는, 제1 측벽, 제2 측벽, 제1 측벽과 제2 측벽 사이의 제1 더미 폴리층을 구비한다.
본 발명의 주제를, 구조적 특징들 또는 방법론적 동작들에 특유한 언어로 기술하였지만, 첨부된 청구항들의 주제가, 위에서 설명된 이러한 특정한 특징들 또는 동작들로 반드시 제한되는 것은 아니라는 것을 이해하여야 한다. 오히려, 위에서 설명된 특정한 특징들 및 동작들은 청구항들 중 적어도 몇몇을 구현하는 예시 형태들로서 개시된 것이다.
본원 명세서에서는, 실시예들의 다양한 동작들이 제공된다. 이들 동작의 일부 또는 전부를 설명하는 순서가, 이들 동작이 반드시 순서 의존적이어야 하는 것을 나타내는 것으로 해석되어서는 안된다. 본 설명에 기초하여 대안적인 순서를 이해할 수 있을 것이다. 또한, 본원 명세서에서 제공된 각각의 실시예에서 모든 동작들이 반드시 제공되는 것은 아니라는 점을 이해할 것이다. 또한, 일부 실시예에서는, 모든 동작들이 필요한 것은 아니라는 것을 이해할 것이다.
또한, 달리 규정하지 않는 한, "제1", "제2" 등은 시간적 양태, 공간적 양태, 순서 등을 암시하는 것으로 의도되지 않는다. 오히려, 이들 용어는 단순히 특징들(features), 엘리먼트들, 아이템들 등을 위한 식별자, 명칭 등으로서 이용되고 있다. 예를 들어, "제1 채널 및 제2 채널"은 일반적으로 "채널 A 및 채널 B"에 대응하거나, 두 개의 상이한 채널, 두 개의 동일한 채널들 또는 동일한 채널이다.
본원 명세서에서 도시한 층들, 특징들 및 엘리먼트들은, 단순함 및 이해의 용이성을 목적으로 구조적 치수 또는 방위 등의 서로에 대한 특정한 치수로 도시되었다는 것과, 이들의 실제 치수는, 일부 실시예에서는, 본원 명세서에서 예시한 것과는 실질적으로 다를 수 있다는 것을 또한 이해할 것이다. 추가적으로, 본원 명세서에서 언급한 층들, 영역들, 피처들 및 엘리먼트들 등을 형성하기 위해, 예컨대 주입 기술들, 도핑 기술들, 스핀-온 기술들, 스퍼터링 기술들, 열적 성장과 같은 성장 기술들, 또는 화학적 기상 증착(CVD)과 같은 성막 기술들 등의 다양한 기술들이 존재한다.
또한, 본원 명세서에서 이용되고 있는 "예시적인(exemplary)"은, 예로서, 일례로서, 또는 예증 등으로서 기능하는 것을 의미하며, 반드시 유리하다라는 것을 의미하는 것은 아니다. 본원에서 이용되고 있는 "또는"은, 배타적인 "또는"이라기 보다는 포괄적인 "또는"을 의미하는 것으로 의도된다. 또한, 본원에서 이용되고 있는 "들"이라는 표현이 없는 것은, 직접적으로 단수 형태로 명세서에 명확하게 언급하고 있지 않는 한은, 일반적으로 "하나 이상" 을 의미하는 것으로 해석되어야 한다. 또한, A와 B 중 적어도 하나 등은 일반적으로 A 또는 B, 또는 A와 B 모두를 의미한다. 또한, "구비한다", "갖고 있는", "갖는", "함께", 또는 이들의 변형 형태들이 상세한 설명 또는 청구항들에서 이용되고 있는 경우, 이들 용어는 용어 "포함한다(comprising)"와 마찬가지 방식으로 포괄적인 의미를 나타내는 것으로 의도된다.
또한, 본 발명은, 하나 이상의 실시예와 관련하여 도시되고 설명되었지만, 당업자는, 본 명세서와 첨부된 도면들의 판독 및 이해에 기초하여 등가적인 변형예 및 수정예를 알 수 있을 것이다. 본 발명은, 이들 모든 변형예 및 수정예를 포함하며 이하의 청구항들의 범위에 의해서만 제한된다. 특히 위에 설명한 컴포넌트들(예컨대, 엘리먼트들, 리소스들 등)에 의해 수행된 다양한 기능들과 관련해서, 이들 컴포넌트들을 설명하기 위해 이용된 용어들은, 개시된 구조와 구조적으로 등가적이지 않더라도, 달리 표시되지 않는 한, 설명된 컴포넌트의 명시된(즉, 기능적으로 등가적인) 기능을 수행하는 임의의 컴포넌트에 대응하도록 의도된다. 또한, 본 발명의 특별한 특징은 여러 양태들 중 단 하나와 관련하여 개시되었을 수도 있지만, 이러한 특징은 임의의 주어진 또는 특정 용례에서 희망하고 유리한 바에 따라 다른 양태들의 하나 이상의 다른 특징들과 결합될 수도 있다.

Claims (10)

  1. 반도체 장치로서,
    소스 영역과 드레인 영역 사이에 배치된 채널 영역;
    상기 채널 영역 위에 있고, 제1 측벽, 제2 측벽 및 상부 부분을 갖는 게이트 구조체;
    상기 게이트 구조체에 근접한 층간 유전체(ILD)층; 및
    상기 게이트 구조체의 상부 부분에 근접하고 상기 ILD층 위에 있는 ILD 응력층
    을 구비하는 반도체 장치.
  2. 제1항에 있어서,
    상기 게이트 구조체의 상부 부분에 근접한 제1 응력 기억 영역 또는 상기 채널 영역 내의 제2 응력 기억 영역 중 적어도 하나를 구비하는 반도체 장치.
  3. 제1항에 있어서,
    상기 제1 측벽에 인접한 제1 게이트 스페이서와,
    상기 제2 측벽에 인접한 제2 게이트 스페이서
    를 구비하는 반도체 장치.
  4. 제1항에 있어서, 상기 소스 영역, 상기 드레인 영역, 상기 제1 측벽 또는 제2 측벽 중 적어도 하나와, 상기 ILD층 또는 상기 ILD 응력층 중 적어도 하나의 사이에 CESL(contact etch stop layer)를 구비하는 반도체 장치.
  5. 제1항에 있어서, 상기 반도체 장치는 MUGFET인 것인 반도체 장치.
  6. 반도체 장치로서,
    소스 영역과 드레인 영역 사이에 배치된 채널 영역;
    상기 채널 영역 위에 있고, 제1 측벽, 제2 측벽 및 상부 부분을 갖는 게이트 구조체;
    상기 게이트 구조체에 근접한 층간 유전체(ILD)층;
    상기 게이트 구조체의 상부 부분에 근접하고 상기 ILD층 위에 있는 ILD 응력층; 및
    상기 게이트 구조체의 상부 부분에 근접한 제1 응력 기억 영역
    을 구비하는 반도체 장치.
  7. 제1항 또는 제6항에 있어서, 상기 ILD 응력층은 Si, Ge, Ar, Xe, N, F, BF2 또는 As 중 적어도 하나를 포함하는 것인 반도체 장치.
  8. 제1항 또는 제6항에 있어서, 상기 ILD 응력층의 두께는 5 nm 내지 40 nm인 것인 반도체 장치.
  9. 반도체 장치의 제조 방법으로서,
    제1 측벽, 제2 측벽, 그리고 제1 측벽과 제2 측벽 사이의 제1 더미 폴리층을 갖는 더미 게이트 구조체를 기판 위에 형성하는 공정;
    상기 제1 측벽에 인접하게 제1 게이트 스페이서를 형성하는 공정;
    상기 제2 측벽에 인접하게 제2 게이트 스페이서를 형성하는 공정;
    상기 기판 위에 층간 유전체(ILD)층을 형성하는 공정;
    상기 ILD층 또는 상기 제1 더미 폴리층 중 적어도 하나에 주입을 실시하는 공정; 및
    어닐을 실시하여, 상기 ILD층 위의 ILD 응력층 또는 상기 제1 더미 폴리층 위의 제2 더미 폴리층 중 적어도 하나를 형성하는 공정을 포함하며,
    상기 제2 더미 폴리층은, 상기 제1 더미 폴리층의 제1 입경(grain size)과 상이한 제2 입경을 갖는 것인 반도체 장치의 제조 방법.
  10. 제9항에 있어서,
    상기 더미 게이트 구조체를 제거하여 개구를 형성하는 공정;
    상기 개구 내에 게이트 스택을 형성하는 공정; 및
    상기 게이트 스택 위에 금속 게이트를 형성하는 공정
    을 포함하는 반도체 장치의 제조 방법.
KR1020140167775A 2013-11-27 2014-11-27 반도체 장치 및 그 제조 방법 KR101630080B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/091,741 US9252271B2 (en) 2013-11-27 2013-11-27 Semiconductor device and method of making
US14/091,741 2013-11-27

Publications (2)

Publication Number Publication Date
KR20150061607A true KR20150061607A (ko) 2015-06-04
KR101630080B1 KR101630080B1 (ko) 2016-06-13

Family

ID=53181932

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140167775A KR101630080B1 (ko) 2013-11-27 2014-11-27 반도체 장치 및 그 제조 방법

Country Status (3)

Country Link
US (2) US9252271B2 (ko)
KR (1) KR101630080B1 (ko)
CN (1) CN104681616B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170021637A (ko) * 2015-08-18 2017-02-28 삼성전자주식회사 반도체 장치
KR20180061026A (ko) * 2016-11-29 2018-06-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 장치 및 제조 방법
KR20200020631A (ko) * 2018-08-16 2020-02-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 방법
US11961838B2 (en) 2017-11-30 2024-04-16 Intel Corporation Fin end plug structures for advanced integrated circuit structure fabrication

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102219295B1 (ko) * 2014-07-25 2021-02-23 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
FR3029011B1 (fr) * 2014-11-25 2018-04-13 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede ameliore de mise en contrainte d'une zone de canal de transistor
US9553172B2 (en) 2015-02-11 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US9577102B1 (en) * 2015-09-25 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming gate and finFET
KR20170047953A (ko) * 2015-10-26 2017-05-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN106803484B (zh) * 2015-11-26 2021-08-10 联华电子股份有限公司 半导体元件及其制作方法
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US10644153B2 (en) * 2016-02-25 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US9786546B1 (en) * 2016-04-06 2017-10-10 International Business Machines Corporation Bulk to silicon on insulator device
US9679985B1 (en) * 2016-06-20 2017-06-13 Globalfoundries Inc. Devices and methods of improving device performance through gate cut last process
US10128237B2 (en) 2016-06-24 2018-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of gate replacement in semiconductor devices
US10700181B2 (en) * 2016-11-28 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure and method for forming the same
US10020401B2 (en) * 2016-11-29 2018-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for straining a transistor gate through interlayer dielectric (ILD) doping schemes
CN108122974B (zh) * 2016-11-29 2020-07-07 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
CN108573927B (zh) * 2017-03-07 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10522680B2 (en) 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
DE102018101511B4 (de) * 2017-09-28 2021-03-18 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Halbleiterverarbeitung zum Bilden einer differenziellen Ätzstoppschicht
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10643892B2 (en) * 2018-05-31 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Metal loss prevention using implantation
KR102414957B1 (ko) 2018-06-15 2022-06-29 삼성전자주식회사 반도체 장치의 제조 방법
US10868137B2 (en) * 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US12089411B2 (en) * 2020-06-23 2024-09-10 Intel Corporation Self-aligned front-end charge trap flash memory cell and capacitor design for integrated high-density scaled devices
CN113506732A (zh) * 2021-06-21 2021-10-15 上海华力集成电路制造有限公司 一种减小FinFET器件伪栅极切断效应的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080150033A1 (en) * 2006-12-22 2008-06-26 International Business Machines Corporation Scalable strained fet device and method of fabricating the same
US20120248511A1 (en) * 2011-04-01 2012-10-04 Ted Ming-Lang Guo Semiconductor structure and method for slimming spacer
US20130200455A1 (en) * 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5209196B2 (ja) * 2005-11-07 2013-06-12 三星電子株式会社 半導体装置の製造方法
US7341902B2 (en) * 2006-04-21 2008-03-11 International Business Machines Corporation Finfet/trigate stress-memorization method
US7714358B2 (en) * 2007-02-08 2010-05-11 International Business Machines Corporation Semiconductor structure and method of forming the structure
DE102007041210B4 (de) * 2007-08-31 2012-02-02 Advanced Micro Devices, Inc. Verfahren zur Verspannungsübertragung in einem Zwischenschichtdielektrikum durch Vorsehen einer verspannten dielektrischen Schicht über einem verspannungsneutralen dielektrischen Material in einem Halbleiterbauelement und entsprechendes Halbleiterbauelement
DE102009046245B4 (de) * 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US20120070948A1 (en) * 2010-09-16 2012-03-22 United Microelectronics Corp. Adjusting method of channel stress
US8987099B2 (en) 2011-12-20 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for thermal treatment with epitaxial SiCP thermal stability improvement
US9287179B2 (en) 2012-01-19 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Composite dummy gate with conformal polysilicon layer for FinFET device
US8697523B2 (en) * 2012-02-06 2014-04-15 International Business Machines Corporation Integration of SMT in replacement gate FINFET process flow
US20130237026A1 (en) * 2012-03-09 2013-09-12 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Finfet device having a strained region

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080150033A1 (en) * 2006-12-22 2008-06-26 International Business Machines Corporation Scalable strained fet device and method of fabricating the same
US20120248511A1 (en) * 2011-04-01 2012-10-04 Ted Ming-Lang Guo Semiconductor structure and method for slimming spacer
US20130200455A1 (en) * 2012-02-08 2013-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dislocation smt for finfet device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170021637A (ko) * 2015-08-18 2017-02-28 삼성전자주식회사 반도체 장치
KR20180061026A (ko) * 2016-11-29 2018-06-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 장치 및 제조 방법
US10460995B2 (en) 2016-11-29 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
US11043427B2 (en) 2016-11-29 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
US11961838B2 (en) 2017-11-30 2024-04-16 Intel Corporation Fin end plug structures for advanced integrated circuit structure fabrication
KR20200020631A (ko) * 2018-08-16 2020-02-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 방법
US11024550B2 (en) 2018-08-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11908750B2 (en) 2018-08-16 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
CN104681616A (zh) 2015-06-03
US9653581B2 (en) 2017-05-16
CN104681616B (zh) 2017-11-03
US20160141394A1 (en) 2016-05-19
US20150145066A1 (en) 2015-05-28
KR101630080B1 (ko) 2016-06-13
US9252271B2 (en) 2016-02-02

Similar Documents

Publication Publication Date Title
KR101630080B1 (ko) 반도체 장치 및 그 제조 방법
US11532730B2 (en) Method of forming a FinFET device by implantation through capping layer
US10916546B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
US10153344B2 (en) Formation of dislocations in source and drain regions of FinFET devices
US9768076B2 (en) Semiconductor device and method of forming the same
KR101447315B1 (ko) 복수의 배리어 층을 구비한 금속 게이트 디바이스를 제공하는 기술
US8900960B2 (en) Integrated circuit device with well controlled surface proximity and method of manufacturing same
US8999794B2 (en) Self-aligned source and drain structures and method of manufacturing same
US8614127B1 (en) Method of making a FinFET device
US8754477B2 (en) Semiconductor device with multiple stress structures and method of forming the same
US8659089B2 (en) Nitrogen passivation of source and drain recesses
US20150221751A1 (en) Method of making a finfet device
US9748390B2 (en) Semiconductor device and method of forming the same
US11804546B2 (en) Structure and method for integrated circuit
US10163724B2 (en) Integrated circuit device and method of manufacturing same
US9337194B2 (en) Semiconductor device and method of forming the same
US20140138763A1 (en) Semiconductor Integrated Device with Channel Region

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190529

Year of fee payment: 4