US20120248511A1 - Semiconductor structure and method for slimming spacer - Google Patents

Semiconductor structure and method for slimming spacer Download PDF

Info

Publication number
US20120248511A1
US20120248511A1 US13/078,001 US201113078001A US2012248511A1 US 20120248511 A1 US20120248511 A1 US 20120248511A1 US 201113078001 A US201113078001 A US 201113078001A US 2012248511 A1 US2012248511 A1 US 2012248511A1
Authority
US
United States
Prior art keywords
spacer
gate
substrate
height
outer spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/078,001
Other versions
US8502288B2 (en
Inventor
Ted Ming-Lang Guo
Chin-Cheng Chien
Shu-Yen Chan
Ling-Chun Chou
Tsung-Hung Chang
Chun-Yuan Wu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US13/078,001 priority Critical patent/US8502288B2/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHAN, SHU-YEN, CHANG, TSUNG-HUNG, CHIEN, CHIN-CHENG, CHOU, LING-CHUN, GUO, TED MING-LANG, WU, CHUN-YUAN
Publication of US20120248511A1 publication Critical patent/US20120248511A1/en
Priority to US13/928,366 priority patent/US8841193B2/en
Application granted granted Critical
Publication of US8502288B2 publication Critical patent/US8502288B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Definitions

  • the present invention generally relates to a semiconductor structure with a slimmed spacer and the method for making the semiconductor structure with the slimmed spacer.
  • the present invention is directed to a semiconductor structure with a slimmed spacer and the method for making the semiconductor structure with the slimmed spacer.
  • the scale of the gate, the source and the drain of a transistor decreases in accordance with the decrease in critical dimension (CD).
  • CD critical dimension
  • increasing carrier mobility in order to boost up a MOS transistor is an important topic in the field of current semiconductor techniques.
  • a mechanical stress on purpose in the channel to increase the carrier mobility.
  • a silicon germanium (SiGe) channel layer is epitaxically formed on the Si substrate to construct a compressive strained channel to substantially increase the hole mobility.
  • a silicon channel is epitaxically formed on the germanium (SiGe) layer to construct a tensile strained channel to substantially increase the electron mobility.
  • one of the most popular and well-known methods is to form a corresponding stress therein when a shallow trench isolation, a source, a drain, or a contact etch stop layer (CESL) is formed.
  • the contact etch stop layer (CESL) with a stress turns into a stress layer to provide a gate channel with a compression stress or a tensile stress in order to modify the carrier mobility.
  • a compression stress is constructed in order to modify the carrier mobility.
  • the stress which is created by the above-mentioned techniques seems to be not enough any more.
  • a pair of protective and self-aligning spacers is formed to surround the elements of the semiconductor devices, such as the gates.
  • the spacers are formed, some undesirable side effects sometimes occur.
  • the pitch between elements becomes too small to maintain a proper space to accommodate the stress so that the stress layer on the spacers of the adjacent elements merge and the stress in the stress layer cannot be properly delivered to the gate channel.
  • the performance of the elements may deteriorate or fail, too.
  • the present invention proposes a novel semiconductor device with a slimmed spacer to create a novel semiconductor structure to effectively direct the stress from the stress layer to the gate channel, and a method for making the semiconductor structure with the slimmed spacer in order to direct the stress from the stress layer to the gate channel as much as possible without the substantial adverse influence of the spacer.
  • the present invention in a first aspect proposes a semiconductor structure.
  • the semiconductor structure of the present invention includes a substrate and a gate structure disposed on the substrate.
  • the gate structure includes a gate dielectric layer, a gate material layer and an outer spacer with a rectangular cross section, a set of source and drain, an interlayer dielectric layer and contact plugs.
  • the gate dielectric layer is disposed on the substrate.
  • the gate material layer is disposed on the gate dielectric layer.
  • the top surface of the outer spacer with a rectangular cross section is lower than the top surface of the gate material layer.
  • the source and drain are disposed in the substrate and adjacent to the outer spacer.
  • the interlayer dielectric layer covers the substrate, the gate structure and the source and drain. Contact plugs penetrate the interlayer dielectric layer and are respectively electrically connected to the gate structure and the source and drain.
  • the present invention in a second aspect proposes a method for lowering the height of a spacer.
  • a substrate and a gate structure disposed on the substrate are provided.
  • the gate structure includes a gate dielectric layer, a gate material layer and an outer spacer.
  • the gate dielectric layer is disposed on the substrate and the gate material layer is disposed on the gate dielectric layer.
  • an outer spacer has a sail-like cross section and is adjacent to the gate dielectric layer and the gate material layer.
  • an oxidative slimming procedure is carried out.
  • the oxidative slimming procedure is capable of slimming the height of the outer spacer without substantially slimming the width of the outer spacer so as to make the outer spacer have a rectangular cross section.
  • FIGS. 1 to 3B illustrate a method for making the semiconductor structure with the slimmed spacer of the present invention.
  • FIGS. 4A , 4 B, 4 C and 4 D illustrate the semiconductor structure of the present invention.
  • the present invention provides a novel semiconductor device with a slimmed spacer to create a novel semiconductor structure to effectively bring the stress from the stress layer to the gate channel as much as possible without the substantial adverse influence of the spacer.
  • the present invention also provides a method for making the semiconductor structure with the slimmed spacer in order to direct the stress from the stress layer to the gate channel as much as possible.
  • the present invention in a first aspect provides a method for making the semiconductor structure with the slimmed spacer.
  • FIGS. 1 to 4D illustrate various examples of the method for making the semiconductor structure with the slimmed spacer of the present invention.
  • the gate structure 110 includes a gate dielectric layer 120 , a gate material layer 130 , a middle spacer 140 and an outer spacer 150 .
  • the substrate 101 is usually a semiconductor material, such as Si.
  • lightly doping region (LDD) 102 formed by a lightly doping procedure and a source/drain region 103 formed by a source/drain doping procedure, or both of the lightly doping region (LDD) 102 and the source/drain region 103 .
  • the gate dielectric layer 120 is directly disposed on the substrate 101 and usually includes one or more insulating materials, such as silicon oxide, silicon nitride, silicon oxynitride, a high k material or a metal oxide.
  • the gate material layer 130 is disposed on the gate dielectric layer 120 and usually includes a conductive material or a dummy material, such as poly Si and an optional hard mask material, silicon oxide and silicon oxide for example. The dummy material may be later replaced by a metal gate.
  • the middle spacer 140 is adjacent to the gate dielectric layer 120 and the gate material layer 130 . Further, it has an L-shaped cross section. In addition, an outer spacer 150 is also adjacent to the gate dielectric layer 120 and the gate material layer 130 and disposed on the middle spacer 140 .
  • the outer spacer 150 has a special sail-like cross section.
  • the middle spacer 140 , the outer spacer 150 and the inner spacer 160 may usually include different insulating materials, such as silicon oxide, silicon nitride and silicon oxynitride.
  • the middle spacer 140 and the outer spacer 150 may be formed as follows. First, a first spacer material layer (not shown) and a second spacer material layer (not shown) are deposited on the gate structure 110 and on the substrate 101 after the completion of the gate structure 110 . Then an etching-back step is carried out to form the middle spacer 140 and the outer spacer 150 which surround the gate structure 110 , and to make some of the substrate 101 exposed.
  • the outer spacer 150 Due to the etching-back step on the outer spacer 150 , the outer spacer 150 has a special sail-like cross section. In addition due to the same reason, the middle spacer 140 has an L-shaped cross section. In other words, the middle spacer 140 has a horizontal part 141 which contacts the substrate 101 and a vertical part 142 , as shown in FIG. 1 . However, the top surface 151 of the outer spacer 150 is still roughly as high as the top surface 131 of the gate material layer 130 to form a continuous joint surface.
  • the method for making the gate structure 110 is well known in the art and the details will not be elaborated here.
  • an oxidative slimming procedure is carried out.
  • the oxidative slimming procedure may include multiple steps to slim the height of the outer spacer without substantially slimming the width of the outer spacer so that the outer spacer eventually has a good rectangular cross section.
  • the oxidative slimming procedure may include two different steps.
  • an oxidative procedure is carried out.
  • an oxidizing agent may be used to perform on the exposed substrate 101 , the middle spacer 140 and the outer spacer 150 .
  • a slimming procedure is carried out.
  • an etching agent is used to exclusively slim the outer spacer 150 with a special sail-like cross section as much as possible without substantially slimming the middle spacer 140 and the width of the outer spacer 150 .
  • the doping step forms the lightly doping region (LDD) 102 or the source/drain region 103
  • the slimming procedure is only capable of exclusively slimming the outer spacer 150 as much as possible without substantially slimming the middle spacer 140 and the width of the outer spacer 150 .
  • the special cross section may not be in a prefect rectangular shape but the exposed two surfaces may be slightly curved due to the etchant.
  • the slimed width of the outer spacer may be one tenth to one fifth of the slimed height of the outer spacer.
  • the top surface 151 of the outer spacer 150 is discontinuously lower than the top surface 131 of the gate material layer 130 , in order to form a discontinuous joint surface.
  • the width of the rectangular cross section is larger than the height of the rectangular cross section.
  • the oxidizing agent for use in the oxidative procedure may be a liquid or a gas.
  • a liquid oxidizing agent may be aqueous hydrogen peroxide, or preferably a mixed solution of hydrogen peroxide and sulfuric acid (SPM).
  • a gas oxidizing step may be an oxygen ashing step.
  • the etching agent for use in the slimming procedure may also be a liquid or a gas.
  • a liquid etching agent may be a wet etching agent.
  • a concentrated phosphoric acid may be used as the wet etching agent when the outer spacer 150 includes silicon nitride.
  • a gas etching agent may be a dry etching agent.
  • the oxidative slimming procedure of the present invention may be integrated with other conventional semiconductor procedures.
  • the oxidative slimming procedure of the present invention may completely remove the outer spacer 150 .
  • Various examples will be described here to elaborate the diverse embodiments of the concept of the present invention.
  • the gate structure 110 includes a gate dielectric layer 120 , a gate material layer 130 , an optional inner spacer 160 , a middle spacer 140 and an outer spacer 150 .
  • the middle spacer 140 is adjacent to the gate dielectric layer 120 and the gate material layer 130 . Further, it has an L-shaped cross section.
  • an outer spacer 150 is disposed on the middle spacer 140 and has a special sail-like cross section.
  • an oxidative slimming procedure is carried out.
  • the oxidative slimming procedure may include multiple steps to exclusively slim the height of the outer spacer 150 as much as possible without substantially slimming the width of the outer spacer 150 and simultaneously not slim the middle spacer 140 so that the outer spacer 150 eventually has a rectangular cross section.
  • the top surface 151 of the outer spacer 150 is discontinuously lower than the top surface 131 of the gate material layer 130 , to form a discontinuous joint surface.
  • the oxidizing agent for use in the oxidative procedure may be a liquid or a gas.
  • a liquid oxidizing agent may be aqueous hydrogen peroxide, or preferably a mixed solution of hydrogen peroxide and sulfuric acid (SPM).
  • a gas oxidizing step may be an oxygen ashing step.
  • the etching agent for use in the slimming procedure may also be a liquid or a gas.
  • a liquid etching agent may be a wet etching agent.
  • a concentrated phosphoric acid may be used as the wet etching agent to effectively lower the vertical height of the outer spacer 150 when the middle spacer 140 includes silicon oxide and the outer spacer 150 includes silicon nitride.
  • a stress layer 170 is formed to cover the gate structure 110 and the outer spacer 150 .
  • the stress layer 170 may be a single layer structure or a composite layer structure.
  • the composite layer structure may be a composite layer structure including an oxide and a nitride.
  • a stress of a suitable magnitude and property such as a tensile stress or a compressive stress, is constructed in the substrate 101 under the gate structure 110 , such as the gate channel 104 , using the stress layer 170 by means of a stress memory technique (SMT) under RTA.
  • SMT stress memory technique
  • the stress layer 170 may be removed to expose some of the substrate.
  • a layer of self-aligned silicide 181 is formed on the surface of the exposed substrate 101 after the stress layer 170 is removed. Then, a contact etching-stop layer (CESL) 182 is formed to cover the gate structure 110 and the substrate 101 . Next, an interlayer dielectric layer 183 is formed to cover the contact etching-stop layer (CESL) 182 . Following that, a contact hole 184 which penetrates the interlayer dielectric layer 183 and the contact etching-stop layer (CESL) 182 is formed. Later, a contact plug 185 which fills up the contact hole 184 may be formed to serve as the outward electric connection media for the source/drain 103 disposed under the interlayer dielectric layer 183 .
  • a contact plug 185 which fills up the contact hole 184 may be formed to serve as the outward electric connection media for the source/drain 103 disposed under the interlayer dielectric layer 183 .
  • the gate structure 110 includes a gate dielectric layer 120 , a gate material layer 130 , a middle spacer 140 , an outer spacer 150 and an optional inner spacer 160 .
  • the middle spacer 140 is adjacent to the gate dielectric layer 120 and the gate material layer 130 . Further, it has an L-shaped cross section.
  • an outer spacer 150 is disposed on the middle spacer 140 and has a special sail-like cross section.
  • the stress memory technique (SMT) to form the stress layer 170 is carried out before the oxidative slimming procedure is carried out so that a stress of a suitable magnitude and property, such as a tensile stress or a compressive stress, is constructed in the substrate 101 under the gate structure 110 , such as the gate channel 104 , as shown in FIG. 2B . Then, the stress layer 170 may be removed to expose some of the substrate 101 . Next, a layer of self-aligned silicide 181 is formed on the exposed surface of the substrate 101 after the stress layer 170 is removed, as shown in FIG. 3B .
  • SMT stress memory technique
  • the oxidative slimming procedure may include multiple steps to exclusively slim the outer spacer 150 with a special sail-like cross section as much as possible to result in a rectangular cross section without substantially slimming the width of the outer spacer 150 and simultaneously not slim the middle spacer 140 .
  • the top surface 151 of the outer spacer 150 is discontinuously lower than the top surface 131 of the gate material layer 130 , to form a discontinuous joint surface.
  • the gate structure 110 includes a gate dielectric layer 120 , a gate material layer 130 , a middle spacer 140 , an outer spacer 150 and an optional inner spacer 160 .
  • the middle spacer 140 is adjacent to the gate dielectric layer 120 and the gate material layer 130 . Further, it has an L-shaped cross section.
  • an outer spacer 150 is disposed on the middle spacer 140 and has a special sail-like cross section.
  • the outer spacer 150 with a rectangular cross section is first totally removed then other conventional semiconductor procedures proceed after the oxidative slimming procedure, the stress memory technique (SMT), and the formation of silicide 181 .
  • the silicide 181 is formed on the surface of the substrate 101 before the outer spacer 150 with a rectangular cross section is totally removed.
  • the outer spacer 150 with a rectangular cross section may be totally removed in a way like the oxidative slimming procedure.
  • the gate structure 110 includes a gate dielectric layer 120 , a gate material layer 130 , a middle spacer 140 , an outer spacer 150 and an optional inner spacer 160 .
  • the middle spacer 140 is adjacent to the gate dielectric layer 120 and the gate material layer 130 . Further, it has an L-shaped cross section.
  • an outer spacer 150 is disposed on the middle spacer 140 and has a special sail-like cross section.
  • the formation of the silicide 181 is carried out after the completion of the contact holes 184 .
  • the oxidative slimming procedure as shown in FIG. 2A the stress memory technique (SMT), the total removal of the outer spacer 150 with a rectangular cross section, the formation of the contact etching-stop layer (CESL) 182 , the formation of interlayer dielectric layer 183 to cover the contact etching-stop layer (CESL) 182 as shown in FIG. 4B are sequentially carried out in advance.
  • the silicide 181 only appears in the contact holes 184 but not in any other places. Later, the contact plugs 185 which fill up the contact hole 184 are formed to serve as the outward electric connection media for the source/drain 103 under the interlayer dielectric layer 183 , so that the silicide 181 is sandwiched between the contact plugs 185 and the source/drain regions 103 , as shown in 4 D.
  • the semiconductor structure 100 of the present invention includes a substrate 101 and a gate structure 110 disposed on the substrate 101 .
  • the gate structure 110 includes a gate dielectric layer 120 , a gate material layer 130 , a middle spacer 140 which is adjacent to the gate dielectric layer 120 and the gate material layer 130 and has an L-shaped cross section, and an outer spacer 150 with a rectangular cross section, an optional inner spacer 160 which is in direct contact with the gate dielectric layer 120 and the gate material layer 130 , a set of source and drain 103 , an interlayer dielectric layer 183 and some contact plugs 185 .
  • the gate dielectric layer 120 is directly disposed on the substrate 101 and usually includes one or more insulating materials, such as silicon oxide, silicon nitride, silicon oxynitride, a high k material or a metal oxide.
  • the gate material layer 130 is disposed on the gate dielectric layer 120 and usually includes a conductive material or a dummy material, such as Si. The dummy material may be later replaced by a metal gate.
  • the top surface 151 of the outer spacer 150 with a rectangular cross section is discontinuously lower than the top surface 131 of the gate material layer 130 .
  • the middle spacer 140 , the outer spacer 150 and the inner spacer 160 may usually include different insulating materials, such as silicon oxide, silicon nitride and silicon oxynitride.
  • a pair of source and drain 103 is disposed in the substrate 101 and adjacent to the outer spacer 150 .
  • the interlayer dielectric layer 183 simultaneously covers the substrate 101 , the gate structure 110 and the source and drain 103 .
  • the contact plug 185 which fill up the contact hole 184 penetrates the interlayer dielectric layer 183 to respectively electrically connect to the source/drain 103 and the gate structure 110 .
  • the width of the outer spacer 150 in the semiconductor structure 100 of the present invention is by far greater than the height of itself.
  • the lower the height, the better, or the height may barely exist.
  • a suitable stress such as a tensile stress or a compressive stress, in the gate channel 104 underlying the gate structure 110 in the substrate 101 .

Abstract

A semiconductor structure including a substrate and a gate structure disposed on the substrate is disclosed. The gate structure includes a gate dielectric layer disposed on the substrate, a gate material layer disposed on the gate dielectric layer and an outer spacer with a rectangular cross section. The top surface of the outer spacer is lower than the top surface of the gate material layer.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to a semiconductor structure with a slimmed spacer and the method for making the semiconductor structure with the slimmed spacer. In particular, the present invention is directed to a semiconductor structure with a slimmed spacer and the method for making the semiconductor structure with the slimmed spacer.
  • 2. Description of the Prior Art
  • With the trend of miniaturization of semiconductor device dimensions, for example for the semiconductor processes with the critical dimensionless than 65 nm, the scale of the gate, the source and the drain of a transistor decreases in accordance with the decrease in critical dimension (CD). Due to the intrinsic physical limitation of the materials which are used, the decrease in the scale of the gate, the source and the drain in a transistor, such as a PMOS or an NMOS, results in the decrease of carriers that determine the magnitude of the current in the transistor element, and this can therefore adversely affect the performance of the transistor. As a result, increasing carrier mobility in order to boost up a MOS transistor is an important topic in the field of current semiconductor techniques.
  • In various current techniques, it is possible to generate a mechanical stress on purpose in the channel to increase the carrier mobility. For example, a silicon germanium (SiGe) channel layer is epitaxically formed on the Si substrate to construct a compressive strained channel to substantially increase the hole mobility. Or alternatively, a silicon channel is epitaxically formed on the germanium (SiGe) layer to construct a tensile strained channel to substantially increase the electron mobility.
  • In addition, among the current techniques, one of the most popular and well-known methods is to form a corresponding stress therein when a shallow trench isolation, a source, a drain, or a contact etch stop layer (CESL) is formed. For example, the contact etch stop layer (CESL) with a stress turns into a stress layer to provide a gate channel with a compression stress or a tensile stress in order to modify the carrier mobility. For instance, a compression stress is constructed in order to modify the carrier mobility. Generally speaking, the greater the stress is, the higher gain for the carrier mobility is. Accordingly, persons of ordinary skills in the art all endeavor themselves in developing a processing method to pursue a greater stress gain. However, with the trend of miniaturization of semiconductor device dimensions, the stress which is created by the above-mentioned techniques seems to be not enough any more.
  • Furthermore, during the manufacturing process of semiconductors, a pair of protective and self-aligning spacers is formed to surround the elements of the semiconductor devices, such as the gates. However, when the spacers are formed, some undesirable side effects sometimes occur.
  • For example, due to the shrinkage of the critical dimension and the increasing integration of elements, the pitch between elements becomes too small to maintain a proper space to accommodate the stress so that the stress layer on the spacers of the adjacent elements merge and the stress in the stress layer cannot be properly delivered to the gate channel. Once the expected tensile or the compressive stress fails, the performance of the elements may deteriorate or fail, too.
  • Therefore, a novel semiconductor structure and a method for making such semiconductor structure are still needed to create a new way to properly and effective pass the stress in the stress layer to the underlying gate channel as much as possible.
  • SUMMARY OF THE INVENTION
  • As a result, the present invention proposes a novel semiconductor device with a slimmed spacer to create a novel semiconductor structure to effectively direct the stress from the stress layer to the gate channel, and a method for making the semiconductor structure with the slimmed spacer in order to direct the stress from the stress layer to the gate channel as much as possible without the substantial adverse influence of the spacer.
  • The present invention in a first aspect proposes a semiconductor structure. The semiconductor structure of the present invention includes a substrate and a gate structure disposed on the substrate. The gate structure includes a gate dielectric layer, a gate material layer and an outer spacer with a rectangular cross section, a set of source and drain, an interlayer dielectric layer and contact plugs. The gate dielectric layer is disposed on the substrate. The gate material layer is disposed on the gate dielectric layer. The top surface of the outer spacer with a rectangular cross section is lower than the top surface of the gate material layer. In addition, the source and drain are disposed in the substrate and adjacent to the outer spacer. The interlayer dielectric layer covers the substrate, the gate structure and the source and drain. Contact plugs penetrate the interlayer dielectric layer and are respectively electrically connected to the gate structure and the source and drain.
  • The present invention in a second aspect proposes a method for lowering the height of a spacer. First, a substrate and a gate structure disposed on the substrate are provided. The gate structure includes a gate dielectric layer, a gate material layer and an outer spacer. The gate dielectric layer is disposed on the substrate and the gate material layer is disposed on the gate dielectric layer. In addition, an outer spacer has a sail-like cross section and is adjacent to the gate dielectric layer and the gate material layer. Second, an oxidative slimming procedure is carried out. The oxidative slimming procedure is capable of slimming the height of the outer spacer without substantially slimming the width of the outer spacer so as to make the outer spacer have a rectangular cross section.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 to 3B illustrate a method for making the semiconductor structure with the slimmed spacer of the present invention.
  • FIGS. 4A, 4B, 4C and 4D illustrate the semiconductor structure of the present invention.
  • DETAILED DESCRIPTION
  • The present invention provides a novel semiconductor device with a slimmed spacer to create a novel semiconductor structure to effectively bring the stress from the stress layer to the gate channel as much as possible without the substantial adverse influence of the spacer. The present invention also provides a method for making the semiconductor structure with the slimmed spacer in order to direct the stress from the stress layer to the gate channel as much as possible.
  • The present invention in a first aspect provides a method for making the semiconductor structure with the slimmed spacer. FIGS. 1 to 4D illustrate various examples of the method for making the semiconductor structure with the slimmed spacer of the present invention. Please refer to FIG. 1, in the method for making the semiconductor structure with the slimmed spacer of the present invention, first a substrate 101 and a gate structure 110 disposed on the substrate 101 are provided. The gate structure 110 includes a gate dielectric layer 120, a gate material layer 130, a middle spacer 140 and an outer spacer 150. The substrate 101 is usually a semiconductor material, such as Si. There are already some doping regions formed in the substrate 101, such as lightly doping region (LDD) 102 formed by a lightly doping procedure and a source/drain region 103 formed by a source/drain doping procedure, or both of the lightly doping region (LDD) 102 and the source/drain region 103.
  • The gate dielectric layer 120 is directly disposed on the substrate 101 and usually includes one or more insulating materials, such as silicon oxide, silicon nitride, silicon oxynitride, a high k material or a metal oxide. The gate material layer 130 is disposed on the gate dielectric layer 120 and usually includes a conductive material or a dummy material, such as poly Si and an optional hard mask material, silicon oxide and silicon oxide for example. The dummy material may be later replaced by a metal gate. The middle spacer 140 is adjacent to the gate dielectric layer 120 and the gate material layer 130. Further, it has an L-shaped cross section. In addition, an outer spacer 150 is also adjacent to the gate dielectric layer 120 and the gate material layer 130 and disposed on the middle spacer 140. In particular, the outer spacer 150 has a special sail-like cross section. Optionally, there may be an inner spacer 160 which is formed in the gate structure 110 and in direct contact with the gate material layer 130. The middle spacer 140, the outer spacer 150 and the inner spacer 160 may usually include different insulating materials, such as silicon oxide, silicon nitride and silicon oxynitride.
  • The middle spacer 140 and the outer spacer 150 may be formed as follows. First, a first spacer material layer (not shown) and a second spacer material layer (not shown) are deposited on the gate structure 110 and on the substrate 101 after the completion of the gate structure 110. Then an etching-back step is carried out to form the middle spacer 140 and the outer spacer 150 which surround the gate structure 110, and to make some of the substrate 101 exposed.
  • Due to the etching-back step on the outer spacer 150, the outer spacer 150 has a special sail-like cross section. In addition due to the same reason, the middle spacer 140 has an L-shaped cross section. In other words, the middle spacer 140 has a horizontal part 141 which contacts the substrate 101 and a vertical part 142, as shown in FIG. 1. However, the top surface 151 of the outer spacer 150 is still roughly as high as the top surface 131 of the gate material layer 130 to form a continuous joint surface. The method for making the gate structure 110 is well known in the art and the details will not be elaborated here.
  • Second, please refer to FIG. 2A, an oxidative slimming procedure is carried out. The oxidative slimming procedure may include multiple steps to slim the height of the outer spacer without substantially slimming the width of the outer spacer so that the outer spacer eventually has a good rectangular cross section. For example, the oxidative slimming procedure may include two different steps. First, an oxidative procedure is carried out. For instance, an oxidizing agent may be used to perform on the exposed substrate 101, the middle spacer 140 and the outer spacer 150.
  • Second, a slimming procedure is carried out. For example, an etching agent is used to exclusively slim the outer spacer 150 with a special sail-like cross section as much as possible without substantially slimming the middle spacer 140 and the width of the outer spacer 150. Due to the double influence of both the doping step and the oxidative procedure (the doping step forms the lightly doping region (LDD) 102 or the source/drain region 103), the slimming procedure is only capable of exclusively slimming the outer spacer 150 as much as possible without substantially slimming the middle spacer 140 and the width of the outer spacer 150. In addition, the special cross section may not be in a prefect rectangular shape but the exposed two surfaces may be slightly curved due to the etchant. For example, the slimed width of the outer spacer may be one tenth to one fifth of the slimed height of the outer spacer. After the slimming procedure, the top surface 151 of the outer spacer 150 is discontinuously lower than the top surface 131 of the gate material layer 130, in order to form a discontinuous joint surface. Preferably, the width of the rectangular cross section is larger than the height of the rectangular cross section.
  • The oxidizing agent for use in the oxidative procedure may be a liquid or a gas. A liquid oxidizing agent may be aqueous hydrogen peroxide, or preferably a mixed solution of hydrogen peroxide and sulfuric acid (SPM). A gas oxidizing step may be an oxygen ashing step. The etching agent for use in the slimming procedure may also be a liquid or a gas. A liquid etching agent may be a wet etching agent. For example, a concentrated phosphoric acid may be used as the wet etching agent when the outer spacer 150 includes silicon nitride. A gas etching agent may be a dry etching agent.
  • Optionally, in one aspect the oxidative slimming procedure of the present invention may be integrated with other conventional semiconductor procedures. In another aspect the oxidative slimming procedure of the present invention may completely remove the outer spacer 150. Various examples will be described here to elaborate the diverse embodiments of the concept of the present invention.
  • The First Embodiment
  • Please refer to FIG. 1, in the first embodiment for making the semiconductor structure with the slimmed spacer of the present invention, first a substrate 101 and a gate structure 110 disposed on the substrate 101 are provided. The gate structure 110 includes a gate dielectric layer 120, a gate material layer 130, an optional inner spacer 160, a middle spacer 140 and an outer spacer 150. There are already some doping regions formed in the substrate 101, such as lightly doping region (LDD) 102 or a source/drain region 103, or both of the lightly doping region (LDD) 102 and the source/drain region 103. The middle spacer 140 is adjacent to the gate dielectric layer 120 and the gate material layer 130. Further, it has an L-shaped cross section. In addition, an outer spacer 150 is disposed on the middle spacer 140 and has a special sail-like cross section.
  • Second, please refer to FIG. 2A, an oxidative slimming procedure is carried out. The oxidative slimming procedure may include multiple steps to exclusively slim the height of the outer spacer 150 as much as possible without substantially slimming the width of the outer spacer 150 and simultaneously not slim the middle spacer 140 so that the outer spacer 150 eventually has a rectangular cross section. After the slimming procedure, the top surface 151 of the outer spacer 150 is discontinuously lower than the top surface 131 of the gate material layer 130, to form a discontinuous joint surface.
  • The oxidizing agent for use in the oxidative procedure may be a liquid or a gas. A liquid oxidizing agent may be aqueous hydrogen peroxide, or preferably a mixed solution of hydrogen peroxide and sulfuric acid (SPM). A gas oxidizing step may be an oxygen ashing step. The etching agent for use in the slimming procedure may also be a liquid or a gas. A liquid etching agent may be a wet etching agent. For example, a concentrated phosphoric acid may be used as the wet etching agent to effectively lower the vertical height of the outer spacer 150 when the middle spacer 140 includes silicon oxide and the outer spacer 150 includes silicon nitride.
  • Next, please refer to FIG. 3A, a stress layer 170 is formed to cover the gate structure 110 and the outer spacer 150. The stress layer 170 may be a single layer structure or a composite layer structure. The composite layer structure may be a composite layer structure including an oxide and a nitride. Then, a stress of a suitable magnitude and property, such as a tensile stress or a compressive stress, is constructed in the substrate 101 under the gate structure 110, such as the gate channel 104, using the stress layer 170 by means of a stress memory technique (SMT) under RTA. Afterwards, the stress layer 170 may be removed to expose some of the substrate.
  • Later, please refer to FIG. 4A, other conventional semiconductor procedures may be carried out after the oxidative slimming procedure of the present invention. For example, a layer of self-aligned silicide 181 is formed on the surface of the exposed substrate 101 after the stress layer 170 is removed. Then, a contact etching-stop layer (CESL) 182 is formed to cover the gate structure 110 and the substrate 101. Next, an interlayer dielectric layer 183 is formed to cover the contact etching-stop layer (CESL) 182. Following that, a contact hole 184 which penetrates the interlayer dielectric layer 183 and the contact etching-stop layer (CESL) 182 is formed. Later, a contact plug 185 which fills up the contact hole 184 may be formed to serve as the outward electric connection media for the source/drain 103 disposed under the interlayer dielectric layer 183.
  • The Second Embodiment
  • Please refer to FIG. 1, in the second embodiment for making the semiconductor structure with the slimmed spacer of the present invention, first a substrate 101 and a gate structure 110 disposed on the substrate 101 are provided. The gate structure 110 includes a gate dielectric layer 120, a gate material layer 130, a middle spacer 140, an outer spacer 150 and an optional inner spacer 160. There are already some doping regions formed in the substrate 101, such as lightly doping region (LDD) 102 or a source/drain region 103, or both of the lightly doping region (LDD) 102 and the source/drain region 103. The middle spacer 140 is adjacent to the gate dielectric layer 120 and the gate material layer 130. Further, it has an L-shaped cross section. In addition, an outer spacer 150 is disposed on the middle spacer 140 and has a special sail-like cross section.
  • One of the major differences between the first embodiment and the second embodiment resides in that the stress memory technique (SMT) to form the stress layer 170 is carried out before the oxidative slimming procedure is carried out so that a stress of a suitable magnitude and property, such as a tensile stress or a compressive stress, is constructed in the substrate 101 under the gate structure 110, such as the gate channel 104, as shown in FIG. 2B. Then, the stress layer 170 may be removed to expose some of the substrate 101. Next, a layer of self-aligned silicide 181 is formed on the exposed surface of the substrate 101 after the stress layer 170 is removed, as shown in FIG. 3B.
  • Subsequently, the oxidative slimming procedure is carried out. The oxidative slimming procedure may include multiple steps to exclusively slim the outer spacer 150 with a special sail-like cross section as much as possible to result in a rectangular cross section without substantially slimming the width of the outer spacer 150 and simultaneously not slim the middle spacer 140. After the slimming procedure, the top surface 151 of the outer spacer 150 is discontinuously lower than the top surface 131 of the gate material layer 130, to form a discontinuous joint surface.
  • Later, please refer to FIG. 4B, other conventional semiconductor procedures may be carried out. For example, the aforesaid contact etching-stop layer (CESL) 182, the interlayer dielectric layer 183, the contact hole 184 which penetrates the interlayer dielectric layer 183 and the contact etching-stop layer (CESL) 182, and the contact plug 185 which fills up the contact hole 184 to serve as the outward electric connection media for the source/drain 103 under the interlayer dielectric layer 183.
  • The Third Embodiment
  • Please refer to FIG. 1, in the third embodiment for making the semiconductor structure with the slimmed spacer of the present invention, first a substrate 101 and a gate structure 110 disposed on the substrate 101 are provided. The gate structure 110 includes a gate dielectric layer 120, a gate material layer 130, a middle spacer 140, an outer spacer 150 and an optional inner spacer 160. There are already some doping regions formed in the substrate 101, such as lightly doping region (LDD) 102 or a source/drain region 103, or both of the lightly doping region (LDD) 102 and the source/drain region 103. The middle spacer 140 is adjacent to the gate dielectric layer 120 and the gate material layer 130. Further, it has an L-shaped cross section. In addition, an outer spacer 150 is disposed on the middle spacer 140 and has a special sail-like cross section.
  • One of the major differences between the first embodiment and the third embodiment resides in that the outer spacer 150 with a rectangular cross section is first totally removed then other conventional semiconductor procedures proceed after the oxidative slimming procedure, the stress memory technique (SMT), and the formation of silicide 181. For example, the aforesaid contact etching-stop layer (CESL) 182, the interlayer dielectric layer 183, the contact hole 184 which penetrates the interlayer dielectric layer 183 and the contact etching-stop layer (CESL) 182, and the contact plug 185 which fills up the contact hole 184 to serve as the outward electric connection media for the source/drain 103 under the interlayer dielectric layer 183, as shown in FIG. 4C. In other words, the silicide 181 is formed on the surface of the substrate 101 before the outer spacer 150 with a rectangular cross section is totally removed. The outer spacer 150 with a rectangular cross section may be totally removed in a way like the oxidative slimming procedure.
  • The Fourth Embodiment
  • Please refer to FIG. 1, in the fourth embodiment for making the semiconductor structure with the slimmed spacer of the present invention, first a substrate 101 and a gate structure 110 disposed on the substrate 101 are provided. The gate structure 110 includes a gate dielectric layer 120, a gate material layer 130, a middle spacer 140, an outer spacer 150 and an optional inner spacer 160. There are already some doping regions formed in the substrate 101, such as lightly doping region (LDD) 102 or a source/drain region 103, or both of the lightly doping region (LDD) 102 and the source/drain region 103. The middle spacer 140 is adjacent to the gate dielectric layer 120 and the gate material layer 130. Further, it has an L-shaped cross section. In addition, an outer spacer 150 is disposed on the middle spacer 140 and has a special sail-like cross section.
  • One of the major differences between the fourth embodiment and the other embodiments resides in that the formation of the silicide 181 is carried out after the completion of the contact holes 184. In other words, the oxidative slimming procedure as shown in FIG. 2A, the stress memory technique (SMT), the total removal of the outer spacer 150 with a rectangular cross section, the formation of the contact etching-stop layer (CESL) 182, the formation of interlayer dielectric layer 183 to cover the contact etching-stop layer (CESL) 182 as shown in FIG. 4B are sequentially carried out in advance.
  • As a result, different from other embodiments the silicide 181 only appears in the contact holes 184 but not in any other places. Later, the contact plugs 185 which fill up the contact hole 184 are formed to serve as the outward electric connection media for the source/drain 103 under the interlayer dielectric layer 183, so that the silicide 181 is sandwiched between the contact plugs 185 and the source/drain regions 103, as shown in 4D.
  • After the various embodiments of the method for lowering the height of a spacer of the present invention, a semiconductor structure 100 is accordingly obtained. Please refer to FIGS. 4A, 4B and 4D, which illustrates the semiconductor structures of the present invention. The semiconductor structure 100 of the present invention includes a substrate 101 and a gate structure 110 disposed on the substrate 101. The gate structure 110 includes a gate dielectric layer 120, a gate material layer 130, a middle spacer 140 which is adjacent to the gate dielectric layer 120 and the gate material layer 130 and has an L-shaped cross section, and an outer spacer 150 with a rectangular cross section, an optional inner spacer 160 which is in direct contact with the gate dielectric layer 120 and the gate material layer 130, a set of source and drain 103, an interlayer dielectric layer 183 and some contact plugs 185.
  • The gate dielectric layer 120 is directly disposed on the substrate 101 and usually includes one or more insulating materials, such as silicon oxide, silicon nitride, silicon oxynitride, a high k material or a metal oxide. The gate material layer 130 is disposed on the gate dielectric layer 120 and usually includes a conductive material or a dummy material, such as Si. The dummy material may be later replaced by a metal gate. The top surface 151 of the outer spacer 150 with a rectangular cross section is discontinuously lower than the top surface 131 of the gate material layer 130. The middle spacer 140, the outer spacer 150 and the inner spacer 160 may usually include different insulating materials, such as silicon oxide, silicon nitride and silicon oxynitride.
  • A pair of source and drain 103 is disposed in the substrate 101 and adjacent to the outer spacer 150. The interlayer dielectric layer 183 simultaneously covers the substrate 101, the gate structure 110 and the source and drain 103. The contact plug 185 which fill up the contact hole 184 penetrates the interlayer dielectric layer 183 to respectively electrically connect to the source/drain 103 and the gate structure 110.
  • Please note that the width of the outer spacer 150 in the semiconductor structure 100 of the present invention is by far greater than the height of itself. Preferably, the lower the height, the better, or the height may barely exist. In addition, there is a suitable stress, such as a tensile stress or a compressive stress, in the gate channel 104 underlying the gate structure 110 in the substrate 101.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention.

Claims (19)

1. A semiconductor structure comprising:
a substrate; and
a gate structure disposed on said substrate, said gate structure comprising:
a gate dielectric layer disposed on said substrate;
a gate material layer disposed on said gate dielectric layer; and
an outer spacer with a rectangular cross section, wherein the top surface of said outer spacer is lower than the top surface of said gate material layer;
a set of source and drain disposed in said substrate and adjacent to said outer spacer; and
an interlayer dielectric layer covering said substrate, said gate structure and said set of source and drain.
2. The semiconductor structure of claim 1, wherein the width of said outer spacer is larger than the height of said outer spacer.
3. The semiconductor structure of claim 1, wherein the top surface of said outer spacer is discontinuously lower than the top surface of said gate material layer.
4. The semiconductor structure of claim 1, further comprising:
a contact etching-stop layer disposed on said substrate and having a stress.
5. The semiconductor structure of claim 1, wherein said gate structure further comprises:
an inner spacer in direct contact with said gate dielectric layer and said gate material layer.
6. The semiconductor structure of claim 1, wherein said gate structure further comprises:
a middle spacer adjacent to said gate dielectric layer and said gate material layer and with an L cross section.
7. A method for lowering the height of a spacer, comprising:
providing a gate structure disposed on a substrate, said gate structure comprising:
a gate dielectric layer disposed on said substrate;
a gate material layer disposed on said gate dielectric layer; and
an outer spacer with a sail-like cross section and adjacent to said gate dielectric layer and said gate material layer; and
performing an oxidative slimming procedure to slim the height of said outer spacer without substantially slimming the width of said outer spacer to make said outer spacer have a rectangular cross section.
8. The method for lowering the height of a spacer of claim 7, wherein said oxidative slimming procedure involves at least one of a wet etching step and a dry etching step.
9. The method for lowering the height of a spacer of claim 7, wherein said oxidative slimming procedure involves at least one of a mixture of hydrogen peroxide and sulfuric acid, and an oxygen ashing step.
10. The method for lowering the height of a spacer of claim 7, wherein the slimed width of said outer spacer is one tenth less than the slimed height of said outer spacer.
11. The method for lowering the height of a spacer of claim 7, wherein the width of said rectangular cross section is larger than the height of said rectangular cross section.
12. The method for lowering the height of a spacer of claim 7, before said oxidative slimming procedure further comprising:
forming an inner spacer in direct contact with said gate material layer;
performing a lightly doping procedure to form a lightly doping region (LDD) in said substrate;
forming a middle spacer adjacent to said gate dielectric layer and said gate material layer and with an L cross section; and
performing a source/drain doping procedure to form a source/drain region in said substrate.
13. The method for lowering the height of a spacer of claim 7, after said oxidative slimming procedure further comprising:
forming a stress layer to cover said gate structure and said outer spacer;
constructing a stress in said substrate under said gate structure by means of said stress layer; and
removing said stress layer.
14. The method for lowering the height of a spacer of claim 13, further comprising:
forming a silicide on said substrate before removing said outer spacer with said rectangular cross section.
15. The method for lowering the height of a spacer of claim 7, before said oxidative slimming procedure further comprising:
forming a stress layer to cover said gate structure and said outer spacer;
constructing a stress in said substrate under said gate structure by means of said stress layer; and
removing said stress layer.
16. The method for lowering the height of a spacer of claim 7, after said oxidative slimming procedure further comprising:
forming a contact etch-stop layer to cover said gate structure;
forming an interlayer structure to cover said contact etch-stop layer; and
forming a contact plug to electrically connect said source/drain region under said interlayer structure.
17. The method for lowering the height of a spacer of claim 16, further comprising:
removing said outer spacer with said rectangular cross section before forming said contact etch-stop layer; and
forming a silicide on said substrate after a contact hole is formed for accommodating said contact plug.
18. The method for lowering the height of a spacer of claim 16, before forming said contact etch-stop layer further comprising:
forming a silicide on said substrate; and
removing said outer spacer with said rectangular cross section.
19. The method for lowering the height of a spacer of claim 7, wherein the top surface of said outer spacer is discontinuously lower than the top surface of said gate material layer.
US13/078,001 2011-04-01 2011-04-01 Semiconductor structure and method for slimming spacer Active 2031-05-25 US8502288B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/078,001 US8502288B2 (en) 2011-04-01 2011-04-01 Semiconductor structure and method for slimming spacer
US13/928,366 US8841193B2 (en) 2011-04-01 2013-06-26 Semiconductor structure and method for slimming spacer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/078,001 US8502288B2 (en) 2011-04-01 2011-04-01 Semiconductor structure and method for slimming spacer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/928,366 Division US8841193B2 (en) 2011-04-01 2013-06-26 Semiconductor structure and method for slimming spacer

Publications (2)

Publication Number Publication Date
US20120248511A1 true US20120248511A1 (en) 2012-10-04
US8502288B2 US8502288B2 (en) 2013-08-06

Family

ID=46926065

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/078,001 Active 2031-05-25 US8502288B2 (en) 2011-04-01 2011-04-01 Semiconductor structure and method for slimming spacer
US13/928,366 Active US8841193B2 (en) 2011-04-01 2013-06-26 Semiconductor structure and method for slimming spacer

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/928,366 Active US8841193B2 (en) 2011-04-01 2013-06-26 Semiconductor structure and method for slimming spacer

Country Status (1)

Country Link
US (2) US8502288B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8735268B2 (en) 2011-06-22 2014-05-27 United Microelectronics Corp. Method for fabricating metal-oxide-semiconductor field-effect transistor
US20150145066A1 (en) * 2013-11-27 2015-05-28 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
US9847398B1 (en) * 2016-07-13 2017-12-19 United Microelectronics Corp. Semiconductor device with gate structure having dielectric layer on one side and contact plug on the other side
US10157924B2 (en) 2015-02-11 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US10204999B2 (en) * 2015-07-17 2019-02-12 Intel Corporation Transistor with airgap spacer
US10453938B2 (en) 2017-11-17 2019-10-22 United Microelectronics Corp. Transistor with dual spacer and forming method thereof
US20210359104A1 (en) * 2020-05-12 2021-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor and method of forming the same
US20220285224A1 (en) * 2016-05-27 2022-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with spacer

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI633669B (en) 2014-12-26 2018-08-21 聯華電子股份有限公司 Semiconductor device and method of forming the same
US10043903B2 (en) 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
CN108231590B (en) 2016-12-09 2023-03-14 Imec 非营利协会 Horizontal nanowire semiconductor device
TWI804632B (en) * 2019-06-05 2023-06-11 聯華電子股份有限公司 Semiconductor device and method for fabricating the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050130434A1 (en) * 2003-12-15 2005-06-16 United Microelectronics Corp. Method of surface pretreatment before selective epitaxial growth
US7164189B2 (en) * 2004-03-31 2007-01-16 Taiwan Semiconductor Manufacturing Company Ltd Slim spacer device and manufacturing method
US20070267678A1 (en) * 2006-05-16 2007-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with corner spacers
US20110223736A1 (en) * 2010-03-09 2011-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. LDD Epitaxy for FinFETs
US8110459B2 (en) * 2006-05-05 2012-02-07 Sony Corporation MOSFET having a channel region with enhanced stress and method of forming same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004026142B3 (en) 2004-05-28 2006-02-09 Advanced Micro Devices, Inc., Sunnyvale A method of controlling stress in a channel region by removing spacers and a semiconductor device fabricated according to the method
US7411245B2 (en) * 2005-11-30 2008-08-12 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer barrier structure to prevent spacer voids and method for forming the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050130434A1 (en) * 2003-12-15 2005-06-16 United Microelectronics Corp. Method of surface pretreatment before selective epitaxial growth
US7164189B2 (en) * 2004-03-31 2007-01-16 Taiwan Semiconductor Manufacturing Company Ltd Slim spacer device and manufacturing method
US8110459B2 (en) * 2006-05-05 2012-02-07 Sony Corporation MOSFET having a channel region with enhanced stress and method of forming same
US20070267678A1 (en) * 2006-05-16 2007-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with corner spacers
US20110223736A1 (en) * 2010-03-09 2011-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. LDD Epitaxy for FinFETs

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8735268B2 (en) 2011-06-22 2014-05-27 United Microelectronics Corp. Method for fabricating metal-oxide-semiconductor field-effect transistor
US20150145066A1 (en) * 2013-11-27 2015-05-28 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
CN104681616A (en) * 2013-11-27 2015-06-03 台湾积体电路制造股份有限公司 Semiconductor Device And Method Of Making
KR20150061607A (en) * 2013-11-27 2015-06-04 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of making
US9252271B2 (en) * 2013-11-27 2016-02-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making
KR101630080B1 (en) * 2013-11-27 2016-06-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and method of making
US10797052B2 (en) 2015-02-11 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US11855089B2 (en) 2015-02-11 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US10157924B2 (en) 2015-02-11 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
US11569230B2 (en) 2015-02-11 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET devices
TWI747828B (en) * 2015-07-17 2021-12-01 美商英特爾股份有限公司 Transistor with airgap spacer
US11114538B2 (en) * 2015-07-17 2021-09-07 Intel Corporation Transistor with an airgap spacer adjacent to a transistor gate
US20190123164A1 (en) * 2015-07-17 2019-04-25 Intel Corporation Transistor with airgap spacer
US10204999B2 (en) * 2015-07-17 2019-02-12 Intel Corporation Transistor with airgap spacer
US20220285224A1 (en) * 2016-05-27 2022-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with spacer
US9847398B1 (en) * 2016-07-13 2017-12-19 United Microelectronics Corp. Semiconductor device with gate structure having dielectric layer on one side and contact plug on the other side
US10475903B2 (en) * 2017-11-17 2019-11-12 United Microelectronics Corp. Method of forming transistor with dual spacer
US10453938B2 (en) 2017-11-17 2019-10-22 United Microelectronics Corp. Transistor with dual spacer and forming method thereof
US20210359104A1 (en) * 2020-05-12 2021-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor and method of forming the same
US11923433B2 (en) * 2020-05-12 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor and method of forming the same

Also Published As

Publication number Publication date
US8502288B2 (en) 2013-08-06
US20130288446A1 (en) 2013-10-31
US8841193B2 (en) 2014-09-23

Similar Documents

Publication Publication Date Title
US8502288B2 (en) Semiconductor structure and method for slimming spacer
US9305835B2 (en) Formation of air-gap spacer in transistor
KR101258285B1 (en) Semiconductor device and method of manufacturing the same
JP5605134B2 (en) Semiconductor device and manufacturing method thereof
US10319679B2 (en) Semiconductor device
US9312174B2 (en) Method for manufacturing contact plugs for semiconductor devices
CN109801965B (en) Transistor with double-layer spacer and forming method thereof
US20110156110A1 (en) Field Effect Transistors Having Gate Electrode Silicide Layers with Reduced Surface Damage
KR100731096B1 (en) A semiconductor device and a method for fabricating the same
US11437272B2 (en) Semiconductor device and method for fabricating the same
JP2009526409A (en) Method and apparatus for forming body contact element having structure (SOI) in which semiconductor is provided on insulator
JP5282570B2 (en) Semiconductor device and manufacturing method thereof
JP2010157588A (en) Semiconductor device and method of manufacturing same
US20110284935A1 (en) Semiconductor device and method for manufacturing the same
US20080128831A1 (en) Cmos and mos device
KR100488540B1 (en) Devices and Method of manufacturing semiconductor
JP2006228950A (en) Semiconductor device and manufacturing method thereof
TWI493709B (en) Semiconductor structure and method for slimming spacer
JP3921484B2 (en) Moss field effect transistor manufacturing method
US10290728B2 (en) Semiconductor device and manufacturing method thereof
US20150079739A1 (en) Method for manufacturing semiconductor substrate
CN102738231B (en) The method of semiconductor structure and reduction clearance wall height
CN100585816C (en) Method for making strain silicon channel metal semiconductor transistor
CN108878528B (en) Semiconductor structure and forming method thereof
US9111862B2 (en) Semiconductor apparatus and manufacturing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GUO, TED MING-LANG;CHIEN, CHIN-CHENG;CHAN, SHU-YEN;AND OTHERS;REEL/FRAME:026059/0001

Effective date: 20110315

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8