KR20150035694A - 하부 전극 및 플라즈마 처리 장치 - Google Patents

하부 전극 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20150035694A
KR20150035694A KR20147035302A KR20147035302A KR20150035694A KR 20150035694 A KR20150035694 A KR 20150035694A KR 20147035302 A KR20147035302 A KR 20147035302A KR 20147035302 A KR20147035302 A KR 20147035302A KR 20150035694 A KR20150035694 A KR 20150035694A
Authority
KR
South Korea
Prior art keywords
substrate
insulating layer
electrostatic chuck
focus ring
lower electrode
Prior art date
Application number
KR20147035302A
Other languages
English (en)
Other versions
KR102070706B1 (ko
Inventor
타카시 야마모토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150035694A publication Critical patent/KR20150035694A/ko
Application granted granted Critical
Publication of KR102070706B1 publication Critical patent/KR102070706B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

하부 전극(2)은 도전성의 기재(2a)와 정전 척(6)과 포커스 링(5)과 도전성의 용사막(100)을 구비한다. 도전성의 기재(2a)는 고주파 전력이 인가된다. 정전 척(6)은, 기재(2a)의 상면에 형성되어 전극(6a)을 덮는 절연층(6b)을 가지고, 플라즈마 처리의 처리 대상이 되는 반도체 웨이퍼(W)를 절연층(6b)에 정전 흡착한다. 포커스 링(5)은, 정전 척(6)의 절연층(6b)의 상면에, 반도체 웨이퍼(W)의 주위를 둘러싸도록 설치된다. 도전성의 용사막(100)은, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분에 배치되고, 절연 재료에 티타니아가 소정의 중량 비율로 배합된 합성 재료를 이용하여 형성된다.

Description

하부 전극 및 플라즈마 처리 장치{BOTTOM ELECTRODE AND PLASMA TREATMENT APPARATUS}
본 발명의 다양한 측면 및 실시예는 하부 전극 및 플라즈마 처리 장치에 관한 것이다.
반도체의 제조 프로세스에서는, 박막의 퇴적 또는 에칭 등을 목적으로 한 플라즈마 처리를 실행하는 플라즈마 처리 장치가 널리 이용되고 있다. 플라즈마 처리 장치로서는, 예를 들면 박막의 퇴적 처리를 행하는 플라즈마 CVD(Chemical Vapor Deposition) 장치 또는 에칭 처리를 행하는 플라즈마 에칭 장치 등을 들 수 있다.
플라즈마 처리 장치는, 예를 들면 플라즈마 처리 공간을 구획 형성하는 처리 용기, 처리 용기 내에 설치되고, 피처리 기판이 재치(載置)되는 하부 전극, 및 플라즈마 처리 공간을 개재하여 하부 전극과 대향하여 배치된 상부 전극 등을 구비한다. 또한, 플라즈마 처리 장치의 하부 전극은, 고주파 전력이 인가되는 도전성의 기재, 기재의 상면에 형성되어 전극을 덮는 절연층을 가지고, 절연층에 피처리 기판을 정전 흡착하는 정전 척, 및 정전 척의 절연층의 상면에 피처리 기판의 주위를 둘러싸도록 설치된 포커스 링 등을 구비한다.
여기서, 플라즈마 처리 장치의 하부 전극에서는, 포커스 링 및 피처리 기판과 하부 전극의 기재 간에 비교적 큰 전위차가 발생하고, 이 전위차에 의해 피처리 기판과 하부 전극의 기재 또는 주변 구조물과의 사이에 방전(아킹)이 발생하는 경우가 있다. 피처리 기판과 하부 전극의 기재 또는 주변 구조물과의 사이에 방전이 발생하면, 피처리 기판 또는 피처리 기판 상의 칩이 손상을 받는다. 이 점, 특허 문헌 1에서는 포커스 링과 하부 전극의 기재 간의 전위차를 감소시키기 위하여, 티타니아로 형성된 저항 핀을 정전 척의 절연층에 매립하고, 저항 핀을 개재하여 포커스 링과 하부 전극의 기재를 전기적으로 접속하는 것이 개시되어 있다.
그러나, 티타니아로 형성된 저항 핀을 정전 척의 절연층에 매립하는 종래 기술에서는, 방전의 발생을 방지할 수 있지만, 정전 척의 절연층과 저항 핀 사이에서 임피던스의 국소적인 편향이 발생하기 때문에, 피처리 기판의 피처리면의 균일성을 유지하는 것이 곤란해진다.
이러한 문제에 대하여, 종래, 저항 핀을 이용하지 않고 방전의 발생을 방지하기 위하여, 정전 척의 절연층에 도전성의 용사막을 형성하는 것이 알려져 있다. 예를 들면 특허 문헌 2에서는, 절연 재료에 티타니아가 배합된 합성 재료를 이용하여 형성된 도전성의 용사막을 정전 척의 절연층 전체 면에 형성하고, 이 용사막에 피처리 기판을 정전 흡착하는 것이 개시되어 있다. 이에 의하면, 티타니아를 포함하는 용사막을 개재하여 피처리 기판과 하부 전극의 기재가 전기적으로 접속되므로, 피처리 기판과 하부 전극의 기재 간의 전위차를 감소시킬 수 있어, 결과적으로 방전의 발생을 방지할 수 있다.
일본특허공개공보 2011-210958호
그러나, 티타니아를 포함하는 용사막에 피처리 기판을 정전 흡착하는 종래 기술에서는, 방전의 발생을 방지할 수 있지만, 티탄 입자에 의해 피처리 기판이 오염된다고 하는 문제가 있다. 즉, 종래 기술에서는, 티타니아를 포함하는 용사막에 대하여 피처리 기판을 직접적으로 밀착시키므로, 플라즈마 처리 중에 티타니아로부터 해리된 티탄 입자에 의해 피처리 기판이 오염될 우려가 있다.
본 발명의 일측면에 따른 하부 전극은, 도전성의 기재와 정전 척과 포커스 링과 도전성의 용사막을 구비한다. 도전성의 기재는 고주파 전력이 인가된다. 정전 척은, 상기 기재의 상면에 형성되어 전극을 덮는 절연층을 가지고, 플라즈마 처리의 처리 대상이 되는 피처리 기판을 상기 절연층에 정전 흡착한다. 포커스 링은, 상기 정전 척의 상기 절연층의 상면에, 상기 피처리 기판의 주위를 둘러싸도록 설치된다. 도전성의 용사막은, 상기 정전 척의 상기 절연층 중 상기 포커스 링과 상기 기재에 개재된 부분에 배치되고, 절연 재료에 티타니아가 소정의 중량 비율로 배합된 합성 재료를 이용하여 형성된다.
본 발명의 다양한 측면 및 실시예에 의하면, 방전이 발생하는 것을 방지하면서, 티탄 입자에 의해 피처리 기판이 오염되는 사태를 회피할 수 있는 하부 전극 및 플라즈마 처리 장치가 실현된다.
도 1은 일실시예에 따른 플라즈마 처리 장치의 구성의 개략을 도시한 종단면도이다.
도 2는 일실시예에 따른 하부 전극의 구성을 모식적으로 도시한 종단면도이다.
도 3은 용사막을 형성하는 합성 재료의 중량 비율을 설명하기 위한 도이다.
도 4는 일실시예에 따른 하부 전극의 변형예를 도시한 종단면도이다.
이하, 도면을 참조하여 다양한 실시예에 대하여 상세하게 설명한다. 또한, 각 도면에서 동일 또는 상당한 부분에 대해서는 동일한 부호를 부여하는 것으로 한다.
먼저, 플라즈마 처리 장치의 전체 구성에 대하여 설명한다. 도 1은 일실시예에 따른 플라즈마 처리 장치의 구성의 개략을 도시한 종단면도이다.
플라즈마 처리 장치는, 기밀하게 구성되고, 전기적으로 접지 전위가 된 처리 챔버(처리 용기)(1)를 가지고 있다. 이 처리 챔버(1)는 원통 형상으로 이루어지고, 예를 들면 알루미늄 등으로 구성되어 있고, 플라즈마 처리를 행하기 위한 플라즈마 처리 공간을 구획 형성하고 있다. 처리 챔버(1) 내에는, 피처리 기판인 반도체 웨이퍼(W)가 재치되는 하부 전극(2)이 설치되어 있다. 하부 전극(2)은 그 기재(2a)가 도전성의 금속, 예를 들면 알루미늄 등으로 구성되어 있다. 이 하부 전극(2)은 절연판(3)을 개재하여 도체의 지지대(4)에 지지되어 있다. 하부 전극(2) 및 지지대(4)의 주위를 둘러싸도록, 예를 들면 석영 등으로 이루어지는 원통 형상의 내벽 부재(3a)가 설치되어 있다.
하부 전극(2)의 기재(2a)에는 제 1 정합기(11a)를 개재하여 제 1 RF 전원(10a)이 접속되고, 또한 제 2 정합기(11b)를 개재하여 제 2 RF 전원(10b)이 접속되어 있다. 제 1 RF 전원(10a)은 플라즈마 발생용의 것이며, 이 제 1 RF 전원(10a)으로부터는 소정 주파수(27 MHz 이상 예를 들면 40 MHz)의 고주파 전력이 하부 전극(2)의 기재(2a)에 공급되도록 되어 있다. 또한, 제 2 RF 전원(10b)은 이온 인입용(바이어스용)의 것이며, 이 제 2 RF 전원(10b)으로부터는 제 1 RF 전원(10a)보다 낮은 소정 주파수(13.56 MHz 이하, 예를 들면 3.2 MHz)의 고주파 전력이 하부 전극(2)의 기재(2a)에 공급되도록 되어 있다. 또한, 하부 전극(2)의 상세한 구성에 대해서는 후술한다.
하부 전극(2)의 상방에는, 처리 챔버(1)의 플라즈마 처리 공간을 개재하여 하부 전극(2)과 대향하도록 상부 전극(16)이 설치되어 있다. 상부 전극(16)과 하부 전극(2)은 한 쌍의 전극으로서 기능하도록 되어 있다. 상부 전극(16)과 하부 전극(2)의 사이의 공간이 플라즈마를 생성시키기 위한 플라즈마 처리 공간이 된다.
지지대(4)의 내부에는 냉매 유로(4a)가 형성되어 있고, 냉매 유로(4a)에는 냉매 입구 배관(4b), 냉매 출구 배관(4c)이 접속되어 있다. 그리고, 냉매 유로(4a) 내부에 적절한 냉매, 예를 들면 냉각수 등을 순환시킴으로써, 지지대(4) 및 하부 전극(2)을 소정의 온도로 제어 가능하게 되어 있다. 또한, 하부 전극(2) 등을 관통하도록, 반도체 웨이퍼(W)의 이면측으로 헬륨 가스 등의 냉열 전달용 가스(백 사이드 가스)를 공급하기 위한 백 사이드 가스 공급 배관(30)이 설치되어 있고, 이 백 사이드 가스 공급 배관(30)은, 도시하지 않은 백 사이드 가스 공급원에 접속되어 있다. 이들 구성에 의해, 하부 전극(2)의 상면에 재치된 반도체 웨이퍼(W)를 소정의 온도로 제어 가능하게 되어 있다.
상부 전극(16)은 처리 챔버(1)의 천벽 부분에 설치되어 있다. 상부 전극(16)은, 본체부(16a)와 전극판을 이루는 상부 천판(16b)을 구비하고 있고, 절연성 부재(45)를 개재하여 처리 챔버(1)의 상부에 지지되어 있다. 본체부(16a)는 도전성 재료, 예를 들면 표면이 양극 산화 처리된 알루미늄으로 이루어지고, 그 하부에 상부 천판(16b)을 착탈 가능하게 지지할 수 있도록 구성되어 있다.
본체부(16a)의 내부에는 가스 확산실(16c)이 설치되고, 이 가스 확산실(16c)의 하부에 위치하도록, 본체부(16a)의 저부에는 다수의 가스 통류홀(16d)이 형성되어 있다. 또한 상부 천판(16b)에는, 당해 상부 천판(16b)을 두께 방향으로 관통하도록 가스 도입홀(16e)이, 상기한 가스 통류홀(16d)과 중첩되도록 형성되어 있다. 이러한 구성에 의해, 가스 확산실(16c)로 공급된 처리 가스는, 가스 통류홀(16d) 및 가스 도입홀(16e)을 거쳐 처리 챔버(1) 내에 샤워 형상으로 분산되어 공급되도록 되어 있다. 또한 본체부(16a) 등에는, 냉매를 순환시키기 위한 도시하지 않은 배관이 설치되어 있고, 플라즈마 에칭 처리 중에 상부 전극(16)을 원하는 온도로 냉각할 수 있도록 되어 있다.
본체부(16a)에는, 가스 확산실(16c)로 처리 가스를 도입하기 위한 가스 도입구(16f)가 형성되어 있다. 이 가스 도입구(16f)에는 가스 공급 배관(15a)이 접속되어 있고, 이 가스 공급 배관(15a)의 타단에는 에칭용의 처리 가스를 공급하는 처리 가스 공급원(15)이 접속되어 있다. 가스 공급 배관(15a)에는, 상류측으로부터 차례로 매스 플로우 컨트롤러(MFC)(15b) 및 개폐 밸브(V1)가 설치되어 있다. 그리고, 처리 가스 공급원(15)으로부터 플라즈마 에칭을 위한 처리 가스가, 가스 공급 배관(15a)을 거쳐 가스 확산실(16c)로 공급되고, 이 가스 확산실(16c)로부터 가스 통류홀(16d) 및 가스 도입홀(16e)을 거쳐 처리 챔버(1) 내에 샤워 형상으로 분산되어 공급된다.
상부 전극(16)에는, 로우 패스 필터(LPF)(51)를 개재하여 가변 직류 전원(52)이 전기적으로 접속되어 있다. 이 가변 직류 전원(52)은, 온·오프 스위치(53)에 의해 급전의 온·오프가 가능하게 되어 있다. 가변 직류 전원(52)의 전류·전압 및 온·오프 스위치(53)의 온·오프는 후술하는 컨트롤러(60)에 의해 제어되도록 되어 있다. 또한 후술하는 바와 같이, 제 1 RF 전원(10a), 제 2 RF 전원(10b)으로부터 고주파가 하부 전극(2)에 인가되어 플라즈마 처리 공간에 플라즈마가 발생할 시에는, 필요에 따라 컨트롤러(60)에 의해 온·오프 스위치(53)가 온이 되어, 상부 전극(16)에 소정의 직류 전압이 인가된다.
처리 챔버(1)의 측벽으로부터 상부 전극(16)의 높이 위치보다 상방으로 연장되도록 원통 형상의 접지 도체(1a)가 설치되어 있다. 이 원통 형상의 접지 도체(1a)는 그 상부에 천벽을 가지고 있다.
처리 챔버(1)의 저부에는 배기구(71)가 형성되어 있고, 이 배기구(71)에는 배기관(72)을 개재하여 배기 장치(73)가 접속되어 있다. 배기 장치(73)는 진공 펌프를 가지고 있고, 이 진공 펌프를 작동시킴으로써 처리 챔버(1) 내를 소정의 진공도까지 감압할 수 있도록 되어 있다. 한편, 처리 챔버(1)의 측벽에는, 반도체 웨이퍼(W)의 반입출구(74)가 형성되어 있고, 이 반입출구(74)에는 당해 반입출구(74)를 개폐하는 게이트 밸브(75)가 설치되어 있다.
도면 중 76, 77은 착탈 가능하게 이루어진 퇴적물 실드이다. 퇴적물 실드(76)는, 처리 챔버(1)의 내벽면을 따라 설치되고, 처리 챔버(1)에 에칭 부생물(퇴적물)이 부착하는 것을 방지하는 역할을 가지고, 이 퇴적물 실드(76)의 반도체 웨이퍼(W)와 대략 동일한 높이 위치에는, 직류적으로 그라운드에 접속된 도전성 부재(GND 블록)(79)가 설치되어 있고, 이에 의해 이상 방전이 방지된다.
상기 구성의 플라즈마 처리 장치는, 컨트롤러(60)에 의해 그 동작이 통괄적으로 제어된다. 이 제어부(60)에는, CPU를 구비하여 플라즈마 처리 장치의 각 부를 제어하는 프로세스 컨트롤러와, 유저 인터페이스와, 기억부가 설치되어 있다.
컨트롤러(60)의 유저 인터페이스는, 공정 관리자가 플라즈마 에칭 장치를 관리하기 위하여 커멘드의 입력 조작을 행하는 키보드 및 플라즈마 에칭 장치의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 구성되어 있다.
컨트롤러(60)의 기억부에는, 플라즈마 에칭 장치에서 실행되는 각종 처리를 프로세스 컨트롤러의 제어로 실현하기 위한 제어 프로그램(소프트웨어) 또는 처리 조건 데이터 등이 기억된 레시피가 저장되어 있다. 그리고 필요에 따라, 컨트롤러(60)의 유저 인터페이스로부터의 지시 등으로 임의의 레시피를 기억부로부터 호출하여 프로세스 컨트롤러에 실행시킴으로써, 컨트롤러(60)의 프로세스 컨트롤러의 제어하에서, 플라즈마 에칭 장치에서의 원하는 처리가 행해진다. 또한, 제어 프로그램 또는 처리 조건 데이터 등의 레시피는, 컴퓨터로 판독 가능한 컴퓨터 기억 매체(예를 들면, 하드 디스크, CD, 플렉시블 디스크, 반도체 메모리 등) 등에 저장된 상태의 것을 이용하거나, 혹은 다른 장치로부터, 예를 들면 전용 회선을 개재하여 수시 전송시켜 온라인으로 이용하는 것도 가능하다.
이어서, 도 1에 도시한 하부 전극(2)의 상세한 구성에 대하여 설명한다. 도 2는 일실시예에 따른 하부 전극의 구성을 모식적으로 도시한 종단면도이다. 도 2에 도시한 바와 같이, 하부 전극(2)은 기재(2a)와 정전 척(6)과 포커스 링(5)과 용사막(100)을 가진다.
기재(2a)는, 예를 들면 알루미늄 등의 도전성의 금속에 의해 대략 원기둥 형상으로 형성되어 있다. 기재(2a)에는 제 1 정합기(11a)를 개재하여 제 1 RF 전원(10a)이 접속되고, 또한 제 2 정합기(11b)를 개재하여 제 2 RF 전원(10b)이 접속되어 있다. 도 2 중에는, 기재(2a)와 제 1 RF 전원(10a) 및 제 2 RF 전원(10b)과의 전기적인 접속의 상태를 등가 회로로 나타내고 있다. 제 1 RF 전원(10a)으로부터는 소정 주파수(27 MHz 이상 예를 들면 40 MHz)의 고주파 전력이 하부 전극(2)의 기재(2a)에 공급된다. 제 2 RF 전원(10b)으로부터는 제 1 RF 전원(10a)보다 낮은 소정 주파수(13.56 MHz 이하, 예를 들면 3.2 MHz)의 고주파 전력이 하부 전극(2)의 기재(2a)에 공급된다. 또한 기재(2a)와 후술하는 정전 척(6)의 전극(6a)과의 사이에는 분극 전하가 발생하는데, 분극 전하의 분극 전위는, 기재(2a)에 접속되어 있는 고주파 인가 회로에 의해 분압된다. 분극 전하의 분극 전위는, 고주파 인가 회로 정수와 챔버 회로 정수에 의해 결정된다.
정전 척(6)은, 기재(2a)의 상면에 형성되어 전극(6a)을 덮는 절연층(6b)을 가진다. 전극(6a)에는 직류 전원(12)이 접속되어 있다. 절연층(6b)은 예를 들면 알루미나(Al2O3) 등의 절연 재료에 의해 형성되어 있다. 정전 척(6)은, 직류 전원(12)으로부터 전극(6a)에 인가되는 직류 전압에 기초하여, 반도체 웨이퍼(W)를 절연층(6b)에 정전 흡착한다.
포커스 링(5)은, 정전 척(6)의 절연층(6b)의 상면에, 반도체 웨이퍼(W)의 주위를 둘러싸도록 배치된다. 포커스 링(5)은, 예를 들면 실리콘 등의 도전성 재료에 의해 환상(環狀)으로 형성되어 있다. 포커스 링(5)은, 도전성의 용사막(100)을 개재하여 하부 전극(2)의 기재(2a)와 전기적으로 접속되어 있다.
용사막(100)은, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분에 배치되고, 절연층(6b)을 형성하는 절연 재료에 티타니아가 소정의 중량 비율로 배합된 합성 재료를 이용하여 형성된 도전성의 용사막이다. 용사막(100)은, 티타니아(TiO2)를 포함하는 용사막이며, 도전성을 가지고 있다. 용사막(100)은, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분에 환상으로 형성되고, 포커스 링(5)과 기재(2a)를 전기적으로 접속한다. 환언하면, 티타니아(TiO2)를 포함하는 용사막(100)에 대하여 반도체 웨이퍼(W)가 직접적으로 밀착하지 않도록, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재되고, 반도체 웨이퍼(W)로부터 소정 거리만큼 이간되는 부분에 용사막(100)이 형성된다.
또한 용사막(100)은, 절연 재료에 티타니아(TiO2)가 소정의 중량 비율로 배합된 합성 재료를 이용하여 형성된다. 본 실시예에서는, 용사막(100)은, 절연 재료로서의 알루미나(Al2O3)에 티타니아(TiO2)가 소정의 중량 비율로 배합된 합성 재료(이하 적당히 '합성 재료'라고 함)를 이용하여 형성된다. 바람직하게는, 용사막(100)은, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분의 비저항이 106 ~ 108 Ω·cm가 되도록 합성 재료를 이용하여 형성된다.
여기서, 용사막(100)이 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분의 비저항이 106 ~ 1010 Ω·cm가 되도록 합성 재료를 이용하여 형성되는 이유에 대하여 설명한다. 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분의 비저항이 106 Ω·cm보다 작은 값으로 설정된 경우를 상정한다. 이 경우에는, 포커스 링(5)의 임피던스가 반도체 웨이퍼의 임피던스와 비교하여 작아지기 때문에, 플라즈마가 포커스 링(5) 부근에 대하여 국소적으로 집중한다. 이에 대하여, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분의 비저항이 1010 Ω·cm보다 큰 값으로 설정된 경우를 상정한다. 이 경우에는, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분의 절연성이 과도하게 커지기 때문에, 용사막(100)을 개재하여 포커스 링(5)으로부터 기재(2a)에 직류 전류가 흐르기 어려워진다. 즉 본 실시예에서는, 포커스 링(5)과 기재(2a)의 전위차에 따른 적당한 직류 전류를 용사막(100)에 흘리는 것을 목적으로 하여, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분의 비저항이 106 ~ 1010 Ω·cm가 되도록 용사막(100)이 합성 재료를 이용하여 형성된다.
플라즈마 처리 중에 플라즈마에 노출되는 반도체 웨이퍼(W)와 포커스 링(5)은, 셀프 바이어스에 의해 대략 동전위(예를 들면, 최대 마이너스 2000 V 정도)가 된다. 한편, 하부 전극(2)의 기재(2a)는, 정전 척용의 전극(6a)에 인가되는 직류 고전압의 영향 등으로 플러스 전위가 된다. 본 실시예에서는, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분의 비저항이 106 ~ 1010 Ω·cm가 되도록 용사막(100)이 합성 재료를 이용하여 형성된다. 이 때문에, 포커스 링(5)과 기재(2a)의 전위차에 따른 적당한 직류 전류가 용사막(100)을 통하여 흐른다. 이 직류 전류에 의해, 포커스 링(5)과 하부 전극(2)의 기재(2a) 간의 전위차를 감소시킬 수 있고, 이 전위차를 예를 들면 500 V 정도로 할 수 있다. 즉, 직류 전류의 발생에 의해, 하부 전극(2)의 기재(2a)의 전위가 포커스 링(5)의 전위에 근접한다. 이에 의해, 하부 전극(2)의 기재(2a)와 반도체 웨이퍼(W)의 전위차도 감소하기 때문에, 반도체 웨이퍼(W)와 하부 전극(2)의 기재(2a) 또는 그 주변의 구조물과의 사이에서 방전이 발생하는 것을 방지할 수 있어, 반도체 웨이퍼(W)의 칩의 수율을 향상시켜 생산성의 향상을 도모할 수 있다. 물론, 포커스 링(5)과 하부 전극(2)의 기재(2a) 또는 그 주변의 구조물과의 사이에서 방전이 발생하는 것도 방지할 수 있다.
이어서, 용사막(100)을 형성하는 합성 재료의 중량 비율을 설명한다. 도 3은 용사막을 형성하는 합성 재료의 중량 비율을 설명하기 위한 도이다. 도 3에서 종축은, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분의 비저항(Rv[Ω·cm])의 크기를 나타내고, 횡축은, 합성 재료에서의 알루미나(Al2O3)에 대한 티타니아(TiO2)의 중량 비율[wt%]을 나타낸다. 또한 비저항(Rv[Ω·cm])의 크기는, 10을 밑으로 하는 비저항(Rv[Ω·cm])의 로그에 의해 나타내진다. 도 3의 프레임(150)으로 나타낸 바와 같이, 합성 재료에서의, 알루미나에 대한 티타니아의 중량 비율이 10 ~ 30 wt%인 경우에는, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분의 비저항(Rv)이 106 ~ 1010 Ω·cm가 된다. 비저항(Rv)이 106 ~ 1010 Ω·cm가 될 경우에는, 용사막(100)을 개재하여 포커스 링(5)으로부터 기재(2a)에 직류 전류가 흐름으로써, 하부 전극(2)의 기재(2a)의 전위를 포커스 링(5)의 전위에 근접시키는 것이 가능해진다. 또한, 합성 재료에서의 알루미나에 대한 티타니아의 중량 비율이 10 ~ 30 wt%인 경우에는, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분의 비유전률이 8 ~ 14로 유지되는 것이 판명되어 있다. 따라서 본 실시예에서는, 합성 재료에서의 알루미나에 대한 티타니아의 중량 비율은 10 ~ 30 wt%로 설정되어 있다.
이상, 본 실시예의 하부 전극에 의하면, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분에 티타니아를 포함하는 용사막(100)을 형성했으므로, 플라즈마 처리 중에 포커스 링(5)과 기재(2a)의 전위차에 따른 적당한 직류 전류를 용사막(100)에 흘릴 수 있고, 또한 티타니아를 포함하는 용사막(100)을 반도체 웨이퍼(W)로부터 이간시킬 수 있다. 그 결과, 본 실시예에 의하면, 플라즈마 처리 중에 반도체 웨이퍼(W)와 하부 전극(2)의 기재(2a) 또는 주변 구조물과의 사이에서 방전이 발생하는 것을 방지하면서, 용사막(100)에 포함되는 티타니아로부터 해리된 티탄 입자에 의해 반도체 웨이퍼(W)가 오염되는 사태를 회피할 수 있다.
또한 상술한 설명에서는, 하부 전극(2)의 용사막(100)이 단면에서 봤을 때 직사각형 형상으로 형성되는 실시예를 일례로서 나타냈지만, 이에는 한정되지 않는다. 이하, 하부 전극의 변형예에 대하여 설명한다. 도 4는 일실시예에 따른 하부 전극의 변형예를 도시한 종단면도이다.
도 4에 도시한 바와 같이, 변형예에 따른 하부 전극(2)의 용사막(200)은, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분에 배치되어 있고, 단면에서 봤을 때 절구 형상으로 형성되어 있다. 이 예에서는, 용사막(200)은, 정전 척(6)의 절연층(6b) 중 포커스 링(5)과 기재(2a)에 개재된 부분에 배치되어 있고, 단면에서 봤을 때, 기재(2a)에 가까워질수록 선단이 좁아지는 절구 형상으로 형성되어 있다.
변형예의 하부 전극(2)에 의하면, 절구 형상으로 형성된 용사막(200)에 의해, 정전 척(6)의 절연층(6b)과 용사막(200)의 밀착성을 향상시킬 수 있으므로, 용사막(200)과 정전 척(6)의 절연층(6b)과의 사이에 저항이 되는 간극이 발생하는 사태를 회피할 수 있다. 그 결과, 플라즈마 처리 중에 포커스 링(5)과 기재(2a)의 전위차에 따른 적당한 직류 전류를 용사막(200)에 안정적으로 흘릴 수 있으므로, 반도체 웨이퍼(W)와 하부 전극(2)의 기재(2a) 또는 주변 구조물과의 사이에서 방전이 발생하는 것을 효율적으로 방지할 수 있다.
1 : 처리 챔버(처리 용기)
2 : 하부 전극
2a : 기재
5 : 포커스 링
6 : 정전 척
6a : 전극
6b : 절연층
16 : 상부 전극
100, 200 : 용사막

Claims (5)

  1. 고주파 전력이 인가되는 도전성의 기재와,
    상기 기재의 상면에 형성되어 전극을 덮는 절연층을 가지고, 상기 전극에 인가되는 전압에 기초하여, 플라즈마 처리의 처리 대상이 되는 피처리 기판을 상기 절연층에 정전 흡착하는 정전 척과,
    상기 정전 척의 상기 절연층의 상면에, 상기 피처리 기판의 주위를 둘러싸도록 설치된 포커스 링과,
    상기 정전 척의 상기 절연층 중 상기 포커스 링과 상기 기재에 개재된 부분에 배치되고, 상기 절연층을 형성하는 절연 재료에 티타니아가 소정의 중량 비율로 배합된 합성 재료를 이용하여 형성된 도전성의 용사막을 구비한 것을 특징으로 하는 하부 전극.
  2. 제 1 항에 있어서,
    상기 용사막은, 상기 정전 척의 상기 절연층 중 상기 포커스 링과 상기 기재에 개재된 부분의 비저항이 106 ~ 1010 Ω·cm가 되도록, 상기 합성 재료를 이용하여 형성되는 것을 특징으로 하는 하부 전극.
  3. 제 1 항에 있어서,
    상기 소정의 중량 비율은 10 ~ 30 wt%인 것을 특징으로 하는 하부 전극.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 용사막은, 단면에서 봤을 때 절구 형상으로 형성되는 것을 특징으로 하는 하부 전극.
  5. 플라즈마 처리 공간을 구획 형성하는 처리 용기와,
    상기 처리 용기 내에 설치되고, 피처리 기판이 재치되는 하부 전극과,
    상기 플라즈마 처리 공간을 개재하여 상기 하부 전극과 대향하여 배치된 상부 전극을 구비한 플라즈마 처리 장치로서,
    상기 하부 전극은,
    고주파 전력이 인가되는 도전성의 기재와,
    상기 기재의 상면에 형성되어 전극을 덮는 절연층을 가지고, 상기 전극에 인가되는 전압에 기초하여 상기 피처리 기판을 상기 절연층에 정전 흡착하는 정전 척과,
    상기 정전 척의 상기 절연층의 상면에, 상기 피처리 기판의 주위를 둘러싸도록 배치된 포커스 링과,
    상기 정전 척의 상기 절연층 중 상기 포커스 링과 상기 기재에 개재된 부분에 배치되고, 상기 절연층을 형성하는 절연 재료에 티타니아가 소정의 중량 비율로 배합된 합성 재료를 이용하여 형성된 용사막을 구비한 것을 특징으로 하는 플라즈마 처리 장치.
KR1020147035302A 2012-07-17 2013-07-02 하부 전극 및 플라즈마 처리 장치 KR102070706B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2012-158842 2012-07-17
JP2012158842A JP5982206B2 (ja) 2012-07-17 2012-07-17 下部電極、及びプラズマ処理装置
US201261674903P 2012-07-24 2012-07-24
US61/674,903 2012-07-24
PCT/JP2013/068166 WO2014013863A1 (ja) 2012-07-17 2013-07-02 下部電極、及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20150035694A true KR20150035694A (ko) 2015-04-07
KR102070706B1 KR102070706B1 (ko) 2020-01-29

Family

ID=49948695

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147035302A KR102070706B1 (ko) 2012-07-17 2013-07-02 하부 전극 및 플라즈마 처리 장치

Country Status (4)

Country Link
US (1) US10269543B2 (ko)
JP (1) JP5982206B2 (ko)
KR (1) KR102070706B1 (ko)
WO (1) WO2014013863A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10083853B2 (en) * 2015-10-19 2018-09-25 Lam Research Corporation Electrostatic chuck design for cooling-gas light-up prevention
KR101722382B1 (ko) * 2016-01-08 2017-04-03 주식회사 윈텔 플라즈마 처리 장치
KR102604063B1 (ko) 2016-08-18 2023-11-21 삼성전자주식회사 정전 척 어셈블리 및 이를 포함하는 기판 처리 장치
WO2019087977A1 (ja) * 2017-10-30 2019-05-09 日本碍子株式会社 静電チャック及びその製法
JP7033441B2 (ja) * 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
JP7134695B2 (ja) * 2018-04-27 2022-09-12 東京エレクトロン株式会社 プラズマ処理装置、及び電源制御方法
JP7142551B2 (ja) * 2018-12-03 2022-09-27 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
WO2023008209A1 (ja) * 2021-07-28 2023-02-02 東京エレクトロン株式会社 基板支持器及び基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06232243A (ja) * 1993-01-29 1994-08-19 Sumitomo Metal Ind Ltd 静電チャック
KR20110110006A (ko) * 2010-03-30 2011-10-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 반도체 장치의 제조 방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2971369B2 (ja) * 1995-08-31 1999-11-02 トーカロ株式会社 静電チャック部材およびその製造方法
US6494958B1 (en) * 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
JP2003309110A (ja) * 2002-04-17 2003-10-31 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
JP5201527B2 (ja) * 2008-03-28 2013-06-05 東京エレクトロン株式会社 静電チャック、及びその製造方法
JP5357639B2 (ja) * 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP5496630B2 (ja) * 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
JP5584517B2 (ja) * 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
KR101147961B1 (ko) * 2011-02-17 2012-05-24 주식회사 템네스트 반도체 제조설비의 정전척

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06232243A (ja) * 1993-01-29 1994-08-19 Sumitomo Metal Ind Ltd 静電チャック
KR20110110006A (ko) * 2010-03-30 2011-10-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 반도체 장치의 제조 방법
JP2011210958A (ja) 2010-03-30 2011-10-20 Tokyo Electron Ltd プラズマ処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
US20150206722A1 (en) 2015-07-23
JP2014022518A (ja) 2014-02-03
JP5982206B2 (ja) 2016-08-31
US10269543B2 (en) 2019-04-23
KR102070706B1 (ko) 2020-01-29
WO2014013863A1 (ja) 2014-01-23

Similar Documents

Publication Publication Date Title
KR102070706B1 (ko) 하부 전극 및 플라즈마 처리 장치
KR101677239B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5563347B2 (ja) プラズマ処理装置及び半導体装置の製造方法
JP5584517B2 (ja) プラズマ処理装置及び半導体装置の製造方法
KR102340222B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP6423706B2 (ja) プラズマ処理装置
JP6986937B2 (ja) プラズマ処理装置
US20190304814A1 (en) Plasma processing apparatus
TW201611080A (zh) 電漿處理方法及電漿處理裝置
KR20140092257A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
TW201511077A (zh) 電漿處理裝置及電漿處理方法
KR101898079B1 (ko) 플라즈마 처리 장치
WO2019244631A1 (ja) 載置台及び基板処理装置
KR20200028288A (ko) 플라스마 처리 장치
KR102661830B1 (ko) 플라즈마 처리 장치
TWI643260B (zh) 電漿處理裝置
JP6932070B2 (ja) フォーカスリング及び半導体製造装置
US8974600B2 (en) Deposit protection cover and plasma processing apparatus
JP2018129386A (ja) プラズマ処理装置
WO2020059596A1 (ja) 載置台及び基板処理装置
JP7500397B2 (ja) プラズマ処理装置とその製造方法、及びプラズマ処理方法
TW202139248A (zh) 載置台及基板處理裝置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant