KR20140035280A - 반도체 프로세싱 장비에 관련된 방법 및 시스템 - Google Patents

반도체 프로세싱 장비에 관련된 방법 및 시스템 Download PDF

Info

Publication number
KR20140035280A
KR20140035280A KR1020130109707A KR20130109707A KR20140035280A KR 20140035280 A KR20140035280 A KR 20140035280A KR 1020130109707 A KR1020130109707 A KR 1020130109707A KR 20130109707 A KR20130109707 A KR 20130109707A KR 20140035280 A KR20140035280 A KR 20140035280A
Authority
KR
South Korea
Prior art keywords
processing
cluster
robot
chamber
wafers
Prior art date
Application number
KR1020130109707A
Other languages
English (en)
Inventor
벤자민 더블유. 무어링
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140035280A publication Critical patent/KR20140035280A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Abstract

반도체 프로세싱 장비가 기재된다. 예시적인 실시형태들 중 적어도 몇몇은, 적어도 하나의 웨이퍼 캐리어로부터 개별 웨이퍼들을 뽑아내도록 구성된 전단 로봇; 전단 로봇과 동작 관계에 있는 선형 로봇으로서, 선형 로봇은 연장된 길이 경로를 따라 웨이퍼들을 이동시키도록 구성되는, 선형 로봇; 및 선형 로봇과 동작 관계에 있는 제 1 프로세싱 클러스터를 포함하는 시스템들이다. 제 1 프로세싱 클러스터는, 제 1 프로세싱 챔버; 제 2 프로세싱 챔버; 및 제 1 및 제 2 프로세싱 챔버들 사이에 배치된 제 1 클러스터 로봇을 더 포함할 수도 있다. 제 1 클러스터 로봇은 선형 로봇으로부터 프로세싱 챔버들로 웨이퍼들을 이송시키도록 구성되고, 프로세싱 챔버들로부터 선형 로봇으로 웨이퍼들을 이송시키도록 구성된다.

Description

반도체 프로세싱 장비에 관련된 방법 및 시스템{METHOD AND SYSTEM RELATED TO SEMICONDUCTOR PROCESSING EQUIPMENT}
반도체 디바이스들의 임계 치수들이 계속 더 작아짐에 따라, 반도체 디바이스들을 생성하는데 사용되는 프로세싱 단계들의 수가 증가하며, 유사하게, 프로세스 시간들이 증가한다. 그러나, 클라이언트들은 메인 프로세싱 (예를 들어, 에칭, 화학 기상 증착) 과 관련하여 웨이퍼들의 사전-프로세싱 및 사후-프로세싱을 수행하기 위한 능력을 계속 요구하고 있으며, 이는 프로세싱 툴이 조성해야 하는 구성들의 수를 추가적으로 증가시킨다.
제조 설비의 스루풋을 유지하기 위해, 더 많은 프로세싱 챔버들이 필요할 수도 있다. 사전-프로세싱 및 사후-프로세싱 요건들을 수용하기 위해, 주어진 툴 상의 챔버들의 타입들에서의 더 넓은 변화가 필요할 수도 있다. 그러나, 부가적인 프로세싱 챔버들을 갖는 새로운 반도체 프로세싱 장비를 설계하고 그 장비에 자격을 부여하는 것 (qualify) 은 길고 복잡한 시도이다.
본 발명의 예시적인 실시형태들의 상세한 설명에 대해, 이제 참조가 첨부한 도면들에 대해 행해질 것이다.
도 1은 적어도 몇몇 실시형태들에 따른 반도체 프로세싱 장비의 투시도를 도시한다.
도 2는 적어도 몇몇 실시형태들에 따른 반도체 프로세싱 장비의 상면도 (overhead view) 를 도시한다.
도 3은 적어도 몇몇 실시형태들에 따른 반도체 프로세싱 장비의 상면도를 도시한다.
도 4는 적어도 몇몇 실시형태들에 따른 반도체 프로세싱 장비의 상면도를 도시한다.
도 5는 적어도 몇몇 실시형태들에 따른 선형 이송 로봇 및 관련된 장비의 개관적인 부분 절단도를 도시한다.
도 6은 적어도 몇몇 실시형태들에 따른 선형 이송 로봇의 (도 5의 라인들 6-6을 따라 실질적으로 취해진) 정면도를 도시한다.
도 7은 적어도 몇몇 실시형태들에 따른 프로세싱 클러스터의 개관적인 부분 절단도를 도시한다.
도 8은 적어도 몇몇 실시형태들에 따른 프로세싱 클러스터의 투시도를 도시한다.
도 9는 적어도 몇몇 실시형태들에 따른 프로세싱 클러스터의 개관적인 부분 절단도를 도시한다.
도 10은 적어도 몇몇 실시형태들에 따른 방법을 도시한다.
표시 및 명칭
특정한 용어들이 특정한 시스템 컴포넌트들을 지칭하기 위해 다음의 설명 및 청구항들 전반에 걸쳐 사용된다. 당업자가 인식할 바와 같이, 상이한 회사들은 상이한 이름들로 컴포넌트를 지칭할 수도 있다. 본 문헌은, 기능이 아니라 명칭에서 상이한 컴포넌트들 사이를 구별하기를 의도하지는 않는다.
다음의 설명 및 청구항들에서, "포함하는 (including)" 및 "구비하는 (comprising)" 이라는 용어들은 개방형 방식 (open-ended fashion)) 으로 사용되며, 따라서, "포함하지만 이에 제한되지는 않음" 을 의미하도록 해석되어야 한다. 또한, "커플" 또는 "커플링" 이라는 용어들은 간접 또는 직접 접속 중 어느 하나를 의미하도록 의도된다. 따라서, 제 1 디바이스가 제 2 디바이스에 커플링하면, 그 접속은 다른 디바이스들 및 접속들을 통한 직접 접속 또는 간접 접속을 통한 것일 수도 있다.
"선형 로봇" 은, 웨이퍼 이동이 2개의 자유도들 (예를 들어, 한정된 트랙을 따른 수평 병진운동 (translation), 및 중력에 대한 고도 변화들) 또는 그 미만의 자유도를 갖도록 웨이퍼들을 이송시키는 로봇 시스템을 의미할 것이다. 그러나, 선형 로봇은 직선 병진운동을 요구하는 것으로 판독되지는 않아야 한다.
"클러스터 로봇" 은 웨이퍼 이동이 3개 이상의 자유도들을 갖도록 웨이퍼들을 수송하는 로봇 시스템을 의미할 것이다.
"확장된 길이 경로" 는, 웨이퍼들을 이동시킴으로써 스윕 아웃 (sweep out) 된 영역이 길이가 적어도 6 웨이퍼 직경이지만 폭이 2 웨이퍼 직경을 초과하지 않는 경로를 의미할 것이다.
"프로세싱 챔버" 는, 챔버가 8 세제곱 (cubic) 피트 이하의 내부 체적을 정의하는 경우 반도체 프로세싱 (예를 들어, 에칭, 증착, 또는 세정) 이 발생하는 챔버를 의미할 것이다.
"대기 압력에서 동작됨" 은 대기 압력에서 동작되어 대기 압력 미만에서 사용하도록 설계된 구조들을 포함하도록 판독되지는 않아야 한다.
상세한 설명
다음의 설명은 본 발명의 다양한 실시형태들에 관한 것이다. 이들 실시형태들 중 하나 이상이 바람직할 수도 있지만, 기재된 실시형태들은 청구항들을 포함하는 본 발명의 범위를 제한하는 것으로서 해석되지 않아야 하거나 사용되지 않아야 한다. 부가적으로, 당업자는, 다음의 설명이 넓은 애플리케이션을 가지며, 임의의 실시형태의 설명이 청구항들을 포함하는 본 발명의 범위가 그 실시형태로 제한된다는 것을 암시하도록 의도되는 것이 아니라 그 실시형태를 예시한다는 것을 의미한다는 것을 이해할 것이다.
다양한 예시적인 실시형태들은 반도체 프로세싱 장비에 관한 것이고, 더 상세하게는, 설계 스테이지에서 뿐만 아니라 구현 스테이지에서 용이하게 스캐일가능한 반도체 프로세싱 장비에 관한 것이다. 즉, 반도체 프로세싱 장비의 다양한 예들은, 프로세싱 챔버들의 초기에 원하는 수를 수용하도록 설계될 수 있을 뿐만 아니라, 초기 인스톨 이후 장비의 장래의 확장을 수용하도록 설계될 수 있다. 먼저, 규격은 예시적인 시스템들 내의 반도체 프로세싱 장비의 고레벨 개관을 참조한다.
도 1은 예시적인 시스템들에 따른 반도체 프로세싱 장비 (100) 의 간략화된 투시도를 부분적인 절단으로 도시한다. 특히, 도 1은, 반도체 프로세싱 장비 (100) 가 전단 로봇 (102) 및 관련된 캐비네트리 (cabinetry) 를 포함한다는 것을 도시한다. 전단 로봇 (102) 은 적어도 하나의 웨이퍼 캐리어로부터 개별 반도체 웨이퍼들 (이하 간단히 "웨이퍼들") 을 뽑아내도록 설계 및 구성된다. 예시적인 전단 로봇 (102) 은 2개의 웨이퍼 캐리어들 (104 및 106) 과 동작 관계에 있는 것으로 도시되어 있지만, 다른 예시적인 시스템들에서, 전단 로봇 (102) 은 하나 이상의 웨이퍼 캐리어들과 인터페이싱할 수도 있다. 웨이퍼 캐리어로부터 웨이퍼를 뽑아낸 이후, 전단 로봇 (102) 은 프로세싱 챔버 또는 챔버들로의 이송을 위해 다운스트림 장비 (예를 들어, 도 1에서 보이지 않는 로봇 (200), 및 선형 로봇 (108)) 로 웨이퍼를 제공할 수도 있다. 또한, 웨이퍼들이 프로세싱 챔버들로부터 복귀될 경우 (예를 들어, 선형 로봇 (108) 에 의해 복귀될 경우), 전단 로봇 (102) 은 적절할 때 웨이퍼들을 다시 웨이퍼 캐리어들에 배치시킬 수도 있다. 몇몇 예시적인 시스템들에서, 전단 로봇 시스템 (102) 은 장비 전단 모듈 (EFEM) 로서 지칭될 수도 있다. 예시적인 시스템들에서, 전단 로봇 (102) 은 대기압에서 동작되지만, 전단 로봇 (102) 은 로드 록 (load lock) 시스템에 의해 대기보다 낮은 챔버들에서 동작되는 다운스트림 시스템들과 웨이퍼들을 교환할 수도 있다.
반도체 프로세싱 시스템 (100) 은 (진공 챔버 (109) 내에 배치된) 선형 로봇 (108) 을 더 포함하며, 선형 로봇 (108) 은 전단 로봇 시스템 (102) 과 동작 관계에 있다. 선형 로봇이 더 상세히 후술되지만, 도시된 예시적인 시스템에서, 선형 로봇 (108) 은 일 단부 상에서 전단 로봇 (102) 과 (도 2의 로봇 (200) 을 통해) 인터페이싱하며, 선형 로봇 (108) 은 (절단부 내의 웨이퍼 (100) 및 화살표들 (112 및 114) 에 의해 도시된 바와 같이) 연장된 길이 경로를 따라 웨이퍼들을 이동시키도록 구성된다. 선형 로봇 (108) 은, 프로세싱 클러스터들과의 웨이퍼 교환이 발생하는 복수의 위치들을 정의한다. 도 1의 예시적인 시스템에서, 선형 로봇은, 웨이퍼 교환이 발생할 수도 있는 4개의 위치들을 정의하며, 위치들 (116) 은 도 1의 관점에서 가장 가까운 측면 상에 있고, 위치 (118) 는 선형 로봇 시스템 (108) 의 원단 (distal end) 상에 있고, 위치 (120) 는 위치 (116) 에 반대에 있으며, 위치 (121) 는 도 1에서 보이지 않는 이송 로봇과 연관된다. 선형 로봇 (108) 의 각각의 "측면" 상에 하나가 있고 웨이퍼 이송이 발생하는 4개의 예시적인 위치들을 갖는 것은 단지 일 예일 뿐이다. 다수의 웨이퍼 이송 위치들은 임의의 특정한 측면을 따라 존재할 수도 있으며, 원단 상의 웨이퍼 이송 위치가 필요에 따라 포함되거나 생략될 수도 있다.
예시적인 선형 로봇 (108) 은 대기보다 낮은 압력들로 진공 챔버 (109) 내에서 동작하며, 그에 따라, 로드 록들 (120 및 122) 에 의해 전단 로봇 시스템 (102) 과 인터페이싱한다. 즉, 전단 로봇 (102) 은, 로드 록이 대기압에 있는 동안 로드 록들 (122 또는 124) 등 중 하나 내에 웨이퍼들을 배치시킬 수도 있다. 그 후, 로드 록은 배출될 수도 있으며, 웨이퍼는 연장된 길이 경로를 따른 이송을 위해 진공 챔버 (109) 내의 선형 로봇 (108) 상에 (도 2의 로봇 (200) 에 의해) 배치된다. 유사하게, 웨이퍼들을 복귀시키기 위해, 복귀중인 웨이퍼들은 (도 2의 로봇 (200) 에 의해) 로드 록에 배치될 수도 있고, 로드 록은 대기압으로 배출되며, 그 후, 전단 로봇 (102) 은 웨이퍼를 리트리브 (retrieve) 하고 웨이퍼를 웨이퍼 캐리어에 배치시킬 수도 있다. 도 1의 예시적인 시스템에서, 선형 로봇 (108) 은 2개의 로드 록들에 의해 전단 로봇 (102) 과 인터페이싱하지만, 시스템의 웨이퍼 스루풋에 의존하여, 단일 로드 록이 사용될 수도 있다. 선형 로봇 (108) 이 진공 하에서 동작하는 것으로 도 1에 도시되어 있지만, 다른 경우들에서, 선형 로봇 시스템 (108) 이 대기압으로 동작될 수도 있음 (즉, 진공 챔버가 사용되지 않음) 을 유의한다.
도 1을 계속 참조하면, 예시적인 반도체 프로세싱 시스템 (100) 은 3개의 프로세싱 클러스터들 (130, 132 및 134) 을 더 포함한다. 예시적인 시스템들 내의 프로세싱 클러스터는 클러스터 로봇과 동작 관계에 있는 하나 이상의 프로세스 챔버들을 포함할 수도 있다. 일 예로서 프로세싱 클러스터 (130) 를 참조하면, 프로세싱 클러스터 (130) 는, 제 1 프로세스 챔버 (138), 제 2 프로세스 챔버 (140), 및 프로세스 챔버들 (138 및 140) 사이에 배치된 클러스터 로봇 (142) 을 지지하는 프레임 (136) 을 포함한다. 클러스터 로봇 (142) 은 선형 로봇 (108) 으로 및 선형 로봇 (108) 으로부터 웨이퍼들을 이송시키도록 구성되고, 프로세싱 클러스터의 프로세스 챔버들로 및 프로세스 챔버들로부터 웨이퍼들을 이송시키도록 구성된다. 몇몇 경우들에서, 다양한 프로세스들이 순차적으로 관련되면, 클러스터 로봇 (142) 은 프로세스 챔버 (138) 로부터 프로세스 챔버 (140) 로 (또는 그 역으로) 웨이퍼를 이송시킬 수도 있다. 또한, 웨이퍼들을 선형 로봇 (108) 과 교환하기 위해 및 유사하게는, 프로세스 챔버들로부터 웨이퍼들을 배치 및 리트리브하기 위해, 클러스터 로봇 (142) 은 웨이퍼 이동에 관한 적어도 3개의 자유도들을 갖는다 (예를 들어, 수평면의 2개의 자유도들, 및 각각, 선형 로봇 (108) 으로부터 및 선형 로봇 (108) 으로 웨이퍼들을 상승 및 하강시키기 위한 z-축 능력). 그러나, 다양한 시스템들에서, 클러스터 로봇이 클러스터와 연관된 프로세스 챔버들 내에서 프로세싱될 웨이퍼들만을 핸들링할 필요가 있으며, 그 결과, (그들 웨이퍼들이 클러스터 로봇이 직접 연관된 클러스터에서 프로세싱되지 않으면) 클러스터 로봇이 다른 클러스터들로 및/또는 다른 클러스터로부터 이동하는 웨이퍼들을 핸들링 또는 터치하지 않음을 유의한다. 따라서, 예를 들어, 프로세싱 클러스터 (130) 의 클러스터 로봇 (142) 은 프로세싱 클러스터 (132) 또는 프로세싱 클러스터 (134) 로 예정된 웨이퍼들을 핸들링 또는 터치할 필요가 없다.
예시적인 프로세싱 챔버들 (138 및 140) 은 임의의 적절한 형태를 취할 수도 있다. 몇몇 경우들에서, 프로세싱 클러스터의 프로세싱 챔버들은 동일한 태스크 (예를 들어, 플라즈마-기반 금속 에칭, 플라즈마-기반 폴리 에칭, 플라즈마-기반 애쉬 (ash) 프로세스, 습식 또는 건식 웨이퍼 세정, 화학 기상 증착 (CVD)) 를 수행하도록 설계 및 구성될 수도 있다. 다른 경우들에서, 프로세싱 챔버들은 상이하고 종종 상보적인 태스크들을 수행하도록 설계 및 구성될 수도 있다. 예를 들어, 클러스터 내의 제 1 프로세스 챔버는 웨이퍼에 대한 프로세스 단계를 수행할 수도 있고, 클러스터 내의 제 2 프로세스 챔버는 웨이퍼에 대한 다음의 프로세싱 단계를 수행할 수도 있다. 프로세싱 챔버들은 진공 하에서 동작하도록 설계 및 구성될 수도 있거나 (예를 들어, 에칭 프로세스들, CVD)), 프로세싱 챔버들은 대기압에서 동작되도록 설계될 수도 있다 (예를 들어, 세정 프로세스들). 대부분의 경우들에서, 프로세싱 챔버들 모두는 진공 하에서 동작하도록 설계 및 구성될 것이거나, 대기압에서 동작하도록 설계 및 구성될 것이다.
도 1의 예시적인 프로세싱 클러스터들에서, 각각의 프로세싱 클러스터는 프로세스 챔버의 단지 하나의 "레벨" 만을 갖는다. 예를 들어, 프로세스 챔버 (138) 는 프로세스 챔버 (140) 와 동일한 고도에 있다. 그러나, 다른 경우들에서, 프로세스 챔버들은 고도에서 오프셋될 수도 있으며, 따라서, 클러스터 로봇 (142) 은 각각의 프로세싱 챔버와의 상호작용을 인에이블시키기 위한 z-축 병진운동 능력을 가질 수도 있다. 더 추가적으로, 몇몇 프로세싱 클러스터들 (특히, 웨이퍼들이 임의의 적절한 세정 재료에 의해 세척되거나 세정되는 대기압에서 동작되는 "세정" 클러스터들) 이 적층될 수도 있다. 예를 들어, 프로세싱 클러스터는 4개 이상의 프로세싱 챔버들을 가질 수도 있으며, 2개의 적층된 챔버들은 클러스터 로봇의 일 측면 상에 있고, 2개의 적층된 챔버들은 클러스터 로봇의 다른 측면 상에 있다. 더 추가적으로, 단일 반도체 프로세싱 시스템의 프로세싱 클러스터들 사이에 대응관계가 필요치 않다. 예를 들어, 프로세싱 클러스터 (130) 는 특정한 태스크들을 수행하고 2개의 프로세싱 챔버들을 갖도록 설계될 수도 있지만, 프로세싱 클러스터 (132) 는 상이한 태스크들을 수행하고 상이한 수의 프로세스 챔버들을 갖도록 설계될 수도 있다.
도 2는 변경들의 설명을 위해 약칭 표시 (shorthand notation) 를 도입하기 위한 반도체 프로세싱 시스템 (100) 의 상면도를 도시한다. 특히, 도 2는 2개의 예시적인 로드 록들 (122 및 124) 에 의해 선형 로봇 (108) 에 동작적으로 커플링된 전단 로봇 (102) 을 포함하는 반도체 프로세싱 시스템 (100) 을 도시한다. 도 2에서 보이는 것은, 프로세싱 클러스터들로의 전달을 위해 (각각, 도어들 (123 및 125) 을 통해) 로드 록들 (122 및 124) 로부터 선형 로봇 시스템 (108) 으로 웨이퍼들을 이동시키도록 구성된 이송 로봇 (200) 이다. 이송 로봇 (200) 은 다시 웨이퍼 캐리어들에 배치시키기 위해 선형 로봇 (108) 으로부터 로드 록들 (122 및 124) 로 웨이퍼들을 이동시키도록 구성된다. 몇몇 경우들에서, 이송 로봇 (200) 은, 선형 로봇 (108) 으로부터 이송 로봇 (200) 을 분리시키는 도어 (201) 를 갖는 자신의 챔버 내에 상주한다. 다른 경우들에서, 별개의 물리 챔버들은 이송 로봇 (200) 및 선형 로봇 (108) 에 대해 정의될 수도 있지만, 도어가 없을 수도 있다. 최종적으로, 다른 경우들에서, 이송 로봇 (200) 및 선형 로봇 (108) 은 동일한 챔버 내에 상주할 수도 있다. 예시적인 시스템에서, 선형 로봇 (108) 은, 전단 로봇 시스템 (102) 과의 인터페이스에 대한 로드 록들 (122 및 124) 을 사용하여 진공 하에서 동작하도록 설계 및 구성되지만, 선형 로봇이 대기압에서 동작되는 예시적인 시스템들에서, 로드 록들 (122 및 124) 뿐만 아니라 이송 로봇 (200) 이 생략될 수도 있다.
도 2는 선형 로봇 (108) 을 추가적으로 도시한다. 다양한 예시적인 시스템들에서, 선형 로봇 (108) 은 연장된 길이 경로를 따라 웨이퍼들을 운반한다. 도 2 의 예에서, 경로는 일 단부 상의 이송 로봇 (200) 으로부터 제 2 단부 상의 프로세싱 클러스터 (132) 로 연장한다. 따라서, 몇몇 예시적인 시스템들에서, 선형 로봇 시스템 (108) 의 선형 로봇은 단지 하나의 자유도를 갖는 것으로 지칭되며, 병진운동만이 연장된 길이 경로를 따라 앞뒤로 움직인다. 다른 경우들에서, 선형 로봇 시스템 (108) 과 연관된 선형 로봇은 로봇 상의 웨이퍼의 고도를 변경시키기 위한 능력 (즉, z-축 병진운동) 을 가질 수도 있으며, 따라서, 그러한 실시형태들에서, 선형 로봇 시스템 (108) 은 2개의 자유도들을 갖는 것으로 고려될 수도 있다. 그 후, 일반적으로, 예시적인 시스템들에서, 선형 로봇 시스템 (108) 의 선형 로봇은 2개 이하의 자유도를 갖는다.
예시적인 선형 로봇 시스템은, 프로세싱 클러스터와의 웨이퍼 교환이 발생하는 3개의 예시적인 위치들을 정의한다. 특히, 선형 로봇 (108) 은 프로세싱 클러스터 (130) 와 연관된 제 1 위치 (116), 프로세싱 클러스터 (132) 와 연관된 제 2 위치, 및 제 3 프로세싱 클러스터 (134) 와 연관된 제 3 위치 (120) 를 정의한다. 모든 위치들을 예시한 것으로서 제 1 위치 (116) 를 고려하면, 선형 로봇 시스템 (108) 이 진공 하에서 동작되는 시스템들에서, (도 2에서, 클러스터 로봇 (142) 이 그의 프로세스 챔버들 중 하나와 동작 관계에 있는 것으로 도시되지만) 제 1 위치 (116) 는 웨이퍼 위치 (204) 로의 클러스터 로봇 (142) 의 액세스를 가능하게 하는 도어 (202) 를 특징으로 할 수도 있다. 예시적인 시스템들에서, 클러스터 로봇 (142) 은 진공 하에서 동작되는 챔버에서 유사하게 동작될 수도 있지만, 도면을 추가적으로 복잡하게 하지 않기 위해 챔버는 도 2에 명시적으로 도시되지는 않는다.챔버들이 진공 하에 있어야 할 경우, 압력 레벨은, 선형 로봇 (108) 이 동작하는 챔버 내의 압력과 동일할 수도 있고, 도어 (202) 는 연속적인 동작으로 연장된 기간들 동안 개방으로 유지될 수도 있다. 도어 (202) 는 로봇 (142) 을 포함하는 챔버를 격리시키도록 폐쇄될 수 있어서, 챔버가 선형 로봇 (108) 과는 독립적으로 배출 및 서비스될 수 있다. 따라서, 웨이퍼가 클러스터 로봇 (142) 으로부터 이송될 경우, 선형 로봇 (108) 은 웨이퍼를 이동시키고 위치 (204) 에 배치시키며, 클러스터 로봇 (142) 은 개방 도어 (202) 를 통해 도달하고, 선형 로봇으로부터 웨이퍼를 제거한다. 유사하게, 웨이퍼가 클러스터 로봇 (142) 으로부터 이송될 경우, 선형 로봇 (108) 은 위치 (204) 에 비워진 캐리어를 배치시키며, 클러스터 로봇 (142) 은 개방 도어 (202) 를 통해 도달하고, 웨이퍼를 선형 로봇 상에 배치시킨다. 클러스터 로봇 (142) 에 관한 설명은 프로세싱 클러스터 (132) 와 연관된 클러스터 로봇 (206) 및 프로세싱 클러스터 (134) 와 연관된 클러스터 로봇 (208) 의 동작에 관해 동등하게 유효하다.
계속 도 2를 참조하면, 다양한 컴포넌트들의 물리적인 배열 때문에, 유지보수 액세스가 모든 프로세싱 클러스터들에 용이하게 제공될 수도 있다. 예를 들어, 유지보수 영역 (210) 은 선형 로봇 (108) 으로부터 프로세싱 클러스터 (130) 의 반대측 상에 제공될 수도 있다. 유지보수 영역 (212) 은 선형 로봇 (108) 으로부터 프로세싱 클러스터 (132) 의 반대측 상에 제공될 수도 있다. 최종적으로, 유지보수 영역 (214) 은 선형 로봇 (108) 로부터 프로세싱 클러스터 (134) 의 반대측 상에 제공될 수도 있다.
도 3은 상이한 구성의 반도체 프로세싱 시스템의 상면도를 도시한다. 특히, 도 3은 2개의 예시적인 로드 록들 (122 및 124) 에 의해 선형 로봇 (108) 에 동작적으로 커플링된 전단 로봇 (102) 을 포함하는 반도체 프로세싱 시스템 (100) 을 도시한다. 도 2에 보이는 것은, 로드 록들 (122 및 124) 로부터 선형 로봇 (108) 으로 웨이퍼들을 이동시키도록 구성된 그 자신의 챔버 (203) 내의 이송 로봇 (200) 이다. 유사하게, 이송 로봇 (200) 은, 웨이퍼 캐리어들에 다시 배치시키기 위해 선형 로봇 (108) 으로부터 로드 록들 (122 및 124) 로 웨이퍼들을 이동시키도록 구성된다. 도 2의 시스템에 관해, 도 3의 선형 로봇 (108) 은 연장된 길이 경로를 따라 웨이퍼들을 운반한다. 그러나, 도 2의 예와는 달리, 선형 로봇 (108) 은 단일 프로세싱 클러스터 (130) 와 연관된다. 그럼에도 불구하고, 예시적인 선형 로봇 (108) 은, 프로세싱 클러스터와의 웨이퍼 교환이 발생할 수도 있는 3개의 예시적인 위치들을 여전히 정의한다. 특히, 선형 로봇 (108) 은 프로세싱 클러스터 (130) 와 연관된 제 1 위치 (116), 제 2 위치 (118), 및 제 3 위치 (120) 를 정의한다.
따라서, 도 3은 반도체 프로세싱 시스템의 초기 인스톨을 표현하며, 여기서, 전단 로봇 (102) 및 선형 로봇 (108) 은 미리 결정된 수의 프로세싱 클러스터들과 최종적으로 인터페이싱하도록 설계되지만, 초기에 시스템은 프로세싱 클러스터들의 미리 결정된 수보다 작은 수의 프로세싱 클러스터들을 갖는다. 이러한 방식으로, 반도체 프로세싱 장비 (100) 는 몇몇 시간 기간 동안 동작가능할 수 있으며, 프로세싱 클러스터 (130) 로 및 프로세싱 클러스터 (130) 로부터 웨이퍼들을 이송시킨다. 그 이후 (예를 들어, 몇일, 몇주, 몇달, 심지어 몇년), 부가적인 프로세싱 클러스터들이 장비의 새로운 전체 부분을 구매할 필요없이 부가될 수 있다. 프로세싱 클러스터들이 선형 로봇 (108) 과 웨이퍼들을 교환할 수도 있는 3개의 위치들을 도 3의 시스템이 나타내지만, 위치들의 미리 결정된 수는 더 작거나 (예를 들어, 2개의 위치들) 더 클 (예를 들어, 5개의 위치들) 수도 있다.
도 4는 추가적인 예시적인 시스템들에 따른 반도체 프로세싱 장비의 상면도를 도시한다. 특히, 도 4는 선형 로봇 (108) 에 동작적으로 커플링된 전단 로봇 (102) 을 포함하는 반도체 프로세싱 시스템 (100) 을 도시한다. 도 4의 예시적인 시스템에서, 전단 로봇 (102) 은 더 큰 스루풋을 위해 설계 및 구성될 수도 있으며, 따라서, 더 큰 수의 웨이퍼 캐리어들 (400) (예시적인 시스템에서, 5개의 그러한 웨이퍼 캐리어들) 과 인터페이싱할 수도 있다. 도 4의 전단 로봇 (102) 은 2개의 로드 록들 (122 및 124) 에 의해 선형 로봇 (108) 에 동작적으로 커플링한다. 예시적인 시스템에서, 2개의 이송 로봇들 (402 및 404) 이 제공되며, 그 각각은, 각각, 각각의 로드 록 (122 및 124) 에 대한 것이다. 각각의 이송 로봇 (402 및 404) 은 로드 록들 (122 및 124) 로부터 선형 로봇 (108) 으로 웨이퍼들을 각각 이동시키도록 구성된다. 유사하게, 각각의 이송 로봇 (402 및 404) 은, 웨이퍼 캐리어들에 다시 배치시키기 위해 선형 로봇 (108) 으로부터 로드 록들 (122 및 124) 로 웨이퍼들을 각각 이동시키도록 구성된다. 그러나, 도 1 내지 도 3에 도시된 단일 이송 로봇 (200) 및 듀얼 로드 록 시스템이 프로세싱 챔버들의 스루풋 능력에 의존하여 5개의 프로세싱 클러스터 시스템에서 유사하게 구현될 수도 있음을 유의한다. 따라서, 프로세싱 챔버들이 비교적 느린 프로세스들을 수행하면, 단일 이송 로봇이 충분할 수도 있다. 이와 대조적으로, 도 4의 듀얼 이송 로봇 시스템은 5개의 프로세싱 클러스터 시스템들로 제한되지 않으며, 구현된 프로세스들이 짧거나 빠른 더 적은 프로세싱 클러스터들을 이용하여 시스템들 내에서 구현될 수도 있다.
이전의 예시적인 시스템들에 관해, 도 4의 선형 로봇 (108) 은 연장된 길이 경로를 따라 웨이퍼들을 운반한다. 특히, 도 4에서, 선형 로봇 (108) 은, 프로세싱 클러스터들과의 웨이퍼 교환이 발생하는 복수의 위치들을 정의하며, 특히, 선형 로봇은 웨이퍼 교환이 프로세싱 클러스터들을 이용하여 발생할 수도 있는 5개의 위치들을 정의하고, 그 위치들은 위치들 (406, 408, 412, 414, 및 416) 이다. 웨이퍼 교환이 발생하는 5개의 위치들을 갖는 것은, 시스템 (100) 이 5개만큼 많은 프로세싱 클러스터들과 인터페이싱할 수 있게 하지만, 상술된 바와 같이, 모든 5개의 프로세싱 클러스터들이 임의의 일 시간에 존재하는 것은 반드시 필요하지는 않는다. 예를 들어, 프로세싱 클러스터들은 부가적인 프로세싱 챔버들이 전체 제조 설비 내에서 필요할 때 부가될 수도 있다.
이전과 같이, 예시적인 선형 로봇 (108) 은 대기보다 작은 압력들로 진공 챔버 내에서 동작하며, 따라서, 로드 록들 (122 및 124) 에 의해 전단 로봇 시스템 (102) 과 인터페이싱한다. 즉, 전단 로봇 (102) 은, 로드 록이 대기압에 있는 동안, 로드 록들 (122 또는 124) 중 하나 내에 웨이퍼들을 배치시킬 수도 있다. 그 후, 로드 록은 배출될 수도 있으며, 웨이퍼는 이송 로봇들 (402 및 404) 중 하나에 의해 선형 로봇 (108) 상에 배치될 수도 있다. 유사하게, 웨이퍼들을 복귀시키기 위해, 복귀중인 웨이퍼들은 각각의 이송 로봇에 의해 로드 록에 배치될 수도 있고, 로드 록이 대기압으로 배출되며, 그 후, 전단 로봇 (102) 은 웨이퍼를 리트리브하고, 웨이퍼 캐리어에 웨이퍼를 배치시킬 수도 있다. 프로세싱 클러스터들에 관해 상세히 도시되지는 않았지만, 선형 로봇 (108) 은 진공 하에서 동작할 경우, 그것은 클러스터 로봇들을 잘 작동시킨다. 몇몇 경우들에서, 웨이퍼 교환이 발생하는 각각의 위치는, 선형 로봇이 상주하는 체적으로부터 클러스터 로봇이 상주하는 체적을 유동적으로 격리시키기 위한 셔틀 게이트 또는 도어를 가질 수도 있다. 도 4의 예시적인 시스템에서, 교환 위치 (406) 는 도어 (407) 와 연관되고, 교환 위치 (408) 는 도어 (409) 와 연관되고, 교환 위치 (412) 는 도어 (413) 와 연관되고, 교환 위치 (414) 는 도어 (415) 와 연관되며, 교환 위치 (416) 는 도어 (417) 와 연관된다. 각각의 경우에서, 도어는, 이송 챔버를 정의하는 구조를 통해 어퍼쳐 (aperture) 와 연관되지만, 어퍼쳐들은 도면을 과도하게 복잡하게 하지 않기 위해 도 4에 도시되지 않는다. 또한, 도 4의 선형 로봇 (108) 이 진공 하에서 동작하는 것으로 설명되지만, 다른 경우들에서, 선형 로봇 시스템 (108) 은 대기압에서 동작될 수도 있다 (즉, 진공 챔버가 사용되지 않는다).
도 4를 계속 참조하면, 예시적인 반도체 프로세싱 장비 (100) 는 5개의 프로세싱 클러스터들 (418, 420, 422, 424, 및 426) 을 포함한다. 도 5의 프로세싱 클러스터들은 이전의 프로세싱 클러스터들에 관해 설명된 바와 동일한 형태, 구조, 및 옵션들을 취할 수도 있으며, 따라서, 도 4에 관해 다시 설명되지 않을 것이다. 그러나, 엔지니어링 관점으로부터, 프로세싱 클러스터들이 도 1 내지 도 3의 시스템들과 비교하여 도 4와 같은 시스템에서의 사용을 위해 재설계될 필요는 없음을 유의한다. 사실, 몇몇 경우들에서, 프로세싱 클러스터들은 엔지니어링 관점으로부터 모듈형이며, 프로세싱 클러스터는 임의의 선형 이송 로봇과 함께 작동될 수도 있다. 따라서, 예시적인 5개의 프로세싱 클러스터들로 시스템을 설계하는 것은 단지, 웨이퍼 이송이 발생하는 위치들의 수 및 적절한 길이의 선형 로봇 (108) 을 설계한다는 것을 암시할 수도 있다.
몇몇 예시적인 시스템들에서, 반도체 프로세싱 장비 (100) 는 일련의 프로세스 단계들을 구현할 수도 있다. 예를 들어, 웨이퍼는 프로세싱 클러스터 (418) 의 프로세싱 챔버들 중 하나 또는 그 양자에 의해 초기에 프로세싱될 수도 있다. 즉, 웨이퍼는 프로세싱 클러스터 (418) 와 연관된 클러스터 로봇 (430) 근방의 선형 로봇에 의해 배치되고, 클러스터 로봇 (430) 은 선형 로봇으로부터 웨이퍼를 제거할 수도 있고, 프로세싱 클러스터 (418) 의 프로세싱 챔버들 중 하나에 웨이퍼를 배치시킬 수도 있다. 프로세싱 이후, 클러스터 로봇 (430) 은 프로세싱 챔버로부터 웨이퍼를 제거하고, 웨이퍼를 다시 선형 로봇 (108) 상에 배치시킬 수도 있다. 선형 로봇은 프로세싱 클러스터 (420) 근방이도록 웨이퍼를 이동시킬 수도 있고, 클러스터 로봇 (432) 은 선형 로봇 (108) 으로부터 로봇을 제거하고, 추가적인 프로세싱을 위해 프로세싱 챔버로 웨이퍼를 배치시킬 수도 있다. 이제, 명세서는 선형 로봇 (108) 에 관한 추가적인 세부사항들을 참조한다.
도 5는 선형 로봇 (108) 과 연관된 시스템의 개관적인 부분 절단도를 도시한다. 특히, 도 5는, 예시적인 시스템들에서, 선형 로봇이 이송 챔버 (109) 와 연관될 수도 있다는 것을 도시한다. 예시적인 이송 챔버 (109) 는, 선형 로봇 (108) 이 (절단부 (502) 아래에 도시된 바와 같이) 상주하는 내부 체적 (500) 을 정의한다. 예시적인 시스템들에서, 진공 챔버 (109) 는 가급적 웨이퍼들의 미립자 오염의 가능성을 감소시키기 위해, 대기보다 낮은 압력들로 동작되도록 설계된다. 또한, 도 5에 도시된 것은, 챔버 (203) 내의 (절단부 (504) 아래에 도시된 바와 같이) 이송 로봇 (200) 과 동작 관계에 있는 로드 록들 (122 및 124) 이다. 예를 들어, 이송 로봇 (200) 은 어퍼쳐 (506) 를 통해 로드 록 (124) 와 웨이퍼들을 교환할 수도 있으며, 유사하게, 어퍼쳐 (550) 를 통해 선형 로봇과 웨이퍼들을 교환할 수도 있다.
웨이퍼 교환이 프로세싱 클러스터들을 이용하여 발생하는 위치들을 정의하기 위해, 이송 챔버 (109) 는 복수의 어퍼쳐들을 정의한다. 도 5의 관점에서, 2개의 어퍼쳐들이 보이며, 어퍼쳐 (510) 는 측벽 (511) 과 연관되고, 어퍼쳐 (512) 는 말단 벽 (513) 과 연관된다 (말단 벽 (513) 은 측벽 (511) 및 측벽 (515) 과 수직함). 도 5의 선형 로봇 (108) 은 도 1 내지 도 3의 시스템들과 유사하며, 따라서, 어퍼쳐 (510) 는 프로세싱 클러스터 (134) 와 연관되는 것으로 고려될 수도 있지만, 어퍼쳐 (512) 는 프로세싱 클러스터 (132) 와 연관되는 것으로 고려될 수도 있다. 많은 경우들에서, 각각의 어퍼쳐는, 웨이퍼 교환이 발생할 경우 선택적으로 개방 및 폐쇄되는 도어와 연관된다. 도어들은 도 2 내지 도 4에 예시적으로 도시되어 있다. 몇몇 경우들에서, 도어들은 이송 챔버 시스템과 연관된 시스템의 일부이며, 다른 경우들에서, 도어들은 프로세싱 클러스터들의 일부이다. 더 다른 경우들에서, 도어들이 사용되지 않으며, 클러스터 로봇들은 모든 시간들에서 이송 챔버 (109) 에서 진공에 노출된다.
몇몇 시스템들에서, 시스템에서 사용된 웨이퍼 스루풋에 의존하여, 선형 로봇 (108) 은 웨이퍼 셔틀 (520) 과 같은 단일 웨이퍼 셔틀만을 포함할 수도 있지만, 다른 시스템들에서, 2개 이상의 웨이퍼 셔틀들이 선형 로봇 (108) (예를 들어, 제 2 웨이퍼 셔틀 (522)) 에 의해 구현될 수도 있다. 도 6에 도시된 바와 같이, 각각의 웨이퍼 셔틀은, 각각의 웨이퍼 셔틀의 고도에서의 차이들에 의해 구현되는 동시 동작과 함께 트랙 또는 선형 베어링 (bearing) 에 따라 이동할 수도 있다.
도 6은 도 6의 라인들 6-6을 따라 실질적으로 취해진 이송 챔버 및 선형 로봇의 정면도를 도시한다. 특히, 도 6은 병렬 측벽 (515) 과 함께 측벽 (511) 을 도시한다. 몇몇 경우들에서, 이송 챔버 (109) 는, 웨이퍼 셔틀들의 이동이 뷰잉 (view) 될 수도 있도록 (각각의 측벽에 수직한) 실질적으로 투명한 리드 (lid) 부재 (600) 에 의해 정의될 수도 있으며, 리드 부재 (600) 의 투명도는 엄격히 요구되지는 않는다. 도 6의 예시적인 시스템에서, 내부 체적 (500) 내에 2개의 트랙들 또는 선형 베어링들 (602 및 604) 이 상주한다. 제 1 웨이퍼 셔틀 (520) 은 제 1 선형 베어링 (602) 와 연관될 수도 있지만, 제 2 웨이퍼 셔틀 (522) 은 제 2 선형 베어링 (604) 와 연관될 수도 있다. 도시된 바와 같이, 웨이퍼 셔틀들 (520 및 522) 사이의 고도는, 웨이퍼 셔틀들 (520) 이 시스템에 의해 정의된 연장된 길이 경로를 따라 독립적으로 이동할 수도 있도록, 상이하다. 또한, 2개의 웨이퍼 셔틀들을 갖는 선형 로봇이 도시되어 있지만, 하나 이상의 웨이퍼 셔틀들 및 관련된 시스템들 (예를 들어, 3개의 웨이퍼 셔틀들) 이 선형 로봇 (108) 의 일부로서 구현될 수도 있다.
도 7은 예시적인 시스템들의 프로세싱 클러스터의 개관적인 부분 절단도를 도시한다. 특히, 도 7은 프로세싱 클러스터 (700) 를 도시하며, 프로세싱 클러스터는 이전의 프로세싱 클러스터들 중 임의의 클러스터를 예시할 수도 있다. 프로세싱 클러스터 (700) 는 제 1 프로세싱 챔버 (702) 및 제 2 프로세싱 챔버 (704) 를 포함하며, 이들은 임의의 적절한 단일- 또는 멀티-웨이퍼 프로세스 (예를 들어, 플라즈마-기반 금속 에칭, 플라즈마-기반 폴리 에칭, 플라즈마-기반 애시 프로세스, 습식 또는 건식 웨이퍼 세정, CVD 챔버) 를 수행하도록 설계 및 구성될 수도 있다. 도 7은 클러스터 로봇 (708) 과 연관된 클러스터 챔버 (706) 를 추가적으로 도시한다. 즉, (도 7에 도시되지 않은) 선형 로봇이 진공 하에서 동작되는 이송 챔버 내에 상주하는 시스템들에서, 클러스터 로봇 (708) 은 클러스터 챔버 내에 유사하게 배치될 수도 있고, 진공 하에서 동작될 수도 있다.
도 7의 예시적인 클러스터 로봇 (708) 은 또한, 버퍼 영역 (710) 과 동작 관계에 있을 수도 있다. 버퍼 영역 (710) 은, 웨이퍼가 프로세싱 챔버들 및/또는 선형 로봇과의 웨이퍼 교환을 용이하게 하도록 배치될 수도 있는 단일 위치일 수도 있다. 예를 들어, 프로세싱될 새로운 웨이퍼가 현재의 웨이퍼 프로세싱이 완료되기 전에 도달할 경우, 웨이퍼는 버퍼 영역 (710) 에 임시적으로 저장될 수도 있다. 다른 경우들에서, 버퍼 영역은, 웨이퍼들이 상주하는 전극들을 보호하기 위해 세정 프로세스 동안 챔버에 배치된 "커버 웨이퍼" 와 같이, 다른 목적들을 위해 사용된 웨이퍼를 저장할 수도 있다. 또 다른 예는 계측 (metrology) 웨이퍼이며, 여기서, 그 웨이퍼는 입자 카운트들 및 에칭 레이트들과 같은 프로세싱 파라미터들을 테스트하기 위해 챔버에 배치될 수도 있다.
몇몇 시스템들에서, 버퍼 영역 (710) 은 임시 웨이퍼 저장을 위한 단일 위치만을 구현하지만, 다른 경우들에서 및 도시된 바와 같이, 버퍼 영역은 다수의 웨이퍼들을 저장하기 위한 위치들을 갖는 래크 (rack) 부재 (712) 를 포함할 수도 있다. 예시적인 래크 부재 (712) 는, 웨이퍼가 배치될 수도 있는 2개의 레벨들, 즉 레벨 (714 및 716) 을 갖는다. 몇몇 경우들에서, 래크 부재 (712) 는 이중-촉 화살표 (718) 에 의해 나타낸 바와 같이 (z-축에서) 위 및 아래로 이동하도록 설계 및 구성될 수도 있다. 그러나, 다른 시스템들에서, 래크 부재 (712) 는 정적으로 유지될 수도 있으며, 클러스터 로봇 (708) 은 래크의 각각의 레벨과 웨이퍼들을 교환하는데 충분한 z-축 능력을 가질 수도 있다.
도 8은 예시적인 시스템들의 프로세싱 클러스터의 투시도를 도시한다. 특히, 도 8은 프로세싱 클러스터 (800) 를 도시하며, 프로세싱 클러스터는 이전의 프로세싱 클러스터들 중 임의의 클러스터를 예시할 수도 있다. 예시적인 프로세싱 클러스터 (800) 에서, 4개의 프로세싱 챔버들이 구현되며, 클러스터 로봇 (상세히 도시되지는 않음) 반대쪽에, 2개의 적층된 프로세싱 챔버들 (802 및 804) 이 하나의 측면 상에 있고, 2개의 적층된 프로세싱 챔버들 (802 및 804) 이 하나의 측면 상에 있다. 도 8의 프로세싱 클러스터 (800) 는, 프로세싱 챔버들이 대기압에서 동작되도록 설계 및 구성되는 상황들 (예를 들어, 습식 웨이퍼 세정 프로세스들, 습식 에칭 프로세스들) 에서 구현될 가능성이 높지만, 또한, 적층된 시스템들은 플라즈마 에칭 프로세스들에 대해 또한 사용될 수도 있다.
도 8과 연관된 클러스터 로봇이 도시되지 않지만, 이전에 설명된 클러스터 로봇들 중 임의의 로봇과 유사한 설계 및 구성을 가질 수도 있으며, 그 차이는 클러스터 로봇이 4개의 모든 프로세싱 챔버들과 웨이퍼들을 교환하는데 충분한 z-병진운동 능력을 가질 수도 있다는 것이다. 또한, 도 8의 프로세싱 클러스터는 대기압에서 동작되는 선형 로봇과 함께 동작될 가능성이 높으며, 따라서, 프로세싱 챔버들 사이에서 클러스터 챔버를 구현하지 않는다.
도 9는 추가적인 예시적인 시스템들의 프로세싱 클러스터의 개관적인 부분 절단도를 도시한다. 특히, 도 9는 프로세싱 클러스터 (900) 를 도시하며, 프로세싱 클러스터는 이전의 프로세싱 클러스터들 중 임의의 클러스터를 예시할 수도 있다. 프로세싱 클러스터 (900) 는 제 1 프로세싱 챔버 (902) 및 제 2 프로세싱 챔버 (904) 를 포함하며, 이들은 임의의 적절한 단일- 또는 멀티-웨이퍼 프로세스 (예를 들어, 플라즈마-기반 금속 에칭, 플라즈마-기반 폴리 에칭, 플라즈마-기반 애시 프로세스, 습식 또는 건식 웨이퍼 세정, CVD 챔버) 를 수행하도록 설계 및 구성될 수도 있다. 도 9는 도면을 과도하게 복잡하게 하지 않기 위해 클러스터 로봇 (908) 과 연관된 챔버를 명시적으로 도시하지는 않지만, 그러한 챔버는 존재할 수 있다.
도 7의 예시적인 클러스터 로봇 (708) 은 또한, 부가적인 챔버 (910) 와의 동작 관계에 있을 수도 있다. 부가적인 챔버 (910) 는, 클러스터 로봇 (908) 이 챔버 (910) 의 내부 체적 (914) 과 웨이퍼들을 교환할 수도 있는 어퍼쳐 (912) 를 정의할 수도 있다. 예시적인 부가적인 챔버 (910) 는, 챔버들 (902 및 904) 와 동일한 물리 사이즈인 것으로 도시되지만, 그러한 부가적인 챔버 (910) 는 원하는 바와 같이 더 크거나 더 작을 수도 있다. 몇몇 예시적인 시스템들에서, 부가적인 챔버 (910) 는 웨이퍼의 사전- 또는 사후-프로세싱을 수행할 수도 있다 (사전- 또는 사후-프로세싱은 챔버들 (902 및/또는 904) 에서 구현된 프로세스들과 관련됨). 예를 들어, 프로세싱될 새로운 웨이퍼가 프로세싱 클러스터 (900) 에 도달할 경우, 클러스터 로봇 (908) 은 챔버 (902) 및/또는 챔버 (904) 에 배치되기 전에 사전-프로세싱을 위해 부가적인 챔버 (910) 에 웨이퍼를 배치시킬 수도 있다. 유사하게, 챔버 (902 및/또는 904) 에서의 프로세싱이 완료될 경우, 웨이퍼는 선형 로봇 상에 배치되기 전에 사후-프로세싱을 위해 부가적인 챔버 (910) 로 배치될 수도 있다. 또 다른 경우들에서, 부가적인 챔버는 웨이퍼의 사전- 및 사후-프로세싱 양자를 수행할 수도 있다. 임의의 적절한 사전- 및/또는 사후-프로세싱 (예를 들어, 습식 세정, 건식 세정, 애시 프로세스) 이 부가적인 챔버에서 구현될 수도 있다.
도 10은 예시적인 실시형태들에 따른 방법을 도시한다. 특히, 방법은 시작하고 (블록 (900)), 반도체 프로세싱 장비를 인스톨하는 단계로서, 반도체 프로세싱 장비는 적어도 2개의 프로세싱 챔버들을 포함하는 제 1 프로세싱 클러스터, 및 적어도 2개의 프로세싱 챔버들 사이에 배치된 제 1 클러스터 로봇을 포함하고, 제 1 프로세싱 클러스터는 선형 로봇에 동작적으로 커플링되는, 반도체 프로세싱 장비를 인스톨하는 단계 (블록 (902)); 선형 로봇에 의해 제 1 프로세싱 클러스터로 웨이퍼들을 이송시키는 단계 (블록 (904)); 및 반도체 프로세싱 장비와의 동작 관계로 제 2 프로세싱 클러스터를 배치시키는 단계로서, 제 2 프로세싱 클러스터는 적어도 2개의 프로세싱 챔버들 및 제 2 프로세싱 클러스터의 적어도 2개의 프로세싱 챔버들 사이에 배치된 제 2 클러스터 로봇을 포함하며, 제 2 프로세싱 클러스터는 선형 로봇에 동작적으로 커플링되는, 제 2 프로세싱 클러스터를 배치시키는 단계 (블록 (906)); 및 선형 로봇에 의해 제 1 및 제 2 프로세싱 클러스터 양자로 웨이퍼들을 이송시키는 단계 (블록 (908)) 를 포함한다. 그 후, 방법은 종료한다 (블록 (910)).
상기 설명은 본 발명의 다양한 실시형태들 및 원리들을 예시하는 것으로 의미된다. 일단 상기 설명이 완전히 인식되면, 다수의 변경들 및 변형들은 당업자들에게 명백해질 것이다. 다음의 청구항들이 모든 그러한 변경들 및 변형들을 포함하도록 해석됨이 의도된다.

Claims (18)

  1. 시스템으로서,
    적어도 하나의 웨이퍼 캐리어로부터 개별 웨이퍼들을 뽑아내도록 구성된 전단 로봇 (front end robot);
    상기 전단 로봇과 동작 관계에 있는 선형 로봇으로서, 상기 선형 로봇은 연장된 길이 경로를 따라 웨이퍼들을 이동시키도록 구성되고, 상기 연장된 길이 경로 및 상기 선형 로봇은, 상기 선형 로봇과 다른 로봇들 사이에서 웨이퍼 교환이 발생하는 제 1 위치, 제 2 위치, 및 제 3 위치를 정의하는, 상기 선형 로봇;
    상기 제 1 위치에서 상기 선형 로봇과 동작 관계에 있는 제 1 프로세싱 클러스터로서, 상기 제 1 프로세싱 클러스터는, 제 1 프로세싱 챔버; 제 2 프로세싱 챔버; 및 상기 제 1 프로세싱 챔버와 상기 제 2 프로세싱 챔버 사이에 배치된 제 1 클러스터 로봇을 포함하고, 상기 제 1 클러스터 로봇은 상기 선형 로봇으로부터 상기 프로세싱 챔버들로 웨이퍼들을 이송시키도록 구성되고, 상기 프로세싱 챔버들로부터 상기 선형 로봇으로 웨이퍼들을 이송시키도록 구성되는, 상기 제 1 프로세싱 클러스터를 포함하는, 시스템.
  2. 제 1 항에 있어서,
    상기 제 2 위치에서 상기 선형 로봇과 동작 관계에 있는 제 2 프로세싱 클러스터를 더 포함하며,
    상기 제 2 프로세싱 클러스터는,
    제 3 프로세싱 챔버;
    제 4 프로세싱 챔버; 및
    상기 제 3 프로세싱 챔버와 상기 제 4 프로세싱 챔버 사이에 배치된 제 2 클러스터 로봇을 포함하고,
    상기 제 2 클러스터 로봇은 상기 선형 로봇으로부터 상기 제 3 프로세싱 챔버 및 상기 제 4 프로세싱 챔버로 웨이퍼들을 이송시키도록 구성되고, 상기 제 2 클러스터 로봇은 상기 제 3 프로세싱 챔버 및 상기 제 4 프로세싱 챔버로부터 상기 선형 로봇으로 웨이퍼들을 이송시키도록 구성되는, 시스템.
  3. 제 2 항에 있어서,
    상기 제 3 위치에서 상기 선형 로봇과 동작 관계에 있는 제 3 프로세싱 클러스터를 더 포함하며,
    상기 제 3 프로세싱 클러스터는,
    제 5 프로세싱 챔버;
    제 6 프로세싱 챔버; 및
    상기 제 5 프로세싱 챔버와 상기 제 6 프로세싱 챔버 사이에 배치된 제 3 클러스터 로봇을 포함하고,
    상기 제 3 클러스터 로봇은 상기 선형 로봇으로부터 상기 제 5 프로세싱 챔버 및 상기 제 6 프로세싱 챔버로 웨이퍼들을 이송시키도록 구성되고, 상기 제 3 클러스터 로봇은 상기 제 5 프로세싱 챔버 및 상기 제 6 프로세싱 챔버로부터 상기 선형 로봇으로 웨이퍼들을 이송시키도록 구성되는, 시스템.
  4. 제 1 항에 있어서,
    상기 제 1 프로세싱 클러스터는, 상기 제 1 클러스터 로봇과 동작 관계에 있는 버퍼 영역을 더 포함하며,
    상기 제 1 클러스터 로봇은, 상기 선형 로봇으로부터 상기 버퍼 영역으로 웨이퍼들을 이송시키는 것; 상기 버퍼 영역으로부터 상기 선형 로봇으로 웨이퍼들을 이송시키는 것; 상기 버퍼 영역으로부터 상기 제 1 프로세싱 챔버로 웨이퍼들을 이송시키는 것; 상기 제 1 프로세싱 챔버로부터 상기 버퍼 영역으로 웨이퍼들을 이송시키는 것; 상기 버퍼 영역으로부터 상기 제 2 프로세싱 챔버로 웨이퍼들을 이송시키는 것; 및 상기 제 2 프로세싱 챔버로부터 상기 버퍼 영역으로 웨이퍼들을 이송시키는 것으로 이루어진 그룹으로부터 선택된 적어도 하나로 추가적으로 구성되는, 시스템.
  5. 제 4 항에 있어서,
    상기 버퍼 영역은 적어도 2개의 웨이퍼들을 저장하도록 구성된 래크 (rack) 부재를 더 포함하는, 시스템.
  6. 제 1 항에 있어서,
    내부 체적을 갖는 이송 챔버로서, 상기 내부 체적은 상기 연장된 길이 경로를 정의하고, 상기 이송 챔버는 상기 내부 체적 내에서 대기보다 낮은 압력에서 동작되도록 설계되는, 상기 이송 챔버;
    상기 이송 챔버 내에 상주하는 상기 선형 로봇의 적어도 일부;
    상기 이송 챔버 내에 정의된 제 1 어퍼쳐와 동작 관계에 있는 제 1 도어로서, 상기 제 1 도어 및 상기 제 1 어퍼쳐는 상기 제 1 위치를 정의하는, 상기 제 1 도어;
    상기 이송 챔버 내에 정의된 제 2 어퍼쳐와 동작 관계에 있는 제 2 도어로서, 상기 제 2 도어 및 상기 제 2 어퍼쳐는 상기 제 2 위치를 정의하는, 상기 제 2 도어; 및
    상기 이송 챔버 내에 정의된 제 3 어퍼쳐와 동작 관계에 있는 제 3 도어로서, 상기 제 3 도어 및 상기 제 3 어퍼쳐는 상기 제 3 위치를 정의하는, 상기 제 3 도어를 더 포함하는, 시스템.
  7. 제 6 항에 있어서,
    상기 이송 챔버는,
    제 1 측벽;
    상기 제 1 측벽과 평행한 제 2 측벽;
    상기 제 1 측벽 및 상기 제 2 측벽 양자와 수직한 말단 벽 (end wall) 을 더 포함하며,
    상기 제 1 도어 및 상기 제 1 어퍼쳐는 상기 제 1 측벽에 정의되고,
    상기 제 2 도어 및 상기 제 2 어퍼쳐는 상기 제 2 측벽에 정의되고,
    상기 제 3 도어 및 상기 제 3 어퍼쳐는 상기 말단 벽에 정의되는, 시스템.
  8. 제 6 항에 있어서,
    상기 이송 챔버는,
    제 1 측벽;
    상기 제 1 측벽과 평행한 제 2 측벽;
    상기 제 1 측벽 및 상기 제 2 측벽 양자와 수직한 말단 벽을 더 포함하며,
    상기 제 1 도어 및 상기 제 1 어퍼쳐는 상기 말단 벽으로부터 제 1 거리에서 상기 제 1 측벽에 정의되고,
    상기 제 2 도어 및 상기 제 2 어퍼쳐는 상기 말단 벽으로부터 제 2 거리에서 상기 제 1 측벽에 정의되고,
    상기 제 3 도어 및 상기 제 3 어퍼쳐는 상기 제 2 측벽에 정의되는, 시스템.
  9. 제 6 항에 있어서,
    내부 체적을 갖는 클러스터 챔버로서, 상기 클러스터 챔버의 상기 내부 체적은, 대기보다 낮은 압력에서 동작되도록 설계되는, 상기 클러스터 챔버를 더 포함하며,
    상기 클러스터 챔버는, 상기 제 1 도어 및 상기 제 1 어퍼쳐에 의해 상기 이송 챔버의 상기 내부 체적에 선택적으로 유동적으로 (fluidly) 커플링되고; 그리고,
    상기 제 1 클러스터 로봇은 상기 클러스터 챔버 내에 적어도 부분적으로 배치되는, 시스템.
  10. 제 1 항에 있어서,
    상기 연장된 길이 경로는 대기압에서 동작되도록 설계되는, 시스템.
  11. 방법으로서,
    반도체 프로세싱 장비를 인스톨하는 단계로서, 상기 반도체 프로세싱 장비는, 적어도 2개의 프로세싱 챔버들 및 상기 적어도 2개의 프로세싱 챔버들 사이에 배치된 제 1 클러스터 로봇을 포함한 제 1 프로세싱 클러스터를 포함하고, 상기 제 1 프로세싱 클러스터는 선형 로봇에 동작적으로 커플링되는, 상기 반도체 프로세싱 장비를 인스톨하는 단계;
    상기 선형 로봇에 의해 상기 제 1 프로세싱 클러스터에 웨이퍼들을 이송시키는 단계;
    상기 반도체 프로세싱 장비와 동작 관계로 제 2 프로세싱 클러스터를 배치시키는 단계로서, 상기 제 2 프로세싱 클러스터는 적어도 2개의 프로세싱 챔버들 및 상기 제 2 프로세싱 클러스터의 상기 적어도 2개의 프로세싱 챔버들 사이에 배치된 제 2 클러스터 로봇을 포함하고, 상기 제 2 프로세싱 클러스터는 상기 선형 로봇에 동작적으로 커플링되는, 제 2 프로세싱 클러스터를 배치시키는 단계; 및
    상기 선형 로봇에 의해 상기 제 1 프로세싱 클러스터 및 상기 제 2 프로세싱 클러스터 양자에 웨이퍼들을 이송시키는 단계를 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 웨이퍼들을 이송시키는 단계는 상기 선형 로봇에 의해 이송시키는 단계를 더 포함하며,
    상기 선형 로봇의 적어도 일부는 진공 챔버 내에 배치되는, 방법.
  13. 제 11 항에 있어서,
    상기 웨이퍼들을 이송시키는 단계는 상기 선형 로봇에 의해 경로를 따라 웨이퍼들을 이송시키는 단계를 더 포함하며,
    상기 경로는 대기압에 있는, 방법.
  14. 제 11 항에 있어서,
    상기 인스톨하는 단계는, 2개의 에칭 챔버들을 정의하는 상기 제 1 프로세싱 클러스터를 포함한 상기 반도체 프로세싱 장비를 인스톨하는 단계를 더 포함하는, 방법.
  15. 제 14 항에 있어서,
    상기 제 2 프로세싱 클러스터를 배치시키는 단계는, 적어도 2개의 세정 챔버들만을 포함하는 상기 제 2 프로세싱 클러스터를 동작 관계로 배치시키는 단계를 더 포함하는, 방법.
  16. 제 11 항에 있어서,
    상기 인스톨하는 단계는, 2개의 증착 챔버들을 정의하는 상기 제 1 프로세싱 클러스터를 포함한 상기 반도체 프로세싱 장비를 인스톨하는 단계를 더 포함하는, 방법.
  17. 제 11 항에 있어서,
    상기 제 1 프로세싱 클러스터 및 상기 제 2 프로세싱 클러스터 양자에 웨이퍼들을 이송시키는 단계는,
    상기 제 1 클러스터 로봇 근방에 있도록 상기 선형 로봇에 의해 웨이퍼를 이동시키는 단계;
    상기 제 1 클러스터 로봇에 의해, 상기 선형 로봇으로부터 상기 웨이퍼를 제거하고, 상기 제 1 프로세싱 클러스터의 선택된 프로세싱 챔버에 상기 웨이퍼를 배치시키는 단계;
    상기 선택된 프로세싱 챔버로부터 상기 웨이퍼를 제거하고, 상기 제 1 클러스터 로봇에 의해 상기 선형 로봇 상에 상기 웨이퍼를 배치시키는 단계;
    상기 제 2 프로세싱 클러스터 근방에 있도록 상기 선형 로봇에 의해 상기 웨이퍼를 이동시키는 단계; 및
    상기 제 2 클러스터 로봇에 의해, 상기 선형 로봇으로부터 상기 웨이퍼를 제거하고, 상기 제 2 프로세싱 클러스터의 선택된 프로세싱 챔버에 상기 웨이퍼를 배치시키는 단계를 더 포함하는, 방법.
  18. 제 11 항에 있어서,
    상기 제 1 프로세싱 클러스터에 웨이퍼들을 이송시키는 단계는,
    상기 제 1 클러스터 로봇 근방에 있도록 상기 선형 로봇에 의해 웨이퍼들을 이동시키는 단계; 및
    상기 선형 로봇으로부터 웨이퍼들을 제거하고, 상기 제 1 클러스터 로봇에 의해 상기 프로세싱 챔버들 중 적어도 하나에 웨이퍼들을 배치시키는 단계를 더 포함하는, 방법.
KR1020130109707A 2012-09-12 2013-09-12 반도체 프로세싱 장비에 관련된 방법 및 시스템 KR20140035280A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/610,990 US9293317B2 (en) 2012-09-12 2012-09-12 Method and system related to semiconductor processing equipment
US13/610,990 2012-09-12

Publications (1)

Publication Number Publication Date
KR20140035280A true KR20140035280A (ko) 2014-03-21

Family

ID=50233440

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130109707A KR20140035280A (ko) 2012-09-12 2013-09-12 반도체 프로세싱 장비에 관련된 방법 및 시스템

Country Status (6)

Country Link
US (2) US9293317B2 (ko)
JP (1) JP2014068009A (ko)
KR (1) KR20140035280A (ko)
CN (1) CN103681419A (ko)
SG (2) SG2013069463A (ko)
TW (1) TWI606539B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190020042A (ko) * 2016-07-22 2019-02-27 도쿄엘렉트론가부시키가이샤 기판 처리 장치

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9558974B2 (en) * 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US9236257B2 (en) * 2013-03-13 2016-01-12 Varian Semiconductor Equipment Associates, Inc. Techniques to mitigate straggle damage to sensitive structures
JP2014216519A (ja) * 2013-04-26 2014-11-17 株式会社ディスコ 加工装置及びウエーハの輸送方法
CN203601454U (zh) 2013-10-28 2014-05-21 久鼎金属实业股份有限公司 自行车的可调式座管总成
CN105321844A (zh) * 2014-07-29 2016-02-10 盛美半导体设备(上海)有限公司 堆叠布局的半导体设备
US9818633B2 (en) * 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
KR101736855B1 (ko) * 2015-05-29 2017-05-18 세메스 주식회사 기판 처리 설비
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
JP2018006533A (ja) * 2016-06-30 2018-01-11 東京エレクトロン株式会社 真空処理装置、真空処理方法及び記憶媒体
JP2018006534A (ja) * 2016-06-30 2018-01-11 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
JP2018174186A (ja) 2017-03-31 2018-11-08 東京エレクトロン株式会社 基板処理装置
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
CN108044355B (zh) * 2017-12-22 2024-01-23 沈阳芯嘉科技有限公司 一种激光砂轮划片机及复合材料切割方法
TWI735895B (zh) * 2018-06-22 2021-08-11 瑞士商G射線工業公司 共價接合之半導體界面
US20220171370A1 (en) * 2019-04-18 2022-06-02 Lam Research Corporation High density, controlled integrated circuits factory
EP4102550A4 (en) * 2020-02-05 2023-02-01 Kabushiki Kaisha Yaskawa Denki TRANSPORT SYSTEM, TRANSPORT METHOD AND TRANSPORT DEVICE
KR20220007145A (ko) 2020-05-21 2022-01-18 가부시키가이샤 야스카와덴키 반송 장치, 반송 방법 및 반송 시스템
JP2022018359A (ja) * 2020-07-15 2022-01-27 株式会社Screenホールディングス 基板処理装置
US11721583B2 (en) 2020-08-10 2023-08-08 Applied Materials, Inc. Mainframe-less wafer transfer platform with linear transfer system for wafer processing modules
CN114823426B (zh) * 2022-05-26 2023-04-14 北京北方华创微电子装备有限公司 半导体工艺设备

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6274852A (ja) * 1985-09-26 1987-04-06 Toshiba Corp 搬送装置
US5297910A (en) * 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP2000286318A (ja) * 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
JP2001053125A (ja) * 1999-08-13 2001-02-23 Tokyo Electron Ltd 処理システム
US6779962B2 (en) * 2002-03-22 2004-08-24 Brooks Automation, Inc. Device for handling flat panels in a vacuum
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
KR100576150B1 (ko) * 2004-08-12 2006-05-03 세메스 주식회사 기판 이송 장치
US7611006B2 (en) * 2006-07-31 2009-11-03 Fki Logistex, Inc. Conveyor systems and methods
JP4066443B1 (ja) * 2006-11-22 2008-03-26 達雄 金子 糖誘導体とその利用
WO2008088109A1 (en) * 2007-01-16 2008-07-24 Tes Co., Ltd A loadlock chamber having dual-arm and a transportation system for processing semiconductor material using a loadlock chamber having dual-arm
JP4816662B2 (ja) * 2008-03-06 2011-11-16 株式会社安川電機 リニア軸のケーブル処理機構およびそれを用いた基板搬送装置
JP2009218384A (ja) * 2008-03-11 2009-09-24 Seiko Epson Corp 基板処理装置および有機エレクトロルミネッセンス装置の製造方法
KR101181560B1 (ko) * 2008-09-12 2012-09-10 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 그것에 사용되는 기판반송장치
JP5509221B2 (ja) * 2009-02-13 2014-06-04 マイクロニック マイデータ アーベー マルチテーブルリソグラフィシステム
JP5836594B2 (ja) * 2011-01-06 2015-12-24 大森機械工業株式会社 搬送装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190020042A (ko) * 2016-07-22 2019-02-27 도쿄엘렉트론가부시키가이샤 기판 처리 장치

Also Published As

Publication number Publication date
CN103681419A (zh) 2014-03-26
TW201417209A (zh) 2014-05-01
US10256124B2 (en) 2019-04-09
US20140072397A1 (en) 2014-03-13
US9293317B2 (en) 2016-03-22
SG10201602863SA (en) 2016-05-30
SG2013069463A (en) 2014-04-28
TWI606539B (zh) 2017-11-21
JP2014068009A (ja) 2014-04-17
US20160163572A1 (en) 2016-06-09

Similar Documents

Publication Publication Date Title
KR20140035280A (ko) 반도체 프로세싱 장비에 관련된 방법 및 시스템
TWI684234B (zh) 基材運送
KR101744372B1 (ko) 진공 처리 장치
JP5212165B2 (ja) 基板処理装置
EP2092555B1 (en) Workpiece stocker with circular configuration
EP1965409A2 (en) Apparatus and methods for transporting and processing substrates
CN110770890A (zh) 可索引侧储存仓设备、加热的侧储存仓设备、系统和方法
KR20140089517A (ko) 로드 포트, efem
US6568896B2 (en) Transfer chamber with side wall port
US10229847B2 (en) Substrate transfer chamber and container connecting mechanism with lid opening mechanisms
KR20090064587A (ko) 기판 보관고
JP2013102235A (ja) 基板処理装置
CN109841552B (zh) 模块加压工作站及利用其处理半导体的方法
JP2008100802A (ja) 基板保管庫
JP2018060823A (ja) キャリア搬送装置及びキャリア搬送方法
KR102227066B1 (ko) 웨이퍼 이송 장치
JP2004096075A (ja) 真空処理装置
JP2008100801A (ja) 基板保管庫
KR20080069051A (ko) 기판 이송 장치
JP2005101625A (ja) 真空処理装置及び基板の搬送処理方法
JP2008109157A (ja) 真空処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E601 Decision to refuse application