KR20130025795A - 고-k 금속 게이트 디바이스를 위한 자가-정렬된 절연막 - Google Patents

고-k 금속 게이트 디바이스를 위한 자가-정렬된 절연막 Download PDF

Info

Publication number
KR20130025795A
KR20130025795A KR1020120014946A KR20120014946A KR20130025795A KR 20130025795 A KR20130025795 A KR 20130025795A KR 1020120014946 A KR1020120014946 A KR 1020120014946A KR 20120014946 A KR20120014946 A KR 20120014946A KR 20130025795 A KR20130025795 A KR 20130025795A
Authority
KR
South Korea
Prior art keywords
dielectric
metal
gate structure
forming
gate
Prior art date
Application number
KR1020120014946A
Other languages
English (en)
Inventor
진-아운 엔쥐
맥시 창
젠-셍 양
타-웨이 린
쉬-하오 로
치-양 예
휘-웬 린
정-휘 카오
유안 티엔 투
후안-주스트 린
치-탕 펭
페이-렌 젱
바오-루 영
해리-학-레이 추앙
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20130025795A publication Critical patent/KR20130025795A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al

Abstract

집적 회로를 제조하는 방법은 반도체 기판을 제공하는 단계 및 상기 기판 위에 고-k 유전체와 같은 게이트 유전체를 형성하는 단계를 포함한다. 금속 게이트 구조는 반도체 기판 및 게이트 유전체 위에 형성되고 유전체 박막이 그 위에 형성된다. 유전체 박막은 금속 게이트로부터의 금속과 조합된 산화질화물을 포함한다. 방법은 금속 게이트 구조의 양 측 상에 층간 유전체(ILD)를 제공하는 단계를 더 포함한다.

Description

고-K 금속 게이트 디바이스를 위한 자가-정렬된 절연막{SELF-ALIGNED INSULATED FILM FOR HIGH-K METAL GATE DEVICE}
본 특허는 2011년 9월 2일 출원되고 그 전체가 참조로서 본원에 포함되는 가출원 번호 제61/530,845호의 이익을 청구한다.
반도체 디바이스 제조는 다수의 상이한 프로세스들을 포함하는데, 각각의 프로세스는 사이클-시간 및 비용 요건들과 연관된다. 디바이스 제조에 있어서 비용 및 사이클-시간을 감소시키기 위한 지속되는 요구가 존재한다. 또한, 반도체 제조에 있어서 결함들의 수를 감소시키고 수율을 개선하기 위한 지속되는 요구가 존재한다. 개선을 위한 하나의 영역은 고 유전 상수(고-k) 금속 게이트를 갖는 금속-산화물 반도체 전계-효과 트랜지스터(MOSFET) 디바이스들의 제조이다.
본 개시는 이러한 디바이스들의 제조에 관한 개선들을 제공한다.
본 개시는 집적 회로 디바이스들을 제조하는 방법들의 다수의 상이한 실시예들을 제공한다. 일 실시예에서, 집적 회로를 제조하는 방법은 반도체 기판을 제공하는 단계 및 기판 위에 고-k 유전체와 같은 게이트 유전체를 형성하는 단계를 포함한다. 금속 게이트 구조는 반도체 기판 및 게이트 유전체 위에 형성되고 유전체 박막이 그 위에 형성된다. 유전체 박막은 금속 게이트로부터의 금속과 조합된 산화질화물을 포함한다. 방법은 금속 게이트 구조의 양측 상에 층간 유전체(ILD)를 제공하는 단계를 더 포함한다.
다른 실시예에서, 집적 회로를 제조하는 방법은 고-k 유전체를 기판에 제공하는 단계 및 고-k 유전체 위에 폴리실리콘 게이트 구조를 제공하는 단계를 포함한다. 폴리실리콘 게이트 구조의 상위 표면상에 하드마스크(hardmask) 및 폴리실리콘 게이트 구조의 측면들 상에 측벽 구조들이 형성된다. 하드마스크를 형성한 이후, 폴리실리콘 게이트 구조에 인접한 기판상에서 도핑 프로세스(doping process)가 수행된다. 도핑 프로세스 이후에, 트랜치를 형성하기 위해 측벽 구조들의 적어도 일부를 유지하면서 하드마스크 및 폴리실리콘 게이트 구조가 제거된다. 금속 게이트를 형성하기 위해 구리, 알루미늄, 티타늄 및/또는 탄탈륨과 같은 적어도 하나의 금속 재료로 트랜치가 충진된다. 금속 게이트의 상위 표면상에서 자가정렬(self align)되며 금속 재료를 포함하는 유전체 박층이 형성된다.
본 개시는 집적 회로 디바이스들의 다수의 상이한 실시예들을 또한 제공한다. 일 실시예에서, 집적 회로는 반도체 기판, 기판 위에 고-k 유전체와 같은 게이트 유전체를 포함한다. 금속 게이트 구조가 반도체 기판 및 게이트 유전체 위에 있고, 유전체막이 금속 게이트 구조상에 있다. 유전체 막은 금속 게이트로부터의 금속과 조합되는 산화질화물을 포함한다. 층간 유전체(ILD)가 금속 게이트 구조의 양측 상에 있다.
본 개시의 양상들은 첨부 도면과 함께 판독될 때 이하의 상세한 설명으로부터 가장 잘 이해된다. 업계에서 표준 관행에 따라, 다양한 피처들이 제 크기대로 그려진 것은 아니란 점에 주목한다. 사실상, 다양한 피처들의 치수들은 설명의 명확성을 위해 임의로 증가하거나 감소될 수 있다. 또한, 몇 개의 엘리먼트들 및 피처들이 도면에서 도시되는데, 명확성을 위해 이들 모두가 번호가 지정되는 것은 아니다. 그러나 대칭적인 피처들 및 아이템들은 유사하게 배치될 수 있다는 것을 이해한다.
본 발명은 반도체 제조에 있어서 결함들의 수를 감소시키고 수율을 개선하는 효과를 제공한다.
도 1은 본 발명의 일 실시예에 따른 금속 게이트 스택을 갖는 반도체 디바이스를 제조하는 방법의 흐름도.
도 2 내지 15는 도 1의 방법들에 따라 구성된 다양한 제조 단계들에서, 금속 게이트 스택들과 함께 n-형 및 p-형 MOSFET(NFET 및 PFET)을 갖는 반도체 디바이스의 일 실시예의 단면도들.
이하의 개시는 다양한 실시예들의 상이한 특징들을 구현하기 위해 다수의 상이한 실시예들 또는 예들을 제공한다는 것을 이해한다. 컴포넌트들 및 배열들의 특정 예들은 본 개시를 단순하게 하기 위해 이하에 기술된다. 물론, 이들은 단순한 예이며 제한하게 되도록 의도되지 않는다. 또한, 본 개시는 다양한 예들에서 참조 번호들 및/또는 문자들을 반복할 수 있다. 이 반복은 단순함을 위한 것이며 설명되는 다양한 실시예들 및/또는 구성들 간의 관계를 본질적으로 기술하는 것은 아니다. 또한, 이어지는 설명에서 제 2 특징상의 또는 그 위의 제 1 특징은 제 1 및 제 2 특징들이 직접 접하여 형성되는 실시예들을 포함할 수 있고, 제 1 및 제 2 특징들이 직접 접하지 않을 수 있도록 부가적인 특징들이 제 1 및 제 2 특징들 사이에 개재되어 형성될 수 있는 실시예들을 또한 포함할 수 있다.
도 1은 일 실시예에 따라 반도체 디바이스를 제조하기 위한 방법(100)의 흐름도이다. 반도체 디바이스는 n-형 전계-효과 트랜지스터(NFET) 및 p-형 전계-효과 트랜지스터(PFET)를 포함할 수 있으며, n-형 전계-효과 트랜지스터(NFET) 및 p-형 전계-효과 트랜지스터(PFET) 둘 다는 본 개시의 다양한 양상들에 따라 구성된 금속 게이트 스택 레지스터를 갖는다. 도 2 내지 15는 하나 이상의 실시예들에 따라 구성된, 다양한 제조 단계들에서의 반도체 구조(20)의 단면도들이다. 반도체 구조(200) 및 그 제조 방법(100)은 도 1 내지 16을 참조하여 집합적으로 기술된다.
도 1 및 2를 참조하여, 방법(100)은 그 위에 폴리실리콘 게이트가 형성되는 반도체 기판(201)을 제공함으로써 단계(102)에서 시작된다. 반도체 기판(201)은 실리콘을 포함할 수 있다. 대안으로, 기판은 게르마늄, 실리콘 게르마늄 또는 다른 적절한 반도체 재료들을 포함한다. 반도체 기판은 n-웰(well) 및 p-웰들과 같은 다양한 도핑 영역들을 또한 포함한다. 반도체 기판(201)은 NFET 트랜지스터 및 PFET 트랜지스터를 분리하도록 기판에 형성된 STI(shallow trench isolation; 202)와 같은 절연 피처(isolation feature)를 포함한다. STI 피처의 형성은 기판에 트랜치를 에칭하고, 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물과 같은 하나 이상의 절연체 재료들로 트랜치를 충진하는 것을 포함한다. 충진된 트랜치는 트랜치를 충진하는 실리콘 질화물을 구비한 열적 산화물 라이너층(thermal oxide liner layer)과 같은 다중-층 구조를 가질 수 있다. 일 실시예에서, STI 피처(202)는 패드 산화물(pad oxide)을 성장시키는 단계, 저압 화학 기상 침착(LPCVD) 질화물층을 형성하는 단계, 포토레지스트 및 마스킹을 이용하여 STI 개구를 패터닝하는 단계, 기판에 트랜치를 형성하는 단계, 트랜치 계면을 개선하도록 열적 산화물 트랜치 라이너를 선택적으로 성장시키는 단계, 트랜치를 CVD 산화물로 충진하는 단계, 에칭을 위해 화학 기계적 평탄화(CMP)를 이용하는 단계 및 STI 구조를 남기도록 질화물 스트립핑을 이용하는 단계와 같은 프로세스 시퀀스를 이용하여 생성된다. 반도체 기판(201)은 다양한 활성 영역들에 형성된 다양한 n-웰들 및 p-웰들을 또한 포함한다.
2개의 유사한 폴리실리콘 게이트 스택들(204, 206)은 STI 구조(202)의 양측 상에서 기판(201) 상에 형성된다. 본 실시예에서, 각각의 폴리실리콘 게이트 스택(204, 206)은 개괄적으로 참조 번호(214)로 지정되는 (도면에서 기판(201)으로부터 위로 관측하면) 실리콘 산화물 계면층(IL), 고-k 유전체층(HK) 및 캡 층을 포함한다. 다양한 실시예들에서, 계면층은 화학적 산화물 기법, 열적 산화물 프로시저, 원자층 침착(ALD), 또는 화학 기상 침착(CVD)에 의해 형성될 수 있다. 고 k 유전체 재료층은 CVD, ALD, 플라즈마 개선된 CVD(PE CVD), 또는 플라즈마 개선된 ALD(PEALD)에 의해 형성될 수 있다. 캡 층은 프리커서 실란(SiH4) 또는 다른 실리콘계 프리서커를 통한 CVD를 이용하여 형성될 수 있다.
본 실시예를 지속하면, 폴리크리스탈린(polycrystalline) 실리콘(폴리실리콘)층(216)이 IL/HK/캡 층(214) 위에 형성된다. 본 실시예에서, 폴리실리콘층(216)은 도핑되지 않는다. 실리콘층(216)은 대안적으로 또는 부가적으로 비정질 실리콘을 포함할 수 있다. 산화물(218)은 폴리실리콘층(216) 위에 형성되고, 실리콘 질화물층(SiN)(218)은 폴리실리콘층(216) 위에 형성되어 하드마스크(HM)를 형성한다. 패터닝을 포함하는 이러한 층들의 형성은 당 분야에 잘 알려져 있다는 것이 이해하고, 단순성 및 명확성을 위해 추가로 설명되지 않을 것이다.
도 1 및 3을 참조하여, 방법(100)은 SiN 실(seal)(230)이 게이트 스택들(204, 206) 주위에 형성되는 단계(103)로 진행한다. 본 실시예에서, SiN 실(230)은 대략 50 A의 두께의 층을 형성하도록 원자층 침착을 이용하여 형성된다. 또한, 기판(201)은 소스 및 드레인(S/D) 피처들을 위한 할로겐 영역 및 경하게 도핑된 드레인(light doped drain: LDD) 영역을 형성하도록 도핑된다. NFET 및 PFET에 대한 소스 및 드레인 영역들은 적절한 도핑 종들(species)을 이용하여 형성된다.
도 1 및 4를 참조하여, 방법(100)은 메인 측벽(main side wall; MSW)이 형성되는 단계(104)로 진행한다. MSW는 SiN 층(230)의 외부 표면과 기판(201)의 상위 표면에 인접한 산화물(OX)층(232)을 포함한다. 본 실시예에서, OX 층(232)은 약 30A의 두께로 ALD에 의해 형성된다. MSW는 OX 층(232)의 외부 표면상에 형성된 SiN 측벽들(234)을 포함한다. SiN층은 최대 약 250A의 두께로 형성된다. 도 4에서 도시된 바와 같이, MSW는 폴리실리콘 게이트 스택(204, 206)의 측벽에 인접하고 전체 기판을 덮지는 않는다.
도 1 및 5를 참조하여, 방법(100)은 S/D 및 정전기 방전 영역(240)이 완전히 주입되고 활성화되는 단계(105)로 진행한다. 단계(103)에 대해 상술한 바와 같이, LDD 영역들은 MSW가 단계(104)에서 형성되기 이전에 기판(201)에 앞서 제공되었다. 단계(105)에서, 디퍼(deeper) 주입 프로세스가 수행된다. NFET의 도핑된 영역들은 붕소 또는 BF2와 같은 P-형 도펀트들로 도핑되고, PFET의 도핑된 영역들은 인 또는 비소와 같은 N-형 도펀트들로 도핑된다. 도핑된 영역들(240)은 P-웰 구조에서, N-웰 구조에서, 이중-웰 구조에서, 또는 상승된 구조(raised structure)를 이용하여 기판(201) 상에 직접 형성될 수 있다. 본 실시예는 S/D 활성화는 약 1010 C 스파이크(spike)를 갖는 고속 열적 어닐(rapid thermal anneal; RTA)와 함께 약 1150C의 레이저 어닐(LSA)에 의해 수행된다.
도 1 및 6을 참조하여, 방법(100)은 니켈 실리사이드(NiSi) 영역들(242)이 S/D 영역(240)에 대한 미래의 접촉을 위해 형성되는 단계(106)로 진행한다. 본 실시예에서 Ni는 단계(105)에서 형성된 MSW에 의해 안내되며 기판(201)에서 약 400A의 두께로 침착된다.
도 1 및 7을 참조하여, 방법(100)은 MSW들의 SiN 층(234)이 2개의 게이트 스택들로부터 제거되는 단계(107)로 진행한다. 도 7에 도시된 바와 같이, 이제 244로 라벨링된 SiN층의 일부와 OX층(232)이 MSW들 상에 잔존한다. 본 실시예에서, 이 제거 프로세스는 약 120C에서 H3PO4를 이용한 습식 에칭에 의해 수행된다. 또한, HM(218, 220)이 폴리실리콘 게이트(216)의 상위 부분으로부터 제거된다. 본 실시예에서, SiN 및 OX HM은 건식 에칭 프로세스에 의해 제거된다.
도 1 및 8을 참조하여, 방법(100)은 층간 유전체(ILD)층(250)이 2개의 게이트 스택들(204, 206) 위에 형성되는 단계(108)로 진행한다. 본 실시예에서, 신장성 SiN 접촉 에치 정지층(252) 약 200A의 두께로 먼저 침착된다. 그 후, ILD 층(250), 본 실시예에서 인산염 규산염 유리(PSG)가 이온 플라즈마(IPM)를 이용하여 약 2000A의 두께로 침착된다.
도 1 및 9를 참조하여, 방법(100)은 디바이스의 상부 표면이 폴리실리콘 게이트들(216)을 노출하도록 평탄화되는 단계(109)로 진행한다. 본 실시예에서, 화학 기계적 연마 프로세스가 수행된다.
도 1 및 10을 참조하여, 방법(100)은 2개의 폴리실리콘 게이트 스택들(204, 206) 중 하나가 마스킹되는 단계(110)로 진행한다. 본 실시예에서, NFET 게이트 스택(204)에 대한 폴리실리콘 마스크(216)는 패터닝된 포토레지스트(PR) 층(260)으로 마스킹된다. 구체적으로, 20A의 TiN 하드마스크(262)가 디바이스의 상위 표면위에 침착되고, 그 후 PR 층(260)이 그 위에 침착된다. PR 층(260)은 NFET 게이트 스택(204)을 마스킹하도록 패터닝된다.
도 1 및 11을 참조하여, 방법(100)은 PFET 게이트 스택(206)의 폴리실리콘(216)이 제거되는 단계(111)로 진행한다. 본 실시예에서, 폴리실리콘(216)은 에칭을 통해 PFET 게이트 스택(이제 보다 정확하게 게이트 스택보단 트랜치로서 기술됨)으로부터 제거되고, 반면에, NFET 게이트 스택의 폴리실리콘은 도 10의 패터닝된 PR(260)에 의해 차폐되기 때문에 온전하게 잔존하게 된다. 그 후, 금속 게이트(266)가 PFET 게이트 스택(206)의 제거된 폴리실리콘(216)으로부터 잔존하는 트랜치에 형성된다. 금속 게이트는 하나 이상의 층들로 형성될 수 있으며, 본 실시예에서 TaN, TiN, TaN, TiN 및 Al(아주 적은량의 Cu와 함께)의 순서로 침착된 금속들을 포함한다. 침착된 금속층들은 디바이스(200)의 전체 표면을 덮고, 그 후 PR(260)을 포함해서 CMP 프로세스에 의해 제거된다.
도 1 및 12를 참조하여, 방법(100)은 유사한 프로세스가 NFET 게이트 스택(204) 상에서 반복되는 단계(112)로 진행한다. 본 실시예에서, PFET 게이트 스택(206) 상에서 폴리실리콘이 이미 제거되고 대체되었기 때문에, PFET 게이트 스택을 덮는 패터닝된 PR 층은 이용되지 않는다. 폴리실리콘(216)은 예를 들어, 에칭 프로세스에 의해 NFET 게이트 스택(204)으로부터 제거된다. 그 후, 금속 게이트(268)가 NFET 게이트 스택(204)의 제거된 폴리실리콘(216)으로부터 잔존하는 트랜치에 형성된다. 금속 게이트(268)는 하나 이상의 층들로 형성될 수 있으며, 본 실시예에서, TaN, TiAl, TiN 및 Al(아주 적은량의 Cu와 함께)의 순서로 침착된 금속들을 포함한다. 침착된 금속층들은 디바이스(200)의 전체 표면을 덮고, 그 후 PR(260)을 포함해서 CMP 프로세스에 의해 제거된다. 그 결과, 폴리실리콘 게이트 스택들 둘 다는 이제 금속 게이트 스택들(204, 206)이다.
도 1, 13a 및 13b를 참조하여, 방법(100)은 초-박(ultra-thin) 금속 산화질화물 막들(288, 286)이 금속 게이트 스택들(204, 206)의 상위 표면 각각에 형성된다. 일 구현에서, 02를 이용하여 60초 동안 20C, 900W의 산소 플라즈마가 표면에 충돌(bombard)한다. 그 후 NH3/N2를 이용하여 60초 동안 400C, 75W의 암모니아 플라즈마가 표면상에 충돌한다. 대안적인 실시예에서, 질소 플라즈마(NH3 없음)가 이용될 수 있다. 그 결과 약 1nm 내지 10nm의 두께를 갖는 초-박 금속 산화질화물 막이 발생된다. 산화질화물 막들은 게이트 스택들(204, 206)의 금속 재료들(예를 들어, Ti, Ta, Cu, Al, TiAL)과 반응하고, 그럼으로써 프로세스가 자가-정렬된다.
도 1 및 14를 참조하여, 방법(100)은 ILD(290)가 초-박 금속 산화질화물 막들(288, 286)을 포함하는 금속 게이트 스택들(204, 206) 위에 형성되는 단계(114)로 진행한다. 본 실시예에서, ILD(290)은 약 1450A의 두께의 비-도핑된 규산염 유리(USG)이다. USG(290)는 SiH4/N20/He를 이용하여 400C에서 침착 프로세스에 의해 형성된다. USG(290)는 PSG(250)의 상위 상에서 형성될 수 있거나 PSG(250)이 제거될 수 있고, 및/또는 유전체 재료들의 부가적인 조합들이 형성될 수 있다.
도 1 및 15를 참조하여, 방법(100)은 접촉이 NFET 및 PFET 트랜지스터들의 S/D 영역들로의 전기적 연결을 위해 형성되는 단계(115)로 진행한다. 본 실시예에서, 접촉 개구는 ILD(290)에서 패터닝 및 에칭되고, 그 후 W 플러그들(292)로 충진된다. 디바이스의 상부 표면은 CMP에 의해 평탄화되고, 그 결과 도면에 도시된 디바이스를 발생시킨다. 거기에는 라인 처리의 백 엔드(back end)가 존재한다.
상술한 본 실시예들은 다수의 이점들을 제공하며, 다른 실시예들이 동일한 이점들을 가질 수 없다는 것을 이해한다. 상술한 실시예들의 이점들은 이러한 층을 형성하기 위한 대안의 방법들과 대조적으로 플라즈마-야기된 초-박 절연체층으로 인한 개선된 신뢰도를 포함한다. 또한, 칩-레벨 셀 스트레스가 개선된다. 또한, 수율 개선 및 개선된 쇼트들(shorts)은 임의의 금속 잔유물(예를 들어, Al, Cu, Ti 또는 Ta)을 금속 산화질화물로 변형함으로써 제공된다.
본 개시는 반도체 구조가 FET(예를 들어, MOS 트랜지스터)를 포함하는 응용들로 국한되지 않고, 금속 게이트 스택을 갖는 다른 집적 회로로 확장될 수 있다. 예를 들어, 반도체 구조들은 동적 랜덤 액세스 메모리(DRAM) 셀, 이미징 센서, 커패시터들 및/또는 다른 마이크로전자 디바이스들(집합적으로 여기서 마이크로전자 디바이스로서 칭함)을 포함할 수 있다. 다른 실시예에서, 반도체 구조는 FinFET 트랜지스터들을 포함한다. 물론, 본 개시의 양상들은 단일-게이트 트랜지스터들, 이중-게이트 트랜지스터들 및 다른 다중-게이트 트랜지스터들을 포함하는 다른 형태의 트랜지스터로 또한 응용 가능하고 및/또는 쉽게 적응 가능하고, 센서 셀들, 메모리 셀들, 로직 셀들 및 기타들을 포함하는 다수의 상이한 응용들에서 이용될 수 있다.
위에서 몇 개의 실시예들의 특징들이 약술되었다. 당업자는 여기서 도입된 실시예들의 동일한 이점을 달성하고 및/또는 동일한 목적들을 수행하기 위한 다른 프로세스들 및 구조들을 설계 또는 변형하기 위한 근간으로서 본 개시를 쉽게 이용할 수 있다는 것을 이해해야 한다. 당업자는 또한 이러한 등가 구성물들이 본 개시의 사상 및 범위로부터 벗어나지 않으며, 이들은 본 개시의 사상 및 범위로부터 벗어남 없이 여기서 다양한 변경들, 대체물들 및 대안들을 제조할 수 있는 것을 또한 인식해야 한다.

Claims (10)

  1. 집적 회로를 제조하는 방법으로서,
    반도체 기판을 제공하는 단계;
    상기 기판 위에 게이트 유전체를 형성하는 단계;
    상기 반도체 기판 및 상기 게이트 유전체 위에 금속 게이트 구조를 형성하는 단계;
    상기 금속 게이트 구조상에 유전체 박막(thin dielectric film)을 형성하는 단계로서, 상기 유전체 박막은 금속 게이트로부터의 금속과 조합되는 산화질화물을 포함하는, 상기 유전체 박막을 형성하는 단계;
    상기 금속 게이트 구조의 양 측(side) 상에 층간 유전체(ILD)를 제공하는 단계를 포함하는, 집적 회로 제조 방법.
  2. 제1항에 있어서,
    상기 게이트 유전체는 고-k 유전체인, 집적 회로 제조 방법.
  3. 제1항에 있어서,
    상기 금속 게이트 구조는 구리 및 티타늄을 포함하는 복수의 금속층들을 포함하는, 집적 회로 제조 방법.
  4. 제 1 항에 있어서,
    상기 유전체 박막을 형성하는 단계는 산소 플라즈마(oxygen plasma)를 이용하는 단계를 포함하는, 집적 회로 제조 방법.
  5. 집적 회로로서,
    반도체 기판;
    상기 기판 위의 게이트 유전체;
    상기 반도체 기판 및 상기 게이트 유전체 위의 금속 게이트 구조;
    상기 금속 게이트 구조상의 유전체막으로서, 상기 유전체 막은 금속 게이트로부터의 금속과 조합되는 산화질화물을 포함하는, 상기 유전체막; 및
    상기 금속 게이트 구조의 양 측 상에 층간 유전체(ILD)를 포함하는, 집적 회로.
  6. 제5항에 있어서,
    상기 게이트 유전체는 고-k 유전체인, 집적 회로.
  7. 제5항에 있어서,
    상기 유전체막은 약 10nm 미만의 두께를 갖는, 집적 회로.
  8. 제5항에 있어서,
    상기 금속은 구리를 포함하고, 상기 유전체막은 구리 산화질화물을 포함하는, 집적 회로.
  9. 제5항에 있어서,
    상기 금속은 구리, 티타늄, 탄탈륨, 및 알루미늄으로 구성된 그룹으로부터 적어도 2개를 포함하는, 집적 회로.
  10. 집적 회로를 제조하는 방법으로서,
    고-k 유전체를 기판에 제공하는 단계;
    상기 고-k 유전체 위에 폴리실리콘 게이트 구조를 제공하는 단계;
    상기 폴리실리콘 게이트 구조의 상위 표면상에 하드마스크(hardmask) 및 상기 폴리실리콘 게이트 구조의 측면들 상에 측벽 구조들을 형성하는 단계;
    상기 하드마스크를 형성한 이후, 상기 폴리실리콘 게이트 구조에 인접한 상기 기판상에 도핑 프로세스(doping process)를 수행하는 단계;
    상기 도핑 프로세스 이후에, 트랜치를 형성하도록 상기 측벽 구조들의 적어도 일부를 유지하면서 상기 하드마스크 및 상기 폴리실리콘 게이트 구조를 제거하는 단계;
    상기 금속 게이트를 형성하도록 적어도 하나의 금속 재료로 상기 트랜치를 충진하는 단계; 및
    상기 금속 게이트의 상위 표면상에서 자가 정렬(self align)되는 유전체 박층을 형성하는 단계를 포함하고,
    상기 유전체 박층은 금속 재료를 포함하는, 집적 회로 제조 방법.
KR1020120014946A 2011-09-02 2012-02-14 고-k 금속 게이트 디바이스를 위한 자가-정렬된 절연막 KR20130025795A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161530845P 2011-09-02 2011-09-02
US61/530,845 2011-09-02
US13/244,365 2011-09-24
US13/244,365 US8822283B2 (en) 2011-09-02 2011-09-24 Self-aligned insulated film for high-k metal gate device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020140052213A Division KR20140063552A (ko) 2011-09-02 2014-04-30 고-k 금속 게이트 디바이스를 위한 자가-정렬된 절연막

Publications (1)

Publication Number Publication Date
KR20130025795A true KR20130025795A (ko) 2013-03-12

Family

ID=47752473

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020120014946A KR20130025795A (ko) 2011-09-02 2012-02-14 고-k 금속 게이트 디바이스를 위한 자가-정렬된 절연막
KR1020140052213A KR20140063552A (ko) 2011-09-02 2014-04-30 고-k 금속 게이트 디바이스를 위한 자가-정렬된 절연막
KR1020150063023A KR101618511B1 (ko) 2011-09-02 2015-05-06 고-k 금속 게이트 디바이스를 위한 자가-정렬된 절연막

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020140052213A KR20140063552A (ko) 2011-09-02 2014-04-30 고-k 금속 게이트 디바이스를 위한 자가-정렬된 절연막
KR1020150063023A KR101618511B1 (ko) 2011-09-02 2015-05-06 고-k 금속 게이트 디바이스를 위한 자가-정렬된 절연막

Country Status (4)

Country Link
US (5) US8822283B2 (ko)
KR (3) KR20130025795A (ko)
CN (1) CN102983105B (ko)
TW (1) TWI495013B (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8822283B2 (en) 2011-09-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned insulated film for high-k metal gate device
US8470714B1 (en) * 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
KR101927443B1 (ko) * 2012-08-22 2018-12-10 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9076766B2 (en) * 2013-06-13 2015-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanism for forming metal gate structure
KR102103960B1 (ko) 2013-08-16 2020-04-24 삼성디스플레이 주식회사 박막 트랜지스터 어레이 기판, 이를 포함하는 표시 장치, 및 박막 트랜지스터 어레이 기판의 제조 방법
US9190488B1 (en) * 2014-08-13 2015-11-17 Globalfoundries Inc. Methods of forming gate structure of semiconductor devices and the resulting devices
US9660106B2 (en) * 2014-08-18 2017-05-23 United Microelectronics Corp. Flash memory and method of manufacturing the same
TWI633669B (zh) 2014-12-26 2018-08-21 聯華電子股份有限公司 半導體元件及其製程
CN105826174B (zh) * 2015-01-05 2021-06-15 联华电子股份有限公司 半导体装置及其制作方法
US9779959B2 (en) * 2015-09-17 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9911821B2 (en) * 2015-11-13 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
KR102551349B1 (ko) 2016-01-22 2023-07-04 삼성전자 주식회사 반도체 소자 및 그 제조 방법
US9806018B1 (en) * 2016-06-20 2017-10-31 International Business Machines Corporation Copper interconnect structures
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US20220359287A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Recessed contacts at line end and methods forming same
TWI792414B (zh) * 2021-07-13 2023-02-11 張宏森 渦流熱交換裝置

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63316476A (ja) * 1987-06-18 1988-12-23 Seiko Instr & Electronics Ltd 半導体装置およびその製造方法
US6465309B1 (en) * 2000-12-12 2002-10-15 Advanced Micro Devices, Inc. Silicide gate transistors
US6509282B1 (en) * 2001-11-26 2003-01-21 Advanced Micro Devices, Inc. Silicon-starved PECVD method for metal gate electrode dielectric spacer
US7078306B1 (en) * 2003-03-24 2006-07-18 Integrated Device Technology, Inc. Method for forming a thin film resistor structure
US7015534B2 (en) * 2003-10-14 2006-03-21 Texas Instruments Incorporated Encapsulated MOS transistor gate structures and methods for making the same
CN100463143C (zh) * 2005-07-07 2009-02-18 中芯国际集成电路制造(上海)有限公司 具有氧化物间隔层的应变源漏cmos的集成方法
US7229873B2 (en) * 2005-08-10 2007-06-12 Texas Instruments Incorporated Process for manufacturing dual work function metal gates in a microelectronics device
US20070152276A1 (en) * 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
JP4327820B2 (ja) * 2006-06-05 2009-09-09 株式会社東芝 半導体装置およびその製造方法
US7776765B2 (en) * 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US20080076216A1 (en) * 2006-09-25 2008-03-27 Sangwoo Pae Method to fabricate high-k/metal gate transistors using a double capping layer process
US8120114B2 (en) 2006-12-27 2012-02-21 Intel Corporation Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate
US20080242012A1 (en) * 2007-03-28 2008-10-02 Sangwoo Pae High quality silicon oxynitride transition layer for high-k/metal gate transistors
US8115251B2 (en) * 2007-04-30 2012-02-14 International Business Machines Corporation Recessed gate channel with low Vt corner
JP2009054951A (ja) * 2007-08-29 2009-03-12 Toshiba Corp 不揮発性半導体記憶素子及びその製造方法
US20090174002A1 (en) * 2008-01-09 2009-07-09 International Business Machines Corporation Mosfet having a high stress in the channel region
US7888195B2 (en) * 2008-08-26 2011-02-15 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
US8258587B2 (en) * 2008-10-06 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor performance with metal gate
US8294202B2 (en) 2009-07-08 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
EP2453946B1 (en) * 2009-07-15 2013-02-13 Fresenius Medical Care Holdings, Inc. Medical fluid cassettes and related systems
US8617946B2 (en) * 2009-11-11 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including metal gates and fabrication methods thereof
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8946828B2 (en) * 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
CN102194693B (zh) * 2010-03-16 2013-05-22 中国科学院微电子研究所 一种半导体器件及其制造方法
US8685847B2 (en) * 2010-10-27 2014-04-01 International Business Machines Corporation Semiconductor device having localized extremely thin silicon on insulator channel region
US8232607B2 (en) * 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
CN102487015A (zh) * 2010-12-03 2012-06-06 中国科学院微电子研究所 一种半导体结构及其制造方法
US8765603B2 (en) * 2011-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a buffer layer
US8524564B2 (en) * 2011-08-05 2013-09-03 Globalfoundries Inc. Full silicidation prevention via dual nickel deposition approach
US8728908B2 (en) * 2011-08-08 2014-05-20 Globalfoundries Inc. Methods of forming a dielectric cap layer on a metal gate structure
US8822283B2 (en) 2011-09-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned insulated film for high-k metal gate device
US8772168B2 (en) * 2012-01-19 2014-07-08 Globalfoundries Singapore Pte. Ltd. Formation of the dielectric cap layer for a replacement gate structure
US8765590B2 (en) * 2012-10-31 2014-07-01 International Business Machines Corporation Insulative cap for borderless self-aligning contact in semiconductor device

Also Published As

Publication number Publication date
US9779947B2 (en) 2017-10-03
US11094545B2 (en) 2021-08-17
KR101618511B1 (ko) 2016-05-04
US20160196979A1 (en) 2016-07-07
US20180019133A1 (en) 2018-01-18
US20190341263A1 (en) 2019-11-07
US8822283B2 (en) 2014-09-02
US20140367802A1 (en) 2014-12-18
US10388531B2 (en) 2019-08-20
US9252224B2 (en) 2016-02-02
US20130056837A1 (en) 2013-03-07
CN102983105B (zh) 2015-11-25
KR20150059157A (ko) 2015-05-29
KR20140063552A (ko) 2014-05-27
CN102983105A (zh) 2013-03-20
TWI495013B (zh) 2015-08-01
TW201312655A (zh) 2013-03-16

Similar Documents

Publication Publication Date Title
US11094545B2 (en) Self-aligned insulated film for high-K metal gate device
US8853753B2 (en) Contact for high-k metal gate device
US8361848B2 (en) Precise resistor on a semiconductor device
US7939392B2 (en) Method for gate height control in a gate last process
US8278196B2 (en) High surface dopant concentration semiconductor device and method of fabricating
US8119473B2 (en) High temperature anneal for aluminum surface protection
CN101677088B (zh) 半导体装置的制造方法
US8058125B1 (en) Poly resistor on a semiconductor device
US20130270647A1 (en) Structure and method for nfet with high k metal gate
US20110147765A1 (en) Dummy structure for isolating devices in integrated circuits
CN102104061A (zh) 用于场效应晶体管的栅极电极以及场效应晶体管
US20110147810A1 (en) Method of fabricating strained structure in semiconductor device
US10998414B2 (en) Metal gate structure with multi-layer composition
US8674451B2 (en) N/P metal crystal orientation for high-K metal gate Vt modulation
US20140273385A1 (en) Interface for metal gate integration
US8860151B2 (en) Semiconductor device having a spacer and a liner overlying a sidewall of a gate structure and method of forming the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
A107 Divisional application of patent