KR20120118006A - 플라즈마 챔버용 프로세스 조건 감지 장치 - Google Patents

플라즈마 챔버용 프로세스 조건 감지 장치 Download PDF

Info

Publication number
KR20120118006A
KR20120118006A KR1020127018009A KR20127018009A KR20120118006A KR 20120118006 A KR20120118006 A KR 20120118006A KR 1020127018009 A KR1020127018009 A KR 1020127018009A KR 20127018009 A KR20127018009 A KR 20127018009A KR 20120118006 A KR20120118006 A KR 20120118006A
Authority
KR
South Korea
Prior art keywords
substrate
plasma
sensor
collector portion
layer
Prior art date
Application number
KR1020127018009A
Other languages
English (en)
Other versions
KR101764940B1 (ko
Inventor
얼 젠센
메이 순
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20120118006A publication Critical patent/KR20120118006A/ko
Application granted granted Critical
Publication of KR101764940B1 publication Critical patent/KR101764940B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/60Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrostatic variables, e.g. electrographic flaw testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R19/00Arrangements for measuring currents or voltages or for indicating presence or sign thereof
    • G01R19/0046Arrangements for measuring currents or voltages or for indicating presence or sign thereof characterised by a specific application or detail not covered by any other subgroup of G01R19/00
    • G01R19/0061Measuring currents of particle-beams, currents from electron multipliers, photocurrents, ion currents; Measuring in plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32954Electron temperature measurement
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Pathology (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Electrochemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

제품을 프로세싱하기 위한 플라즈마 챔버 내의 플라즈마 프로세스 파라미터를 측정하기 위한 감지 장치는 기판에 내장된 하나 이상의 센서를 구비한 기판을 포함할 수 있다. 기판은 플라즈마 챔버 내에서 프로세싱되는 플라즈마인 제품과 실질적으로 동일한 물질로 이루어진 표면을 가질 수 있다. 각 센서는 기판 표면과 실질적으로 동일한 물질로 이루어진 콜렉터 부분을 포함할 수 있다. 콜렉터 부분은 기판의 표면과 레벨이 동일한 표면을 포함한다. 센서 전자기기는 기판에 내장되고, 콜렉터 부분에 연결된다. 기판 표면이 플라즈마로 노출되면, 플라즈마로부터 얻어지는 하나 이상의 신호는 센서(들)에 의해 측정될 수 있다.

Description

플라즈마 챔버용 프로세스 조건 감지 장치{PROCESS CONDITION SENSING DEVICE FOR PLASMA CHAMBER}
본 발명은 일반적으로 플라즈마 프로세싱 시스템에 관한 것이고, 특히 플라즈마 프로세싱 시스템 내의 플라즈마 특성의 인-시투 측정(in-situ measurements)을 작성하기 위한 장치에 관한 것이다.
플라즈마 프로세스는 반도체 웨이퍼, 평면 판 디스플레이 기판, 및 리소그래피 마스크 등의 제품(workpieces)의 표면을 수정하거나 처리하는데 자주 사용된다. 플라즈마 프로세스에서의 조건은 이온, 반응 화학종(reactive chemical species)[자유 래디칼(free radicals)], 및 강렬한 중성종(energetic neutral species)의 복합 화합물(complex mixture)을 생성하도록 디자인된다. 이어서, 이들 물질의 상호 작용은 제품의 표면에 소망하는 효과를 초래한다. 예컨대, 플라즈마 프로세스는 복잡한 전기 소자와 회로를 형성하기 위해 반도체 웨이퍼의 표면으로부터 물질을 에칭하는데 사용된다. 플라즈마 프로세스에서의 조건은 소망하는 에칭 방향성 및 선택성을 생성하기 위해 신중하게 제어된다.
특정 플라즈마에 의해 생성된 표면 개질(surface modification)은 플라즈마 내의 기본 파라미터의 수에 대하여 예민하다. 이들 파라미터는 화학적 농도[분압(prttial pressures)], 온도(표면 및 기체 상태), 및 전기적 파라미터들[이온 플럭스(ion fluxes), 이온 에너지 분포 함수] 등의 변수들을 포함한다. 이들 다수의 파라미터는 일반적으로 질량 유량계(MFC : Mass Flow Controller)와 서보 구동 스로틀 밸브(servo driven throttle valve) 등의 외부 액추에이터를 사용하여 용이하게 제어될 수 있다. 다른 중요한 파라미터들(예컨대, 온도 및 자유 래디칼)은 프로세스 툴(process tool)에 설치된 센서(sensor) 시스템들[예컨대, 서모커플(thermocouple)과 발광 분광 분석기(OES : Optical Emission Spectrometer)]을 통해 자주 관찰 또는 측정될 수 있다. 이온 플럭스 및 이온 에너지 등의 중요한 파라미터의 마지막 세트는 직접 제어하거나 모니터링하기가 더 어렵다.
미국 특허공개 No. 2005-0151544는 플라즈마 특성의 인-시투 측정을 작성하기 위한 진단 장치를 구비한 플라즈마 프로세싱 시스템을 개시하고 있다. 일반적으로, 진단 장치는 플라즈마 프로세싱 챔버 내에 배치된 넌-인베이시브 센서 어레이(non-invasive sensor array), 센서를 자극하기 위한 전기 회로, 및 플라즈마 프로세스의 모니터링 또는 제어를 위한 센서 측정을 기록 및 통신하기 위한 수단을 포함한다. 일형태에 있어서, 센서는, 웨이퍼의 전하를 결정하는데 사용될 수 있는 표면 상에 쌓이는(piling up) 전자로 인한 셀프-바이어스와 웨이퍼 내부로의 또는 웨이퍼를 통한 이동 RF 전류(displacement RF current), I-V 특성을 측정하는 동적으로 펄싱되는 듀얼 플로팅 랭뮤어 프로브(dynamically pulsed dual floating Langmuir probe)이다. 웨이퍼 전하는 이온 및 전자에 대한 상이한 유속(flux rate)으로 인해(매우 상이한 질량으로 인해) 형성된다. 웨이퍼 차징(wafer charging)은 장치에 대한 손상으로 이어질 수 있다. 이온 기반 및 플라즈마 기반 IC 프로세싱 장비에서의 웨이퍼 프로세싱 중에 웨이퍼 차징을 캐릭터라이징(characterizing)하기 위해 전통적으로 사용되는 일종의 툴(tool)은 차징 트랜션트(charging transients)의 I-V 관계를 캐릭터라이징하기 위해 전류 센서 및 EEPROM-기반 피크 포텐셜 센서를 포함한다. 트랜지스터의 게이트는 웨이퍼 상의 안테나 구조에 연결된다. 장치는 시간의 펑션에 따라 전하(charge)가 아닌 누적 전하(cumulative charge)를 측정한다. 또한, 웨이퍼는 전하 측정을 판독하기 위해 플라즈마 챔버에서 빠져나와야 한다.
미국 특허공개 No. 2006-0249729는 웨이퍼의 표면에서 명백한 교류[alternating current(AC)]를 측정하기 위해 트리플 커패시터 스택(triple capacitor stack)을 사용하는 센서 웨이퍼를 개시한다. 이 정류(검출) 장치는 관심 범위 상에 강한 주파수 의존성과 최소 바이어스 요구(minimum bias requirement)를 갖는다. 측정은 오직 AC이고, 폴리이미드 기판에 의해 형성된 중앙 커패시터는 측정될 AC 포텐셜을 생성하는 션트 임피던스이다. 센서는 플라즈마 챔버내의 다수의 전기 파라미터에 대하여 콘파운딩된 방식(confounded way)으로 반응하고, 특별히 어떤 하나의 파라미터에 관련될 수 없다. 이것은 문제가 발생한 경우에 챔버를 조정(tune)하기 위해 우측 "노브(knob)"를 찾기 어렵게 한다.
또한, 종래의 다수의 센서 웨이퍼는 센서 어레이를 위한 전자 장치(electronics)를 수용(house)하는 웨이퍼의 맨 위에 모듈을 포함한다. 이 모듈은 플라즈마 내에 심각한 장애를 야기하거나 방전 충격(discharge damage)의 포인트가 될 수 있고, 오염의 소스가 될 수도 있다.
종래의 센서 웨피어의 다른 문제점은, 어레이 내의 센서 패드 및 이들 패드와 관련 전자 장치 사이의 전기 접속이 흔히 웨이퍼의 표면 상에 증착되는 예컨대 알루미늄 등의 금속 트레이스(metal trace)로 이루어진다는 것이다. 아르곤 플라즈마 등의 플라즈마로의 노출은 웨이퍼의 표면 상의 알루미늄 트레이스를 결국 침식(erode)시킨다. 일부의 센서 웨이퍼에서, 웨이퍼의 전체 표면은 트레이스와 센서 패드를 보호하기 위해 폴리이미드에 의해 커버된다. 그러나, 폴리이미드 코딩은 소정 플라즈마 환경에서 매우 짧은 수명을 가질 수 있고, 오염의 소스가 될 수도 있다. 또한, 구리 등의 소정 금속의 사용은 다수의 프로세스 스텝에서 강하게 회피된다.
이러한 맥락에서 본 발명의 실시형태가 개발되었다.
이하의 상세한 설명은 설명을 목적으로 하는 다수의 특정 세부사항을 포함하지만, 통상의 기술자는 이하의 세부사항에 대한 다수의 변경 및 수정이 본 발명의 범위 내에 있다는 것을 인식할 것이다. 따라서, 이하에 개시되는 본 발명의 예시적 실시형태는, 청구된 발명에 대한 한정없이 그리고 일반성(generality)의 손실없이 설명된다.
본 발명의 일실시형태에 의하면, 플라즈마 감지 장치는 플라즈마 시스(plasma sheath)에 대하여 직접 보이고, 웨이퍼 기판의 표면에 내장된(embedded) 센서들과 전자 장치들을 포함할 수 있다. 플라즈마로 노출되는 감지 장치의 부분과 웨이퍼 기판의 표면은 모두 장치가 센싱을 위해 디자인되는 플라즈마의 타입에 의해 통상적으로 프로세싱되는 재료로 이루어질 수 있다. 예컨대 일부 실시형태에서, 하나 이상의 센서를 위한 션트 임피던스는 웨이퍼 기판의 재료와 실질적으로 동일하고, 플라즈마 시스에 대하여 직접 볼 수도 있는 재료의 "슬러그(slug)"에 의해 형성될 수 있다. 여기서 사용되는 용어 "실질적으로 동일한 재료"는 하나의 재료가 다른 재료와 물리적으로 동일하지 않은 경우에 화학적으로 유사하다는 것을 의미한다. 예컨대, 기판 및 슬러그 재료는, 웨이퍼 기판과 슬러그가 단결정 실리콘으로 이루어지지만 상이한 결정 배향(crystalline orientation)을 갖는 경우에, 실질적으로 동일하게 될 수 있다. 대안으로서, 기판과 슬러그 재료는 기판이 단결정 실리콘으로 이루어지고, 슬러그가 다결정 실리콘으로 이루어지는 경우에 실질적으로 동일하게 될 수 있다. 용어 "실질적으로 동일"은 예컨대 상이하지만 용인될 수 있는 레벨의 불순물로 인해 2가지 재료의 화학적 구성에 있어서의 약간의 변화도 포함한다.
이러한 방식에 의한 션트 임피던스의 형성은 플라즈마에 내구성과 비오염 표면을 제공하고, 기판의 표면에 대한 DC 접속을 제공할 수도 있다. 따라서, 표면 DC 포텐셜이 측정될 수 있다. 이러한 구조는, 표면 전하, 셀프-바이어스, 및 로드-라인 특성 또는 I-V 특성 등의 중요한 정보를 제공할 수 있고, DC 바이어스가 센서에 동시에 도입(impose)된다.
본 발명의 실시형태들의 목적 및 장점은 첨부 도면에 대한 참조와 이하의 상세한 설명의 검토를 통해 명확하게 될 것이다.
도 1은 본 발명의 실시형태에 의한 감지 장치용 플러그를 구비한 웨이퍼를 개략적인 다이어그램으로 나타낸 사시도이다.
도 2a는 본 발명의 실시형태에 의한 감지 장치의 단면도이다.
도 2b는 본 발명의 다른 실시형태에 의한 감지 장치의 단면도이다.
도 2c는 도 2a-2b에 도시된 감지 장치의 등가 전기 다이어그램이다.
도 3은 본 발명의 실시형태에 의한 감지 장치의 상면도이다.
도 4a는 본 발명의 실시형태에 의한 플라즈마 측정 장치의 표면을 가로지르는 전류의 측정을 나타낸 사시도이다.
도 4b는 도 4a에 도시된 장치의 등가 회로의 단면도와 개략적인 전기 다이어그램의 조합이다.
도 4c는 도 4b에 도시된 장치의 등가 전기 회로 다이어그램이다.
도 1은 센서용 콜렉터로서의 몇개의 슬러그(104) 및 기판(102)을 포함하는 감지 장치(100)의 사시도이다. 기판(102)과 슬러그(104)는 동일 재료로 이루어질 수 있다. 한정이 아닌 예시로서, 기판(102)과 슬러그(104)는 모두 장치(100)가 동작하게 될 프로세싱 환경에 있어서의 프로세싱 조건과 호환 가능한 실리콘이나 다른 전도성 또는 반도체 물질로 이루어질 수 있다. 한정이 아닌 예시로서, 기판은 100㎜와 450㎜ 사이의 직경을 가진 실리콘 웨이퍼를 포함할 수 있다. 감지 장치(100)는 0.3㎜ 내지 10㎜의 전체 두께를 가질 수 있다.
기판(102)과 슬러그(104)는 플라즈마에 대하여 내구성과 비오염 표면을 제공할 수 있다. 예컨대, 감지 장치에 의해 진단되는 플라즈마가 예컨대 실리콘 웨이퍼의 에칭 또는 웨이퍼 상의 증착 등의 프로세싱을 위해 통상적으로 사용되는 경우에, 센서의 일부인 콜렉터 패드와 기판은 플라즈마가 실리콘 표면을 볼(see) 수 있도록 실리콘으로 이루어질 수 있다. 일부 구현에 있어서, 플라즈마에 유전체 표면을 나타내길 원하는 경우에, 포토레지스트 등의 플라즈마-호환 가능 폴리머 코팅(plasma-compatible polymer coating)은 웨이퍼 기판의 표면을 커버할 수 있다. 다수의 플라즈마 프로세스 챔버는 포토레지스트에 의해 커버되는 기판을 프로세싱하도록 디자인된다. 따라서, 슬러그(104)와 기판(102)의 표면 상의 포토레지스트의 존재는, 플라즈마 프로세스가 수행되는 챔버 및 장치(100)에 의해 진단되는 플라즈마 프로세스의 디자인에 있어서 이미 고려되는 것 이상의 추가적인 오염 위험을 제기하지 않는다. 슬러그(104)와 기판(102)의 표면의 플라즈마에 대한 노출로부터 야기되는 플라즈마 챔버의 오염은, 챔버 내에서의 생산 기판의 정상적인 처리에 후속하여 종래의 프로세스가 사용되는 것에 의해 정정(rectify)될 수 있다. 예컨대, 패터닝된 포토레지스트에 의해 커버된 실리콘 기판을 에칭하는데 사용되는 챔버 내의 플라즈마를 진단하기 위해 장치(100)를 사용한 후에, 챔버는 플라즈마 에칭 후의 챔버를 정화(clean)시키기 위해 일반적으로 사용되는 종래의 프로세스에 의해 정화될 수 있다.
슬러그(104)는 기판(102)의 표면 아래에 위치된 센서 전자기기(106)에 전기적으로 연결될 수 있다. 센서 신호를 프로세싱하기 위한 목적으로 기판(102)의 표면 아래에 하나의 센서 전자기기(106)가 더 있을 수 있다. 예컨대, 슬러그는 플라즈마로부터의 강렬한 방사선(energetic radiation) 또는 이온이나 전자의 플럭스를 센싱하기 위한 콜럭터 패드로서 기능할 수 있다. 일부 구현에서, 기판(102)과 슬러그(104)는 센서 전자기기로부터 표면으로 DC 접속을 제공할 수 있다. 이러한 구성은 표면 DC 포텐셜을 측정하는데 사용될 수 있다. 이러한 구조는 표면 전하와 셀프-바이어스 등의 중요한 정보를 얻는데 사용될 수도 있다. 일부 구현에서, AC 신호 검출은 보상된 온도, DC 바어이싱된 고주파, 다이오드 브릿지 회로에 의해 수행될 수 있다. 이 방법은 종래의 방법에 관련된 온도뿐만 아니라 열악한 선형성과 낮은 전원 레벨, 주파수 영향의 단점을 제거할 수 있다.
일부 실시형태에서, 전자기기(106)는 하나의 센서 슬러그(104)에서 여자 전압(excitation voltage)을 인가할 수 있고, 플라즈마 임피던스를 측정하기 위해 하나 이상의 다른 슬러그를 측정할 수 있다.
본 발명의 일부 실시형태에 의하면, 액티브 회로(active circuitry)와 파워 소스는 도 1에 도시된 것과 같은 장치의 층에 또는 층 사이에 내장될 수 있고, 이로 인해 콤포넌트 주위에 페러데이 상자(Faraday cage)를 효과적으로 형성한다. 한정이 아닌 예시로서, 도 2a-2b는 감지 장치(200A 및 200B)의 상이한 구현을 나타내는 단면도이다. 한정이 아닌 예시로서, 감지 장치(200A 및 200B)는, RF와 마이크로웨이브 주파수의 넓은 범위에 걸쳐 높은 플라즈마 파워 하에 그리고 프로세스 케미스트리(process chemistry)에 의해 프로세스 챔버 내에서 만들어지는 전하와 폴리머, 포화 전류, 셀프 바이어스 전압 등의 전기적 파라미터를 측정하기 위해 사용될 수 있다.
도 2a에 도시된 바와 같이, 감지 장치(200A)는 산화물 또는 다른 유전체층이 될 수 있는 절연층(212)에 의해 각각 분리되는 제1층 및 제2층(202 및 204)을 포함한다. 제1층(202)은 플라즈마의 타입에 의해 일반적으로 프로세싱되는 생산 웨이퍼(production wafer)와 동일 물질로 이루어질 수 있고, 장치(200A)는 센싱을 위해 디자인된다. 장치(200A)에서, 구멍(cavity)(216)은 제1층(202)의 표면에 형성될 수 있다. 플러그는 제1층(202)과 동일 물질로 이루어지고, 센서는 제1층(202)의 상면과 동일 평면상의 플러그(206)의 상면과 함께 구멍(216) 내에 배치될 수 있다. 플러그(206)의 하면은 오믹 접촉 접속(ohmic contact connection)을 만들기에 적합한 금속 코팅(metallic coating)(222)을 가질 수 있다. 예컨대, 금속 코팅은 실리콘으로 확산될(diffused) 수 있고, 이에 따라 실리콘에 대한 다이오드 접합을 생성하지 않는다. 금속 코팅(222)을 위해 적합한 물질의 예는 백금(platinum) 및 팔라듐(palladium)을 포함한다. 와이어 본딩이 수행되면 알루미늄도 사용될 수 있다. 따라서, 장치(200)의 상면은 평면으로 이루어질 수 있고, 장치(200A)가 디자인되는 챔버의 타입으로 프로세싱된 표준 생산 기판과 실질적으로 동일한 프로파일을 갖는다. 유전체층(220)(예컨대, 폴리이미드)은 전기적 분리를 제공하기 위해 실리콘 플러그(206)와 제1층(202) 사이에 증착될 수 있다. 유전체층(220)은 플러그(206)에 의해 형성되는 센서 표면 상에 영향을 줄 수 있는 RF 전류를 측정하는데 사용될 수 있는 션트 커패시터를 형성할 수도 있다.
플러그(206)는 플라즈마로 노출될 수 있고, 예컨대 전류 또는 전압의 형태로 로 신호(raw signal)로서 검출될 수 있는 플라즈마로부터의 전하(전자 또는 이온의 형태) 등의 로 플럭스(raw flux)를 수집(collecting)함으로써 신호 콜렉터로서 기능할 수 있다. 대안으로서, 플러그(206)는 강렬한 광자(energetic photon)의 형태로 플라즈마로부터의 방사선(radiation)에 대하여 서브젝팅(subjecting)될 수 있다. 이러한 광자를 검출하기 위해, 플러그(206)는 전하가 광자에 노출되는 전기적 특성을 가진 반도체 물질로 이루어질 수 있다. 플러그(206)는 적합한 센서 전자기기(205)에 연결될 수 있다. 여기에서 플러그(206) 등의 신호 콜렉터와 센서 전자기기(205)의 조합을 때로는 센서로서 나타낸다. 전자기기의 특성에 따라, 센서는 AC 또는 DC 신호를 검출하는데 사용될 수 있다.
적합한 센서 전자기기(205)의 예는 신호 커디셔닝 전자기기(signal conditioning electronics) 및 신호 프로세싱 전자기기(signal processing electronics)를 포함한다. 또한, 전자기기는 플러그(206)에 바이어스 전압을 인가하는데 사용될 수 있는 배터리 등의 파워 소스를 포함할 수 있다. 여기에 사용된 바와 같이, 신호 컨디셔닝은, 이에 한정되지 않지만, 더 적합한 분석을 위해, 로 신호의 증폭, 노이즈 제거(noise rejection), 또는 필터링을 포함한다. 신호 컨디셔닝 콤포넌트의 예는, 이에 한정되지 않지만, 정류기, 오실레이터, 또는 증폭기를 포함한다. 신호 프로세싱은 예컨대 아날로그 또는 디지털 회로를 사용한 신호의 분석을 의미한다. 신호 프로세싱은, 이에 한정되지 않지만, 아날로그-투-디지털 변조, 산술 및/또는 논리 동작, 푸리에 변환이나 다른 수학적 변환, 분광 분석 등을 포함할 수 있다. 신호 프로세싱 콤포넌트의 예는, 이에 한정되지 않지만, 마이크로프로세서 또는 주문형 반도체(ASIC : application-specific integrated circuit)를 포함할 수 있다. 한정이 아닌 예시로서, 전자기기(205)는 프로세싱 전자기기에 연결되고, DC 신호를 생성하는 데이터 취득(DAQ : data acquisition) 전자기기를 포함할 수 있다.
플러그(206)는, 예컨대 플렉스 회로(flex circuit)(208)의 형태로 제2 실리콘층(204) 또는 절연층(212) 내에 내장될 수 있는 회로에 의해 전자기기(205)에 전기적으로 접속될 수 있다. 이러한 경우에, 전자기기(205)를 구성하는 콤포넌트(예컨대, 트랜지스터, 저항, 커패시터, 논리 장치 등)는 폴리이미드 플렉시블 기판으로 패터닝된 전도성(예컨대, 구리) 트레이스(trace)에 의해 접속될 수 있다. 대안으로서, 센서 전자기기(205) 및 관련 회로를 구성하는 전자기기 콤포넌트는, 예컨대 표준 반도체 프로세싱 기술을 사용하여 제2층(204) 또는 절연층(212)의 표면 상에 직접 형성될 수 있다. 전자기기(205)는, 예컨대 플렉스 회로(208)에 연결된 오믹 접촉(214)에 의해 제1층(202)에 전기적으로 접속될 수 있다. 오믹 접촉(214)은 제1층(202)과 제2층(204) 사이에 형성될 수도 있다. 오믹 접촉(214)은, 예컨대 상기한 바와 같이 적합한 금속 코팅(222)에 의해 기판(204 및 206)에 대한 전기적 접속을 제공할 수 있다. 또한, 오믹 접촉(214)은 실리콘층(202 및 204)의 이용 가능한 최대 표면적을 커버하는 접촉을 근본적으로 생성하도록 확대될 수 있다.
멀티플 슬러그(206)는 적절한 센서 전자기기에 연결될 수 있는 멀티플 콜렉터를 제공하는데 사용될 수 있다는 것이 주목된다. 콜렉터와 센서 전자기기는 플라즈마 파라미터를 측정하기 위해 다수의 상이한 방식으로 구성될 수 있다. 예컨대, 적절한 전자기기와 추가적인 회로와 함께, 콜렉터와 대응 센서 전자기기의 쌍은 듀얼 디퍼렌셜 랭뮤어 프로브(dual differential Langmuir probes)로서 동작하도록 구성될 수 있다. 이러한 구성에서, 센서 전자기기는 2개의 콜렉터 사이에 바이어스 전압을 인가할 수 있고, 2개의 콜렉터에 대한 전류를 측정할 수 있다. 바이어스 전압을 변경함으로써 이온 포화 전류 및 전자 온도를 I-V 커브로부터 결정할 수 있다. 또한, 제어 회로의 간략화에 의해 트리플 디퍼렌셜 랭뮤어 프로브(triple differential Langmuir probe) 동작이 가능하게 되거나 시간 분할 구성으로 디퍼렌셜 랭뮤어 프로브가 동작된다.
적절한 회로에 의한 트리플 디퍼렌셜 프로브는 최소 프로세싱에 의한 응답을 제공할 수 있다. 트리플 프로브 구성에서, 세번째 콜렉터 상의 전압은 플라즈마 플로팅 포텐셜(plasma floating potential)(Vfl)에 대하여 플로팅(floating)이 가능하지만, 2개의 콜렉터는 그 사이에서 고정된 전압(V+-V-)에 의해 정(positive) 및 부(negative)로 바이어싱될 수 있다. 바이어스 전압이 전자 온도에 비해 충분히 크면(즉, e(V+-V-)?kBTe, e는 전자 상의 전하이고, kB는 볼츠만 상수임) 부로 바이어싱된 콜렉터는 플로팅 포텐셜(Vfl)이 직접 측정될 수 있는 이온 포화 전류를 인출(draw)할 것으로 예상될 수 있다. 바이어싱된 콜렉터 구성이 플로팅하고 있으면, 정-바이어싱된 콜렉터에 대한 전류는 부-바이어싱된 콜렉터에 의해 인출된(drawed) 이온 포화 전류와 거의 동일한 크기(magnitude)이고, 플로팅 콜렉터는 실질적으로 전류를 인출하지 않을 것으로 예상된다.
이러한 조건 하에서, 전자 온도는 하기한 바와 같이 측정된 전압에 대하여 거의 비례한다.
Figure pct00001
트리플 프로브의 더 정교한 분석은 불완전 포화, 비포화, 언이퀄 에리어(unequal areas) 등의 팩터(factors)를 고려할 수 있다. 또한, 트리플 프로브는, 프로브가 동작될 것으로 예상되는 영역에 따라 대칭적, 비대칭적, 또는 매우 비대칭적이 될 수 있다. 트리플 프로브는, 간단한 바이어싱 전자기기[바이어스 전압의 스위핑(sweeping)이 불필요함], 간단한 데이터 분석, 우수한 시간 분해능(excellent time resolution) 및 전위 변동(potential fluctuation)(RF 소스에 의해 도입되었는지의 여부 또는 내재된 변동)에 대한 둔감함의 장점을 갖는다. 단점은, 모든 경우에 있어서의 구현이 가능하지 않은 3개의 프로브를 필요로 한다는 것이다.
대안으로서, 시간 분할 멀티플렉싱에 의해, 4개의 바이어스 전압 조건이 세팅되고, 듀얼 랭뮤어 프로브에 의해 전자 온도(Te)와 이온 밀도(ni)를 도출하는데 4개의 바이어스 전압 조건이 사용될 수 있다. 이온 포화 전류(Isat)도 마찬가지로 추산(estimate)될 수 있다. 단지 2개의 프로브 에리어를 사용했지만 결과는 트리플 프로브와 매우 유사하다.
도 2b에 도시된 감지 장치(200B)는 실리콘 플러그(206)가 제1층(202)과 마찬가지로 절연층(212) 상에 배치되어 있는 것을 제외하고 도 2a에서 설명한 감지 장치(200A)와 마찬가지이다. 한정이 아닌 예시로서, 플러그는 절연체가 2개층의 실리콘 사이에 배치되는 실리콘-온-인슐레이터(SOI : silicon-on-insulator) 웨이퍼로 형성될 수 있다. 2개의 실리콘층 중 하나를 선택적으로 에칭함으로써, 플러그(206)는 그 층의 나머지 부분으로부터 전기적으로 분리될 수 있다. 플러그는 추가적인 절연 물질(217)에 의해 실리콘층으로부터 더 절연될 수 있다. 제1층(202)과 동일한 초기 물질로 플러그(206)를 형성함으로써, 플러그(206)의 표면은 나머지 제1층(202)의 표면에 의해 거의 완전하게 플러시(flush)를 이룰 수 있다. 또한, 제1층(202)과 플러그(206)는 거의 동일한 물질 특성을 갖도록 보장될 수 있다.
플라즈마 프로세션 환경에서의 동작 중에 전자파 장해(electromagnetic interference)로부터 전자기기(204)를 보호하기 위해 적합한 페러데이 상자를 형성하기 위해, 장치(200A 및 200B)에서, 제1층(202)과 제2층(204)은 충분히 전기적으로 전도성을 갖게[적합한 도핑(doping)에 의해] 생성될 수 있다는 것이 주목된다.
도 2c는 도 2a-2b에 도시된 감지 장치의 등가 회로의 개략적인 전기 다이어그램이다. 도 2a-2b에 도시된 바와 같이, 폴리머층(218)은 플라즈마 챔버에서 일어나는 중합 반응(polymerization reaction)의 결과로서 제1층(202)의 표면 상에 형성될 수 있다. 이러한 중합(polymerization)은 다수의 타입의 플라즈마 프로세싱에서 상당히 흔한 경우이다. 중합층(polymerization layer)(218)은 장치(200A, 200B)에 의해 이루어진 측정에 영향을 줄 수 있다.
일부 실시형태에서, 콜렉터 부분의 표면, 예컨대 플러그(206)는, 콜렉터와 플라즈마 사이의 상호 작용을 수정하기 위해 포토레지스트 및/또는 금속 등의 하나 이상의 물질(219)에 의해 의도적으로 코팅하거나 표면에 대하여 3차원 패턴을 에칭함으로써 수정될 수 있다. 한정이 아닌 예시로서, 물질(219)은, 격자 또는 일련의 줄무늬 등의 패턴으로 형성되거나 증착될 수 있다. 물질(219)은 플러그(206)의 벌크를 이루는 물질과 상이할 수 있다.
특히, 도 2c에 도시된 바와 같이, 폴리머층(218)은 가변 커패시터(C1)로서 기능할 수 있다. 도 2a 및 2c를 동시에 참조하면, 측정 커패시터(C2)는, 커패시터의 하판을 형성하는 오믹 접촉(214)과 제1 및 제2 실리콘층(202 및 204), 전자기기와 상판으로서 실리콘 플러그(206)를 갖는 폴리머층으로 인해 가변 커패시터(C1)와 직렬로 형성될 수 있다. 폴리이미드 층(220)은 측정 커패시터(C2)의 2개의 판 사이에서 절연층으로서 기능할 수 있다. 커패시터(C2)는 파워 소스, 예컨대 배터리(B)에 접속될 수 있다. 스위치(SW)가 측정 커패시터(C2)의 판을 가로질러 추가되면, 기판의 표면 상에 이루어진 전자의 속도는 스위치를 폐쇄하고, 이어서 스위치가 개방될 때 전압 변경을 측정함으로써 측정 커패시터(C2)의 판(plate)을 쇼팅(shorting)함으로써 측정될 수 있다.
도 3은 본 발명의 실시형태에 의한 감지 장치(300)의 상면도이다. 도 3에 도시된 바와 같이, 감지 장치(300)는 도 2a-2b에 개시된 감지 장치(200 및 201)와 마찬가지일 수 있는 몇개의 센서(304)를 가진 기판(302)을 포함한다. 특히, 각 센서(304)는 기판(302)의 표면과 동일 물질로 형성된 콜렉터(306)를 포함할 수 있다. 콜렉터의 표면은 기판(302)의 표면과 함께 플러싱(flushing)될 수 있다. 콜렉터(306)는 기판(302)에 내장될 수 있는 로컬 센서 전자기기(305)에 센서(304)를 통해 연결될 수 있다. 콜렉터(306)는 패터닝된 필름-스택(patterned film-stacks), 미소 가공면 특징(micro-machined surface features) 또는 간단히 알루미늄의 층과 같은 금속막과 같은 특징(features)을 포함할 수 있다. 또한, 감지 장치(300)는, 만약 존재한다면, 센서(304) 및 콜렉터(306)에 각각 연결되는 중앙 프로세싱(centralized processing) 및/또는 통신 전자기기 유닛(308)을 포함할 수 있다. 중앙 전자기기(centralized electronics)(308)는 장치(300) 외부의 센서(304)로부터 원격 수신기(remote receiver)로 데이터를 전송 및 저장하기 위해 중앙 콤포넌트(centralized component)를 제공할 수 있다. 또한, 전자기기는 외부 송신기(external transmitter)로부터 데이터를 수신하고, 하나 이상의 선택된 개별 센서(304)에 이 데이터를 중계하기 위해 중앙 콤포넌트를 제공할 수 있다. 전자기기(300)는, 예컨대 전자 유도(electromagnetic induction) 또는 복사(radiation)에 의해 무선 송신될 수 있는 신호로 데이터를 변환하는 무선 또는 유선 트랜시버 유닛을 포함할 수 있다. 대안으로서, 전자기기(308)는 단일 케이블 또는 파이버 옵틱 링크(fiber optic link) 등의 매체를 통해 신호를 송신할 수 있다.
적합한 센서 전자기기(305)의 예는 신호 커디셔닝 전자기기(signal conditioning electronics) 및 신호 프로세싱 전자기기(signal processing electronics)를 포함한다. 또한, 전자기기는 센서(304) 및 콜렉터(306)에 바이어스 전압을 인가하는데 사용될 수 있는 배터리 등의 파워 소스를 포함할 수 있다. 상기한 바와 같이, 신호 컨디셔닝은, 이에 한정되지 않지만, 더 적합한 분석을 위해, 로 신호의 증폭, 노이즈 제거(noise rejection), 또는 필터링을 포함한다. 신호 컨디셔닝 콤포넌트의 예는, 이에 한정되지 않지만, 정류기, 오실레이터, 또는 증폭기를 포함한다. 신호 프로세싱은 예컨대 아날로그 또는 디지털 회로를 사용한 신호의 분석을 의미한다. 신호 프로세싱은, 이에 한정되지 않지만, 아날로그-투-디지털 변조, 산술 및/또는 논리 동작, 푸리에 변환이나 다른 수학적 변환, 분광 분석 등을 포함할 수 있다. 신호 프로세싱 콤포넌트의 예는, 이에 한정되지 않지만, 마이크로프로세서 또는 주문형 반도체(ASIC : application-specific integrated circuit)를 포함할 수 있다.
한정이 아닌 예시로서, 전자기기(305)는 관련된 센서(304)에 특정한 국부적인 프로세서 유닛(localized processor unit)을 포함할 수 있다. 각 센서(304)에 국부적인 프로세서 유닛을 사용함으로써, 센서(304) 및 콜렉터(306)로부터의 로 신호의 프로세싱의 부담의 일부가 분산(distributed)될 수 있다. 이러한 방식으로, 프로세싱 부담은, 중앙 전자기기(308)에 프로세싱 능력을 추가할 필요 없이 장치(300) 상의 다수의 센서에 의해 조정(scale)이 이루어질 수 있다. 예컨대, 정류, 증폭, 및 A/D 변조에 추가하여, 센서 전자기기(305)는 로 데이터에 대한 소정의 디지털 신호 필터링 기능을 수행하고, 이어서 결과로서 얻어진 필터링된 데이터를 중앙 전자기기로 송신할 수 있다. 이것은 상이한 센서(304)로부터의 필터링된 데이터를 수집하기 위해 중앙 전자기기 상에 프로세싱 자원을 해소(free up)시킨다.
본 발명의 실시형태는, 생산 기판과 동일 물질로 형성될 수 있는 콜렉터를 감지 장치가 포함할 수 있는 다수의 상이한 구성을 포함한다는 것이 주목된다. 이러한 실시형태는 콜렉터 패드가 감지 장치의 연속적인 전체 표면을 포함하는 버전을 포함한다. 한정이 아닌 예시로서, 실리콘 기판의 연속적인 단일 표면은 콜렉터 패드로서 사용될 수 있다. 기판의 표면 상의 상이한 위치 사이의 전압차를 측정함으로써, 형성된 표면 전하에 있어서의 차이를 결정할 수 있다.
도 4a는 본 발명의 다른 실시형태에 의한 플라즈마 감지 장치(400)를 개략적으로 나타낸 사시도이다. 장치(400)에서, 콜렉터는 기판(402)으로 이루어지고, 기판(402)은 프로세스 챔버 내의 플라즈마(401)로 서브젝팅되는 생산 기판에서 사용되는 물질과 동일 또는 유사한 저항성 물질로 이루어진다. 기판(402)은 프로세스 챔버 내에서 프로세싱되는 생산 기판과 실질적으로 동일한 프로파일을 가진 평평한 상면을 가질 수 있다. 전하가 기판(401)의 표면 상에서 균일하지 않게 형성되면, 시트 전류(sheet current)(Is)가 기판(401)의 표면을 가로질러 흐를 수 있다. 도 4a에 도시된 바와 같이, 전압은 기판(401)의 표면 상의 상이한 위치 A와 B 사이에서 측정될 수 있다. 기판 물질이 충분히 큰 시트 저항(sheet resistance)을 가지면, 시트 전류(Is)는 기판 표면을 가로질러 전하 불균형의 측정으로서 사용될 수 있다. 특히, 포인트 A와 B 사이의 전압은 기판 물질(401)의 시트 저항성과 두 포인트 사이에서의 전하 불균형에 따른 전류로부터 결정될 수 있다.
도 4b는 감지 장치(400)의 단면도와 개략적인 등가 전기 회로 다이어그램의 조합이다. 감지 장치(400)는 일반적으로 상부 반도체 기판(402)과 하부 반도체 기판(404)을 포함한다. 일부 실시형태에서, 중간 반도체 기판(416)은 상부 및 하부 기판 사이에 샌드위칭될 수 있다. 이하, 편의를 위해 기판(402, 404, 416)을 때로는 상부, 중간, 및 하부 기판으로 간단히 나타낸다. 예컨대, 상부 기판(402)은 저항성을 갖게 하기 위해 P-로 도핑된 제1 실리콘층(402)이 될 수 있고, 하부 기판(404)은 전도성을 갖게 하기 위해 P+로 도핑된 제2 실리콘층이 될 수 있다. 절연층(428)은 상부 및 하부 기판(402 및 404) 사이에 샌드위칭된다. 도 4b에 도시된 예에서, 절연층은 특히 상부 기판(402)과 중간 기판(416) 사이에 더 샌드위칭된다. 절연층(428)은, 예컨대 25 미크론 이상의 두께로 두껍게 될 수 있다. 절연층(428)을 형성하는 다수의 방식이 존재한다. 예컨대, 실리콘 웨이퍼에 산화물이 주입(implant)될 수 있다. 대안으로서, 실리콘 웨이퍼의 표면 상에 산화물이 성장(grow)될 수 있고, 산화물 상에 다결정 실리콘(폴리실리콘)이 성장 또는 증착될 수 있다. 또한, 2개의 실리콘 웨이퍼 사이에 폴리머층이 주입될 수 있다.
마찬가지로, 절연층(430)은 기판(404 및 416) 사이에 형성될 수 있다. 적합한 전자기기(418)가 하부 기판(404)에 형성된 구멍 내에 배치될 수 있다. 각 전자 유닛(electronics unit)은 하부 기판(404) 상에 형성되거나 하부 기판(404) 내로 인레잉된(inlaid) 패터닝된 플렉시블 회로(patterned flexible circuir)(408) 또는 패터닝된 대응 금속 코팅에 의해 대응 센서 접촉(403)에 전기적으로 연결될 수 있다. 전자기기는 센서 위치(403)에서 전압, 전류, 전하, 커패시터 등의 직류 또는 교류의 전기적 양(electrical quantities)을 측정하도록 구성될 수 있다. 또한, 전자기기(418)는, 다양한 플라즈마 파라미터를 결정하기 위해 DC 레벨 또는 AC 파형에 의해 하나 이상의 센서 접촉(403) 및/또는 기준 접촉(405)을 여자(excite)시킬 수 있고, 다른 센서 접촉 위치(403) 및/또는 기준 접촉(405)에서의 AC 및 DC 파라미터를 동시에 측정할 수 있다. 예컨대, 전자기기(418)는 하나의 센서 접촉(403)에서 여자 전압(excitation voltage)을 인가할 수 있고, 플라즈마 임피던스를 측정하기 위해 하나 이상의 상이한 센서 접촉 및/또는 기준 접촉(405)에서의 신호를 측정할 수 있다. 대안으로서, 여자 전압은 기준 접촉(405)에 인가될 수 있고, 신호는 센서 접촉(403)에서 측정될 수 있다.
도 4b에 도시된 바와 같이, 센서의 콜렉터 부분을 상부 반도체층(402)의 인테그랄 파트(integral part)로서 형성함으로써 센서의 콜렉터 부분이 기판 내에 내장될 수 있다. 예컨대, 센서가 요구되는 상부 반도체층(402)의 하부측 상의 위치에 있어서의 센서 접촉(403) 및 기준 접촉(405)의 영역 내에 백금, 팔라듐, 또는 알루미늄 등의 적합한 전도성 물질을 증착시킴으로써 하나 이상의 센서 접촉이 형성될 수 있다. 전도성 물질이 상부 반도체층(402)으로 확산될(diffused) 수 있고, 이에 따라 오믹 접속(ohmic connection)이 생성된다. 상부 반도체층(402)의 수직 치수가 그 수평 치수보다 작기 때문에, 상부층(402)의 저면에서의 금속 센서 접촉이 가상 센서(420)로서의 상면 상에 미러링되는(mirrored) 효과가 있다. 마찬가지 방식으로, 중앙 기준 접촉(405)의 효과는 가상 센서(422)로서 미러링된다. 상면에서 나타나는 모든 전압은 저면으로 트랜스레이팅되고(translated) 이에 따라 적합한 전자기기(418)에 의해 센싱 및 구동될 수 있다. 중심 위치에서의 기준 접촉(405)은 전자기기 모듈(418) 내에서의 전자적 스위치를 통해 중간 기판(416)에 접속될 수 있다. 기판(416)에 대한 이러한 오믹 접촉은 중간 및 하부 기판(416 및 404)의 DC 기준 포텐셜을 효과적으로 제공할 수 있다. 이 DC 기준은, 예컨대 전자기기(418)에 의해 DC 전압의 측정시에 중요할 수 있지만 AC 전압 측정시에 턴오프될 수 있다.
일부 실시형태에서, 하나 이상의 콜렉터 부분의 표면의 하나 이상의 부분, 예컨대 상부층(402)의 상면의 선택된 부분은, 예컨대 콜렉터와 플라즈마 사이의 상호 작용을 수정하기 위해 포토레지스트 및/또는 금속 등의 물질(419)로 의도적으로 코팅하거나 표면에 대하여 3차원 패턴으로 에칭함으로써 수정될 수 있다. 상기 물질(419)은 격자 또는 일련의 줄무늬 등의 패턴으로 형성되거나 증착될 수 있다. 물질은 상부층(402)의 벌크를 이루는 물질과 상이할 수 있다.
전자기기(418)는,중앙 위치에서의 기준 접촉(405)과 센서 접촉(403) 사이에서의 상부 기판(402)을 통해 흐르는 시트 전류(Ie)를 센싱할 수 있고, 이에 따라 상부층(402)의 표면 상에 형성된 전하 및 셀프-바이어스의 측정을 제공한다. 센서 접촉(403)과 기준 접촉(405) 사이 및/또는 상이한 센서 접촉들(403) 사이에서 흐르는 시트 전류(Ie)와 상부층(402)의 시트 저항으로 인해 전압차를 측정할 수 있다. 도 4c에서, 기판의 시트 저항을 Rsub로 표시된 저항으로 나타낸다. 장치(400) 내의 상이한 위치에서의 이러한 몇몇 센서 접촉 등을 배치하고, 중앙 기준 접촉(405)의 이미지(422)와 센서 접촉(403)의 각 이미지(420) 사이의 시트 전류를 센싱함으로써, 상부 실리콘층(402)의 표면 위에서의 전하 불균형이 실시간으로 측정될 수 있다. 전압 또는 전하 측정은, 플라즈마 챔버로부터 장치(400)를 제거할 필요 없이 외부 수신기로 나중에 전송하기 위해, 디지털 데이터로 변환되고 중앙 전자기기 유닛(도시되지 않음)에 저장될 수 있다.
또한, 중간 및 하부 기판(416 및 404)은 관련 금속 코팅(408)과 전자기기(418)의 실딩(shielding)을 위한 페러데이 상자로서 기능할 수 있다. 예컨대, 중간 기판(416)과 하부 기판(404)은 오믹 접촉(432) 및/또는 상기한 바와 유사한 방식으로 생성된 금속 코팅의 형태가 될 수 있는 접촉(426 및 413)을 통해 서로 오믹 접촉할 수 있다. 또한, 상부 기판(402)은 절연층(428) 및 베이스 기판(base substrate)(416)과 함께 분산된(distributed) 커패시터로서 기능할 수 있다. 이 커패시턴스는 각 센싱 포인트에서 생성되는 RF 전압에 의해 RF 전류를 센싱하기 위한 테스트 부하로서 기능할 수 있다. 이러한 전압의 진폭은 전자기기(418)에 의해 정류될 수 있고, 측정될 수 있다. 개략적으로, 분산된 커패시터의 로컬 파트(local part)는 도 2c에 도시된 커패시터(C2)와 동등하다. 센서 접촉들(403) 사이의 동등한 커패시턴스를 도 4c에서 C 및 C'로 표시한다. 기준 접촉(405)과 플라즈마 사이에서의 동등한 커패시턴스는 도 4c에서 C''로 표시한다. 센서 접촉(403)에서 전자기기(418)에 의해 측정된 신호의 DC 파트는 전하 분산(charge distribution)에 관련된다. 또한, 센서 접촉(403) 및 기준 접촉(405)은 이온 포화 전류, 전자 온도 및 플라즈마 임피던스 등의 파라미터의 측정을 위해 인가된 AC 또는 DC 전압에 의해 여자될(excited) 수 있다.
도 2a 및 2b에 도시된 실시형태와 마찬가지로, 패터닝된 필름 스택에 의해 구성된 콜렉터 영역(424)은, 플라즈마에 대한 기판(402)의 전기적 응답을 수정하기 위해, 기판(402)의 제1 표면 위에 배치될 수 있다.
본 발명의 실시형태는 플라즈마의 전자 특성 및 이온의 측정과 선택적으로 웨이퍼 생산 시스템에서의 표면 차징(surface charging)의 측정을 위한 툴을 제공한다. 웨이퍼 생산 시스템에서의 이러한 양들의 측정을 위한 능력은 플라즈마 프로세싱 표면 파라미터에 대한 추가적인 이해를 제공할 수 있다. 또한, 표면 관련 기하학(surface related topology)은, 센싱 위치의 행동(behavior)을 근본적으로 변경할 수 있고, 이러한 현상은 더 넓은 다이나믹 레인지와 더 높은 감도에 의해 폴리머 재증착(re-deposition), 이온 각도, 및 전하 손상 등의 파라미터를 측정하는데 장점을 가질 수 있다. 본 발명의 실시형태는 플라즈마 챔버 퍼포먼스에 영향을 주는 키 엘리먼트를 더 용이하게 식별하고, 최적의 퍼포먼스를 위해 적절한 파라미터를 나중에 조정하도록 도울 수 있다.
상기 설명은 본 발명의 바람직한 실시형태의 완전한 설명이지만, 다양한 수정, 정정, 및 등가물의 사용이 가능하다. 따라서, 본 발명의 범위는 상기 설명에 대한 참조에 의해 결정될 수 없고, 대신 동등한 것의 전체 범위를 따라 청구범위를 참조하여 결정되어야 한다. 바람직한지와 상관 없이 모든 특징은 바람직한지와 상관 없는 다른 모든 특징과 조합될 수 있다. 청구범위에 있어서, 부정관사 "A" 또는 "An"은 반대로 언급하는 경우를 제외하고, 관사(article)에 이어지는 하나 이상의 아이템의 양(quantity)을 나타낸다. 한정이 "의미한다"는 문장을 사용하는 소정 청구항에서 명확하게 언급되지 않으면, 청구범위는 기능식 한정(means-plus-function limitations)을 포함하는 것으로 번역되지 않는다.

Claims (34)

  1. 제품(workpieces)의 프로세싱을 위한 플라즈마 챔버에서 플라즈마 프로세스 파라미터를 측정하는 감지 장치로서,
    상기 플라즈마 챔버 내의 플라즈마에 의해 프로세싱되는 상기 제품과 실질적으로 동일한 물질로 이루어진 기판;
    각각 콜렉터 부분을 포함하며 상기 기판에 내장된 하나 이상의 센서로서, 상기 콜렉터 부분은 상기 기판과 실질적으로 동일한 물질로 이루어지고 상기 기판의 상면과 동일 평면 상에 있는 표면을 포함하는, 하나 이상의 센서; 및
    상기 기판에 내장되고, 상기 콜렉터 부분에 연결된, 센서 전자기기;
    를 포함하는, 감지 장치.
  2. 제1항에 있어서,
    상기 콜렉터 부분과 상기 기판 사이의 직류(DC) 접속을 더 포함하는, 감지 장치.
  3. 제1항에 있어서,
    상기 기판 내에 구멍(cavity)이 형성되고, 상기 콜렉터 부분은 상기 구멍 내에 배치되고, 상기 기판으로부터 전기적으로 절연되어 있는, 감지 장치.
  4. 제1항에 있어서,
    상기 기판은 제1층과 제2층을 포함하고, 상기 센서 전자기기는 제1층과 제2층 사이에 샌드위칭(sandwiching)되어 있는, 감지 장치.
  5. 제4항에 있어서,
    상기 제1 및 제2층은 전도성 또는 반도체 물질로 이루어지고, 상기 센서 전자기기는 상기 제1 및 제2층으로부터 전기적으로 절연되어 있는, 감지 장치.
  6. 제4항에 있어서,
    상기 기판 표면과 상기 콜렉터 부분은 제1층으로 형성되고, 상기 콜렉터 부분은 상기 제1층의 나머지 부분으로부터 전기적으로 절연되어 있는, 감지 장치.
  7. 제1항에 있어서,
    상기 기판은 100㎜와 450㎜ 사이의 직경을 가진 실리콘 웨이퍼를 포함하는 하나 이상의 층으로 이루어지고;
    0.3㎜ 내지 10㎜의 두께를 가진, 감지 장치
  8. 제1항에 있어서,
    상기 기판은 반도체 웨이퍼, 리소그라피 마스크 기판(lithography mask substrate), 또는 플랫 패널 디스플레이 기판(flat panel display substrate)와 실질적으로 동일한 물질로 이루어지는, 감지 장치.
  9. 제8항에 있어서,
    상기 기판의 표면은 포토레지스트 상층(photoresist top layer)을 포함하는, 감지 장치.
  10. 제1항에 있어서,
    상기 콜렉터 부분은 상기 기판의 상면인, 감지 장치.
  11. 제10항에 있어서,
    상기 기판은 상기 콜렉터 부분을 형성하는 저항성 층과 전기적 전도성 층 사이에 샌드위칭된 전기적 절연층을 포함하는, 감지 장치.
  12. 제11항에 있어서,
    상기 저항성 층의 하면(underside)에 형성된 하나 이상의 전기적 전도성 센서 접촉을 더 포함하는, 감지 장치.
  13. 제12항에 있어서,
    상기 전자기기는 상기 하나 이상의 전기적 전도성 센서 접촉에 전기적으로 연결된 DC 센서 전자기기를 포함하는, 감지 장치.
  14. 제12항에 있어서,
    상기 전자기기는 상기 하나 이상의 전기적 전도성 센서 접촉에 전기적으로 연결된 AC 센서 전자기기를 포함하는, 감지 장치.
  15. 제12항에 있어서,
    상기 전자기기는 상기 전도성 층과 다른 전도성 층 사이에 샌드위칭되고, 상기 하나 이상의 전기적 전도성 센서 접촉에 전기적으로 연결된, 감지 장치.
  16. 제1항에 있어서,
    상기 기판의 상면은 평면이고, 표준 프로세스 기판(standard process substrate)과 실질적으로 동일한 프로파일(profile)을 갖는, 감지 장치.
  17. 제1항에 있어서,
    상기 하나 이상의 센서는 콜렉터 부분의 하나 이상의 쌍을 포함하고, 상기 콜렉터 부분과 센서 전자기기는 듀얼 또는 트리플 디퍼렌셜 랭뮤어 프로브(dual or triple differential Langmuir probes)로서 동작하도록 구성되는, 감지 장치.
  18. 제1항에 있어서,
    상기 전자기기는 신호 컨디셔닝 전자기기(signal conditioning electronics)와 신호 프로세싱 전자기기(signal processing electronics)를 포함하는, 감지 장치.
  19. 제18항에 있어서,
    폴리이미드 플렉시블 기판(polyimide flexible substrate) 상에 회로가 형성되는, 감지 장치.
  20. 제18항에 있어서,
    상기 기판 또는 상기 기판의 층에 직접 회로가 형성되는, 감지 장치.
  21. 제1항에 있어서,
    각 센서를 위한 상기 전자기기는 로컬 디지털 프로세서(local digital processor)를 포함하는, 감지 장치.
  22. 제21항에 있어서,
    각 로컬 디지털 프로세서에 연결된 중앙 통신 유닛(centralized communication unit) 또는 프로세서를 더 포함하는, 감지 장치.
  23. 제1항에 있어서,
    상기 콜렉터 부분의 표면 상의 물질을 더 포함하고, 상기 물질은 상기 콜렉터 부분과 상기 플라즈마 사이의 상호 작용을 변경(alter)하는, 감지 장치.
  24. 제품을 프로세싱하기 위한 플라즈마 챔버 내의 플라즈마 프로세스 파라미터의 측정 방법으로서,
    플라즈마 챔버 내의 플라즈마에 의해 프로세싱되는 제품과 실질적으로 동일한 물질로 이루어진 기판의 표면을 상기 플라즈마 챔버 내의 플라즈마로 노출시키는 스텝; 및
    상기 플라즈마로부터 상기 기판에 내장된 하나 이상의 센서로의 결과로서 얻어진 하나 이상의 신호를 측정하는 스텝;
    을 포함하고,
    상기 각 센서는 상기 기판 표면과 실질적으로 동일한 물질로 이루어진 센서 전자기기와 콜렉터 부분을 포함하고,
    상기 콜렉터 부분은 상기 기판의 상면과 동일 평면 상의 표면을 포함하고,
    상기 센서 전자기기는 상기 기판에 내장되고, 상기 콜렉터 부분에 연결되는, 측정 방법.
  25. 제24항에 있어서,
    하나 이상의 측정(measurement)은 변위 전류(displacement current)를 포함하는, 측정 방법.
  26. 제25항에 있어서,
    상기 하나 이상의 측정은 DC 전류 또는 전압을 포함하는, 측정 방법.
  27. 제24항에 있어서,
    하나 이상의 측정은 하나 이상의 랭뮤어 프로브 측정(Langmuir probe measurement)을 포함하는, 측정 방법.
  28. 제27항에 있어서,
    상기 하나 이상의 랭뮤어 프로브 측정은 하나 이상의 듀얼 또는 트리플 디퍼렌셜 랭뮤어 프로브 측정을 포함하는, 측정 방법.
  29. 제28항에 있어서,
    상기 하나 이상의 랭뮤어 프로브 측정은 복수의 시간 분할 다중 디퍼렌셜 랭뮤어 프로브 측정(time division multiplexed differential Langmuir probe maasurement)을 포함하는, 측정 방법.
  30. 제24항에 있어서,
    상기 하나 이상의 신호를 측정하는 스텝은 소정 콜렉터 부분에 여자(excitation)를 인가(applying)하는 스텝과 하나 이상의 상이한 콜렉터 부분에서 신호를 측정하는 스텝을 포함하는, 측정 방법.
  31. 제24항에 있어서,
    상기 콜렉터 부분은 상기 플라즈마로 노출되는 상기 기판의 전체 표면을 포함하는, 측정 방법.
  32. 제31항에 있어서,
    상기 기판은 상기 콜렉터 부분을 형성하는 저항성 층을 포함하고, DC 신호를 측정하는 스텝은 상기 저항성 층 상의 2개의 위치 사이에서의 전압차를 측정하는 스텝을 포함하는, 측정 방법.
  33. 제31항에 있어서,
    상기 하나 이상의 신호를 측정하는 스텝은,
    제1 위치에서 상기 플라즈마로 노출되지 않는 상기 저항성 층의 측면에 연결되는 접촉에 대하여 여자(excitation)를 인가하는 스텝; 및
    하나 이상의 다른 위치에서 상기 플라즈마로 노출되지 않는 상기 저항성 층의 측면에 연결되는 하나 이상의 다른 접촉에서 신호를 측정하는 스텝;
    을 포함하는, 측정 방법.
  34. 제24항에 있어서,
    상기 콜렉터 부분의 표면 상에 배치된 물질은 상기 콜렉터 부분과 상기 플라즈마 사이에서의 상호 작용을 변경하는, 측정 방법.
KR1020127018009A 2010-01-21 2011-01-11 플라즈마 챔버용 프로세스 조건 감지 장치 KR101764940B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/691,695 2010-01-21
US12/691,695 US8889021B2 (en) 2010-01-21 2010-01-21 Process condition sensing device and method for plasma chamber
PCT/US2011/020872 WO2011090850A2 (en) 2010-01-21 2011-01-11 Process condition sensing device for plasma chamber

Publications (2)

Publication Number Publication Date
KR20120118006A true KR20120118006A (ko) 2012-10-25
KR101764940B1 KR101764940B1 (ko) 2017-08-03

Family

ID=44276795

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127018009A KR101764940B1 (ko) 2010-01-21 2011-01-11 플라즈마 챔버용 프로세스 조건 감지 장치

Country Status (7)

Country Link
US (3) US8889021B2 (ko)
EP (1) EP2526743B1 (ko)
JP (2) JP2013518370A (ko)
KR (1) KR101764940B1 (ko)
CN (1) CN102771194B (ko)
TW (1) TWI612852B (ko)
WO (1) WO2011090850A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101999622B1 (ko) * 2018-03-30 2019-07-12 광운대학교 산학협력단 플라즈마 진단 시스템 및 방법
WO2019221413A1 (ko) * 2018-05-15 2019-11-21 (주)에스엔텍 플라즈마 측정용 웨이퍼
WO2021256891A1 (ko) * 2020-06-18 2021-12-23 주식회사 플라즈맵 임플란트 보관 용기의 제조방법 및 임플란트 보관 용기의 플라즈마 처리 방법
WO2022097760A1 (ko) * 2020-11-03 2022-05-12 광운대학교 산학협력단 플라즈마 진단 시스템 및 방법

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8889021B2 (en) 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
US9304160B1 (en) 2012-05-08 2016-04-05 Kla-Tencor Corporation Defect inspection apparatus, system, and method
US9530617B2 (en) * 2013-01-30 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ charging neutralization
WO2014194077A2 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Method and system for measuring heat flux
US9420639B2 (en) 2013-11-11 2016-08-16 Applied Materials, Inc. Smart device fabrication via precision patterning
US9620400B2 (en) 2013-12-21 2017-04-11 Kla-Tencor Corporation Position sensitive substrate device
US10969370B2 (en) * 2015-06-05 2021-04-06 Semilab Semiconductor Physics Laboratory Co., Ltd. Measuring semiconductor doping using constant surface potential corona charging
NL2017837A (en) 2015-11-25 2017-06-02 Asml Netherlands Bv A Measurement Substrate and a Measurement Method
JP6630142B2 (ja) * 2015-12-18 2020-01-15 株式会社ディスコ 静電気検出装置
US20170221783A1 (en) * 2016-01-28 2017-08-03 Leonard TEDESCHI Self-aware production wafers
US10818561B2 (en) * 2016-01-28 2020-10-27 Applied Materials, Inc. Process monitor device having a plurality of sensors arranged in concentric circles
CN109314036B (zh) * 2016-05-10 2021-03-23 谱光仪器股份有限公司 侦测带电粒子装置及体现所述装置于质谱法的设备
US10460966B2 (en) * 2016-06-15 2019-10-29 Kla-Tencor Corporation Encapsulated instrumented substrate apparatus for acquiring measurement parameters in high temperature process applications
US10083883B2 (en) * 2016-06-20 2018-09-25 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
KR102111206B1 (ko) * 2017-09-05 2020-05-14 도쿄엘렉트론가부시키가이샤 플라즈마 프로브 장치 및 플라즈마 처리 장치
US20190242838A1 (en) * 2018-02-07 2019-08-08 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Non-Invasive Method for Probing Plasma Impedance
EP3562285A1 (de) * 2018-04-25 2019-10-30 Siemens Aktiengesellschaft Verbinden elektrischer bauelemente
KR102026733B1 (ko) * 2018-05-11 2019-09-30 엘지전자 주식회사 플라즈마 공정 측정 센서 및 그 제조 방법
KR102616493B1 (ko) * 2018-06-05 2023-12-21 엘지전자 주식회사 플라즈마 측정 방법 및 플라즈마 공정 측정 센서
US10916411B2 (en) 2018-08-13 2021-02-09 Tokyo Electron Limited Sensor-to-sensor matching methods for chamber matching
US10794681B2 (en) 2018-09-04 2020-10-06 Applied Materials, Inc. Long range capacitive gap measurement in a wafer form sensor system
US11521872B2 (en) 2018-09-04 2022-12-06 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
US11404296B2 (en) * 2018-09-04 2022-08-02 Applied Materials, Inc. Method and apparatus for measuring placement of a substrate on a heater pedestal
US10847393B2 (en) 2018-09-04 2020-11-24 Applied Materials, Inc. Method and apparatus for measuring process kit centering
US11342210B2 (en) 2018-09-04 2022-05-24 Applied Materials, Inc. Method and apparatus for measuring wafer movement and placement using vibration data
US11373890B2 (en) * 2018-12-17 2022-06-28 Applied Materials, Inc. Wireless in-situ real-time measurement of electrostatic chucking force in semiconductor wafer processing
KR102671935B1 (ko) 2019-04-19 2024-06-05 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버, 다중 스테이션 처리 챔버를 위한 최상부 플레이트, 및 처리 챔버 내의 기판을 측정하기 위한 방법
US11114279B2 (en) 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
KR102200662B1 (ko) * 2019-10-23 2021-01-12 충남대학교 산학협력단 비침습형 플라즈마 공정 진단 방법 및 장치
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US20210217587A1 (en) * 2020-01-10 2021-07-15 COMET Technologies USA, Inc. Plasma non-uniformity detection
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
WO2021149842A1 (ko) * 2020-01-20 2021-07-29 (주)제이디 정전용량 방식의 상태 측정 장치
US11961711B2 (en) 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11668601B2 (en) 2020-02-24 2023-06-06 Kla Corporation Instrumented substrate apparatus
EP3968353A1 (en) * 2020-09-10 2022-03-16 Impedans Ltd Apparatus for ion energy analysis of plasma processes
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
EP4177928B1 (en) * 2021-11-09 2024-01-03 Impedans Ltd Two stage ion current measuring method in a device for analysis of plasma processes
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor
EP4376050A1 (en) 2022-11-25 2024-05-29 Impedans Ltd Shielded apparatus for ion energy analysis of plasma processes

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3122175B2 (ja) 1991-08-05 2001-01-09 忠弘 大見 プラズマ処理装置
US5801386A (en) * 1995-12-11 1998-09-01 Applied Materials, Inc. Apparatus for measuring plasma characteristics within a semiconductor wafer processing system and a method of fabricating and using same
GB9620151D0 (en) * 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
US6326794B1 (en) * 1999-01-14 2001-12-04 International Business Machines Corporation Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement
US6159864A (en) * 1999-02-24 2000-12-12 United Microelectronics Corp. Method of preventing damages of gate oxides of a semiconductor wafer in a plasma-related process
US6827584B2 (en) 1999-12-28 2004-12-07 Formfactor, Inc. Interconnect for microelectronic structures with enhanced spring characteristics
JP3698991B2 (ja) * 2000-02-16 2005-09-21 株式会社日立製作所 プラズマ電位差、電流測定装置及びそれを用いた試料の処理方法
DE10032579B4 (de) * 2000-07-05 2020-07-02 Robert Bosch Gmbh Verfahren zur Herstellung eines Halbleiterbauelements sowie ein nach dem Verfahren hergestelltes Halbleiterbauelement
US6691068B1 (en) 2000-08-22 2004-02-10 Onwafer Technologies, Inc. Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
US7960670B2 (en) 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
JP4175456B2 (ja) * 2002-03-26 2008-11-05 株式会社 東北テクノアーチ オンウエハ・モニタリング・システム
US20030197175A1 (en) * 2002-04-17 2003-10-23 Chong-Jen Huang Test structure for evaluating antenna effects
CN1157103C (zh) 2002-04-19 2004-07-07 大连理工大学 用于等离子体诊断的复合探针
JP3773189B2 (ja) 2002-04-24 2006-05-10 独立行政法人科学技術振興機構 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6830650B2 (en) * 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6807503B2 (en) * 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7151366B2 (en) * 2002-12-03 2006-12-19 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US7135852B2 (en) * 2002-12-03 2006-11-14 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US6939726B2 (en) * 2003-08-04 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Via array monitor and method of monitoring induced electrical charging
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US20060043063A1 (en) 2004-09-02 2006-03-02 Mahoney Leonard J Electrically floating diagnostic plasma probe with ion property sensors
KR100663277B1 (ko) 2004-12-20 2007-01-02 삼성전자주식회사 휴대단말기의 시스템 관련 이벤트 처리 장치 및 방법
US20060171848A1 (en) * 2005-01-31 2006-08-03 Advanced Energy Industries, Inc. Diagnostic plasma sensors for endpoint and end-of-life detection
US7555948B2 (en) * 2006-05-01 2009-07-07 Lynn Karl Wiese Process condition measuring device with shielding
US7449414B2 (en) * 2006-08-07 2008-11-11 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
JP4838197B2 (ja) 2007-06-05 2011-12-14 東京エレクトロン株式会社 プラズマ処理装置,電極温度調整装置,電極温度調整方法
JP5407019B2 (ja) * 2007-08-31 2014-02-05 ラピスセミコンダクタ株式会社 プラズマモニタリング方法
JP2009252799A (ja) 2008-04-01 2009-10-29 Nitto Denko Corp 半導体装置の製造方法
KR101588482B1 (ko) * 2008-07-07 2016-01-25 램 리써치 코포레이션 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치
US8889021B2 (en) 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101999622B1 (ko) * 2018-03-30 2019-07-12 광운대학교 산학협력단 플라즈마 진단 시스템 및 방법
WO2019221413A1 (ko) * 2018-05-15 2019-11-21 (주)에스엔텍 플라즈마 측정용 웨이퍼
WO2021256891A1 (ko) * 2020-06-18 2021-12-23 주식회사 플라즈맵 임플란트 보관 용기의 제조방법 및 임플란트 보관 용기의 플라즈마 처리 방법
WO2022097760A1 (ko) * 2020-11-03 2022-05-12 광운대학교 산학협력단 플라즈마 진단 시스템 및 방법

Also Published As

Publication number Publication date
US8889021B2 (en) 2014-11-18
US10777393B2 (en) 2020-09-15
US20180114681A1 (en) 2018-04-26
JP2013518370A (ja) 2013-05-20
CN102771194B (zh) 2015-12-02
JP2017069212A (ja) 2017-04-06
US20150020972A1 (en) 2015-01-22
TW201143541A (en) 2011-12-01
EP2526743A4 (en) 2015-05-06
KR101764940B1 (ko) 2017-08-03
JP6316898B2 (ja) 2018-04-25
CN102771194A (zh) 2012-11-07
EP2526743A2 (en) 2012-11-28
EP2526743B1 (en) 2016-12-07
WO2011090850A3 (en) 2011-11-17
US20110174777A1 (en) 2011-07-21
TWI612852B (zh) 2018-01-21
WO2011090850A2 (en) 2011-07-28

Similar Documents

Publication Publication Date Title
US10777393B2 (en) Process condition sensing device and method for plasma chamber
JP5166270B2 (ja) プラズマ加工の電気パラメータを測定するセンサ装置
JP5922044B2 (ja) シールドを備えるプロセス条件測定素子
US6830650B2 (en) Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6820028B2 (en) Method and apparatus for monitoring integrated circuit fabrication
US20050011611A1 (en) Wafer probe for measuring plasma and surface characteristics in plasma processing environments
JP3868427B2 (ja) プラズマプロセスのリアルタイムモニタ装置
US7482576B2 (en) Apparatuses for and methods of monitoring optical radiation parameters for substrate processing operations
JPS62256438A (ja) エツチング終点制御パタ−ン
KR20020026797A (ko) Cmp 가공 압력 분포 측정 장치, 압력 측정 시트 및 그제조 방법, cmp 가공 압력 분포 측정 방법, 및 온도분포 측정 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right