KR20110134472A - 플라즈마 처리장치 - Google Patents

플라즈마 처리장치 Download PDF

Info

Publication number
KR20110134472A
KR20110134472A KR1020117023908A KR20117023908A KR20110134472A KR 20110134472 A KR20110134472 A KR 20110134472A KR 1020117023908 A KR1020117023908 A KR 1020117023908A KR 20117023908 A KR20117023908 A KR 20117023908A KR 20110134472 A KR20110134472 A KR 20110134472A
Authority
KR
South Korea
Prior art keywords
high frequency
antenna
plasma
frequency antenna
cavity
Prior art date
Application number
KR1020117023908A
Other languages
English (en)
Other versions
KR101743306B1 (ko
Inventor
유이치 세츠하라
에이이치 니시무라
아키노리 에베
Original Assignee
가부시키가이샤 이엠디
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 이엠디, 도쿄엘렉트론가부시키가이샤 filed Critical 가부시키가이샤 이엠디
Publication of KR20110134472A publication Critical patent/KR20110134472A/ko
Application granted granted Critical
Publication of KR101743306B1 publication Critical patent/KR101743306B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은, 진공용기 속에 강한 고주파 유도전계를 형성하고, 또한, 플라마의 밀도분포를 보다 균일하게 할 수 있음과 함께, 파티클의 발생이나 고주파안테나의 도체의 스퍼터링에 의한 베이스체의 오염을 방지할 수 있는 플라즈마 처리장치를 제공한다.
본 발명에 관한 플라즈마 처리장치(10)는, 고주파방전에 의한 유도결합 방식의 플라즈마 처리장치에 있어서, 진공용기(11)와, 상기 진공용기(11)의 벽의 내면(111B)과 외면(111A) 사이에 설치된 안테나배치부(12)와, 상기 안테나배치부(12)에 배치된 주회하지 않고 종단하는 1개의 고주파안테나와, 상기 안테나배치부(12)와 상기 진공용기의 내부(112)를 구분하는 유전체 제품의 파티션재(15)를 구비하며, 상기 고주파안테나(13)의 길이가, 상기 고주파의 1/4 파장의 길이보다도 짧은 것을 특징으로 하고 있다.

Description

플라즈마 처리장치{Plasma processing apparatus}
본 발명은, 베이스체(基體) 표면처리 등에 이용할 수 있는 유도결합형 플라즈마 처리장치에 관한 것이다.
베이스체 표면에 대한 박막(薄膜)형성이나 에칭처리를 행하기 위하여, 유도결합형 플라즈마 처리장치가 이용되고 있다. 유도결합형 플라즈마 처리장치에서는, 진공용기 속에 수소 등의 플라즈마 생성가스를 도입한 다음에 고주파 유도전계를 생성함으로써 플라즈마 생성가스를 분해하여, 플라즈마를 생성한다. 그리고, 플라즈마 생성가스와는 별도로, 진공용기 속에 제막(製膜) 원료가스 혹은 에칭가스를 도입하여, 플라즈마에 의하여 제막 원료가스의 분자를 분해하여 베이스체 상에 퇴적시키거나, 혹은 에칭가스의 분자를 분해하여 에칭에 이용할 이온이나 래디컬을 생성한다.
특허문헌 1에는, 고주파 유도전계를 생성하기 위한 고주파안테나를 진공용기의 천장 상에 재치(載置)하고, 상기 천장 중 고주파안테나의 바로 아래 부분을, 고주파 유도전계를 통과시키기 위한 유전체 제품(製)의 창으로 한 외부안테나 방식의 플라즈마 처리장치가 기재되어 있다. 외부안테나 방식에서는, 최근의 피(被)처리 베이스체의 대형화에 대응하여 플라즈마 처리장치를 대형화를 도모하면, 유전체 제품의 창은 기계적 강도를 유지하기 위하여 두껍게 할 필요가 생기기 때문에, 진공용기 속에 도입되는 고주파 유도전계의 강도가 작아져 버린다. 그래서, 고주파안테나를 진공용기의 내부에 설치한 내부안테나 방식의 것이 이용되고 있다(특허문헌 2∼4).
또한, 특허문헌 3 및 4에 기재된 발명에서는, U자형이나 반원형 등과 같이, 선 형상의 도체(導體)가 주회(周回)하지 않고 종단(終端)하는 고주파안테나(권수(卷數)가 1 미만인 유도결합 안테나에 상당)를 이용하여 있다. 이와 같은 고주파안테나에 의하면, 권수가 1 이상인 유도결합 안테나보다도 인덕턴스가 낮기 때문에, 고주파안테나의 양단(兩端)에 발생하는 고주파전압이 저감되어, 생성하는 플라즈마로의 정전(靜電)결합에 수반하는 플라즈마 전위의 고주파 요동이 억제된다. 이로 인하여, 대지전위(對地電位, ground potential)로의 플라즈마 전위 요동에 수반하는 과잉된 전자손실이 저감되어, 플라즈마 전위가 저감된다. 이로써, 기판 상에서의 저(低)이온 데미지의 박막형성 프로세스가 가능하게 된다.
또한, 특허문헌 4에 기재된 발명에서는, 안테나 도체의 길이를 고주파의 1/4 파장의 길이보다도 짧아지도록 하고 있다. 이로써, 안테나 도체에 있어서 정재파(定在波)가 발생하는 것을 억제하여, 생성되는 플라즈마의 균일성을 높일 수 있다.
일본국 특허공개 평08-227878호 공보([0010], 도 5) 일본국 특허공개 평11-317299호 공보([0044]-[0046], 도 1-2) 일본국 특허공개 2001-035697호 공보([0050]-[0051], 도 11) 일본국 특허공개 2004-039719호 공보([0019]-[0021], 도 3)
세츠하라 유이치, 에베 아키노리, 「미터 사이즈의 대(大)면적 프로세스를 향한 플라즈마 기술」, 표면기술, 표면기술협회, 2005년 5월, 제56권, 제5호, pp. 18-25
내부안테나 방식에서는, 고주파안테나의 도체와 플라즈마 사이에 생기는 직류의 셀프바이어스 전압에 의하여, 플라즈마 속의 이온이 고주파안테나를 향하여 가속화된다. 그로 인하여, 안테나 도체가 노출되어 있으면, 고주파안테나 도체 자신이 스퍼터되어, 그 수명이 짧아질 뿐 아니라, 스퍼터된 도체의 원자나 이온이 플라즈마 속에 혼입되고, 피처리 베이스체의 표면이나 진공용기의 내벽에 부착되어, 박막이나 피(被)에칭 베이스체에 불순물로서 혼입된다는 문제가 생긴다. 그로 인하여, 특허문헌 2에 기재된 발명에서는, 고주파안테나의 도체인 동(銅)이나 알루미늄 등보다도 스퍼터되기 어려운 세라믹스나 석영 등으로 이루어지는 유전체(절연체)의 파이프로 고주파안테나를 덮고 있다. 여기서 파이프를 이용하는 것은, 안테나 도체의 온도상승을 방지하는 것을 목적으로 하여 냉각수를 흐르게 하기 위함이다. 그러나, 이와 같은 구성에서는, 안테나 도체 및 유전체 파이프의 단부(端部)에, 고주파전력을 투입하기 위한 전기적인 접속부와 냉각수의 급배수(給排水)를 위한 접속부의 쌍방을 설치할 필요가 있기 때문에, 구조가 복잡하게 되어, 안테나의 탈착이나 보수 점검에 지장을 초래한다.
또한, 내부안테나 방식에서는, 진공용기의 내부공간에 고주파안테나가 돌출되어 있기 때문에, 고주파안테나의 바로 근처에 플라즈마가 생성된다. 이로써, 플라즈마의 밀도가 고주파안테나의 바로 근처에 있어서 특히 높아져서, 밀도분포의 균일성이 저하한다. 그와 함께, 고주파안테나(또는 그 주위의 유전체 파이프)의 표면에 제막(製膜)시에 있어서의 박막재료나 에칭시의 부(副)생성물이 부착되어 버린다는 문제도 생긴다. 이와 같은 부착물은, 베이스체의 표면에 낙하하여 파티클이 발생하는 원인이 된다.
또한, 내부안테나 방식에서는, 진공용기 속에 고주파안테나를 배치하는 스페이스를 확보하기 위하여, 외부안테나 방식보다도 진공용기의 용적을 크게 할 필요가 생긴다. 그로 인하여, 가스나 플라즈마가 확산하여, 기판에 도달하는 이온이나 래디컬이 감소하기 때문에, 제막속도 혹은 에칭속도가 저하된다.
본 발명이 해결하고자 하는 과제는, 진공용기 속에 강한 고주파 유도전계를 형성하고, 또한, 플라즈마의 밀도분포를 보다 균일하게 할 수 있음과 함께, 파티클의 발생이나 고주파안테나의 도체의 스퍼터링에 의한 베이스체의 오염을 방지할 수 있는 플라즈마 처리장치를 제공하는 것이다.
상기 과제를 해결하기 위하여 이루어진 본 발명에 관한 플라즈마 처리장치는, 고주파방전에 의한 유도결합 방식의 플라즈마 장치에 있어서,
a) 진공용기와,
b) 상기 진공용기의 벽의 내면과 외면 사이에 설치된 안테나배치부와,
c) 상기 안테나배치부에 배치된 주회하지 않고 종단하는 1개의 고주파안테나와,
d) 상기 안테나배치부와 상기 진공용기의 내부를 구분하는 유전체 제품의 파티션재(材)
를 구비하고, 상기 고주파안테나의 길이가, 상기 고주파의 1/4 파장의 길이보다도 짧은 것을 특징으로 한다.
본 발명에 관한 플라즈마 처리장치에서는, 진공용기의 벽의 내면과 외면 사이에 안테나배치부를 설치하고 있다. 이와 같은 안테나배치부 내에 배치된 고주파안테나는, 내부안테나 방식과 거의 다름없는 강한 고주파 유도전계를 진공용기의 내부에 생성할 수 있다.
한편, 종래의 내부안테나 방식과는 달리, 고주파안테나와 진공용기 속이 유전체 제품의 파티션재에 의하여 구분되기 때문에, 파티클이 발생하거나, 고주파안테나가 스퍼터되는 것을 방지할 수 있다. 또한, 고주파안테나의 온도가 상승하는 것을 억제할 수 있다.
또한, 진공용기 속에 고주파안테나를 배치하는 스페이스를 필요로 하지 않기 때문에, 내부안테나 방식의 경우보다도 진공용기의 용적을 작게 할 수 있다. 그로 인하여, 가스나 플라즈마의 확산이 억제되어, 기판에 도달하는 이온이나 래디컬이 증가하기 때문에, 제막속도 혹은 에칭속도가 향상한다.
또한, 이와 같이 플라즈마를 생성하는 영역과 고주파안테나를 분리함으로써, 고주파안테나의 바로 근처에서 플라즈마의 밀도가 특히 높아진다는 것이 없어지기 때문에, 내부안테나 방식과 같이 밀도분포의 균일성이 저하되는 것을 방지할 수 있다. 또한, 안테나의 길이가 고주파의 1/4 파장보다도 짧기 때문에 정재파가 생기지 않는다는 점에서도, 밀도분포의 균일성의 저하를 방지할 수 있다.
파티션재에는 진공용기의 벽과는 다른 유전체 제품의 부재를 이용할 수 있다. 또한, 진공용기의 벽이 유전체 제품인 경우에는 그 벽의 일부를 파티션재로서 이용할 수도 있다.
상기 고주파안테나는 진공용기의 벽 속에 매립할 수도 있지만, 상기 내면과 상기 외면 사이에 설치된 공동(空洞) 속에 배치하는 것이 보다 용이하다. 전자의 경우는 진공용기의 벽 중 고주파안테나를 매립한 부분이 안테나배치부에 해당하고, 후자의 경우는 공동이 안테나배치부에 해당한다.
상기 공동으로는 밀폐된 것을 이용할 수 있다. 이로써, 공동 속으로의 이물의 침입을 방지할 수 있다. 또한, 밀폐된 공동 속이 진공 또는 불활성가스로 채워져 있으면, 공동 속에 있어서 불필요한 방전이 생기는 것을 방지할 수 있다.
상기 공동 속은 고체의 유전체로 채워져 있어도 좋다. 이로써, 공동 속에 있어서 불필요한 방전이 생기는 것을 방지할 수 있다. 이 경우, 공동 속을 밀폐할 필요는 없다. 또한, 공동을 이용하는 대신에, 상기 벽 중 적어도 일부가 고체의 유전체로 이루어지고, 상기 고주파안테나가 이 유전체 속에 매립되어 있다는 구성을 채택할 수도 있다.
상기 공동의 상기 외면측에는 덮개가 설치되어 있어도 좋다. 이와 같은 덮개를 이용하면, 보수 점검 등의 시에 덮개를 엶으로써, 진공용기의 벽의 외면측과 공동 속 사이에서 고주파안테나를 용이하게 출입시킬 수 있다. 또한, 상기 덮개에 상기 고주파안테나를 장착할 수 있다. 이로써, 덮개를 착탈하는 것만으로도, 더욱 용이하게 고주파안테나를 출입시킬 수 있다.
본 발명에 관한 플라즈마 처리장치는, 안테나배치부를 복수 구비할 수 있다. 이로써, 진공용기 속에 형성되는 플라즈마의 밀도의 균일성을 더욱 높일 수 있다.
본 발명에 관한 플라즈마 처리장치에 의하면, 진공용기 속에 강한 고주파 유도전계를 형성할 수 있고, 또한, 플라즈마의 밀도분포를 보다 균일하게 하지만 할 수 있음과 함께, 파티클의 발생이나 고주파안테나의 도체의 스퍼터링에 의한 베이스체의 오염을 방지할 수 있다.
[도 1] 본 발명에 관한 플라즈마 처리장치의 제1 실시예를 나타내는 종단면도(a) 및 안테나배치부(12) 부근의 확대도(b).
[도 2] 고주파안테나와 고주파전원의 접속의 일례를 나타내는 상면도.
[도 3] 본 발명에 관한 플라즈마 처리장치의 제2 실시예를 나타내는 확대 종단면도.
[도 4] 제2 실시예의 플라즈마 처리장치의 제1 변형예를 나타내는 확대 종단면도.
[도 5] 제2 실시예의 플라즈마 처리장치의 제2 변형예를 나타내는 확대 종단면도.
[도 6] 본 발명에 관한 플라즈마 처리장치의 제3 실시예를 나타내는 확대 종단면도.
[도 7] 고주파안테나를 외면(111A)과 내면(111B) 사이에 매립하는 예를 나타내는 종단면도.
[도 8] 고주파안테나의 일부에 판 형상 부재를 이용하는 예를 나타내는 측면도 및 상면도.
[도 9] 고주파전력의 출력의 변화에 따르는 플라즈마밀도의 변화를 구한 실험의 결과를 나타내는 그래프.
도 1 내지 도 9를 이용하여, 본 발명에 관한 플라즈마 처리장치의 실시예를 설명한다.
실시예 1
먼저, 도 1 및 도 2를 이용하여, 제1 실시예의 플라즈마 처리장치(10)를 설명한다. 플라즈마 처리장치(10)는, 진공용기(11)와, 진공용기(11)의 상벽(上壁)(111)의 외면(111A)과 내면(111B) 사이에 설치된 안테나배치부(12)와, 안테나배치부(12) 속에 배치된 고주파안테나(13)와, 안테나배치부(12) 속에 있어서 고주파안테나(13) 이외의 공간을 메우는 덩어리 형상의 유전체 제품 충전재(14)와, 안테나배치부(12)의 상벽 내면(111B)측에 설치된 유전체 제품의 파티션재(파티션판)(15)와, 안테나배치부(12)를 상벽 외면(111A)측에서 덮는 덮개(16)와, 진공용기(11)의 측벽에 설치된 가스배출구(17) 및 가스도입구(18)와, 진공용기의 내부공간(112)에 배치된 베이스체 지지부(19)를 가진다.
안테나배치부(12)는, 구체적으로는 이하와 같이 구성되어 있다. 진공용기(11)의 상벽(111)의 일부에는 관통구멍이 마련되어 있고, 이 관통구멍을 메우도록 판 형상의 지지부재(121)가 상벽(111)에 장착되어 있다. 그리고, 이 지지부재(121)에 상측으로부터 공간이 도려 내어져 있다. 이 공간이 안테나배치부(12)이다. 안테나배치부(12) 속에는, 상술한 바와 같이 고주파안테나(13)와 유전체 제품 충전재(14)가 설치되어 있다. 덮개(16)는 그 둘레가 지지부재(121)의 상면에 고정되어 있다.
고주파안테나(13)는 금속제의 파이프를 U자형으로 구부린 것이다. 금속제 파이프의 길이는 35㎝이다. 여기서, 상용(商用)의 고주파전원으로 잘 이용되고 있는 주파수 13.56㎒의 고주파의 전달(傳搬)파장은, 자유공간에서는 22m이지만, 플라즈마와의 결합을 고려한 전달모델에서는 약 13m이라 어림잡고 있다(비특허문헌 1). 따라서, 상기 금속제 파이프의 길이는, 주파수 13.56㎒의 고주파의 전달파장의 1/4보다도 짧다. 고주파안테나(13)는 그 양단이, 피드스루(feedthrough)(161)를 통하여 덮개(16)에 장착되어 있다. 고주파안테나(13)의 파이프는, 플라즈마 처리장치(10)의 사용시에 물 등의 냉매를 통과시킴으로써 고주파안테나(13)를 냉각하는 기능을 가진다.
파티션재(15)는, 안테나배치부(12) 속이 플라즈마에 노출되는 것을 방지하기 위한 것이지만, 그 자체는 플라즈마에 노출된다. 그로 인하여, 파티션재(15)의 재료로는, 석영 등, 내(耐)플라즈마성이 높은 것을 이용하는 것이 바람직하다. 한편, 유전체 제품 충전재(14)는, 파티션재(15)가 존재함으로써 플라즈마에 노출되지 않기 때문에, 내플라즈마성보다도 오히려 가공성에서 우수한 것을 이용하는 것이 바람직하다. 그와 같은 가공성에서 우수한 재료로는, 폴리테트라 플루오로에틸렌(PTFE), 폴리에텔 에텔케톤(PEEK) 그 외의 수지가 있다. 물론, 알루미나, 실리카 그 외의 세라믹스를 이용하여도 좋다.
상벽(111)과 지지부재(121) 사이, 지지부재(121)와 덮개(16) 사이, 및 덮개(16)와 피드스루(161) 사이에는 진공시일이 설치되어 있다. 한편, 파티션재(15)는 지지부재(121)에 장착되어 있지만, 파티션재(15)와 지지부재(121) 사이에는 진공시일이 없다.
가스배출구(17)는 진공펌프에 접속되어 있고, 진공펌프에 의하여 진공용기의 내부공간(112)의 공기나 수증기 등이 가스배출구(17)로부터 배출된다. 가스도입구(18)는, 진공용기의 내부공간(112)에 수소가스 등의 플라즈마 생성가스나 제막(製膜) 원료가스를 도입하기 위한 것이다. 베이스체 지지부(19)에 지지되는 베이스체(S)는, 진공용기(11)의 측벽에 설치된 베이스체 반출입구(113)로부터 진공용기의 내부공간(112)에 반입되고, 또는 진공용기의 내부공간으로부터 반출된다. 베이스체 반출입구(113)는, 베이스체(S)의 반출입시 이외에는 기밀하게 폐쇄된다.
다음으로, 도 2를 이용하여, 고주파안테나(13)와 고주파전원을 접속하는 구성의 일례를 설명한다. 본 실시형태에서는, 8개의 안테나배치부(12) 속에 1개씩 수용된, 합계 8개의 고주파안테나(13)가 이용된다. 이들 8개의 고주파안테나(13)는 4개씩의 2세트로 나누어지며, 세트마다 1개의 고주파전원이 접속되어 있다. 각 고주파안테나(13)의 일방의 단부(급전측 단부(131))에는, 급전점(給電点)(133)으로부터 4방향으로 뻗는 4개의 급전봉(134)이 접속되고, 이 급전점(133)에 고주파전원이 접속되어 있다. 각 고주파안테나(13)의 타방의 단부(접지측 단부(132))는 접지되어 있다.
본 실시형태의 플라즈마 처리장치(10)의 동작을, 베이스체(S) 상에 제막(製膜)물질을 퇴적시키는 경우를 예로 설명한다. 먼저, 베이스체(S)를 베이스체 반출입구(113)로부터 진공용기의 내부공간(112)에 반입하여, 베이스체 지지부(19) 상에 재치한다. 다음으로, 베이스체 반출입구(113)를 폐쇄하고, 진공펌프를 이용하여, 진공용기의 내부공간(112)의 공기나 수증기 등을 가스배출구(17)로부터 배출한다. 이어서, 가스도입구(18)로부터 플라즈마 생성용 가스 및 제막 원료가스를 도입한다. 그리고, 고주파안테나(13)의 파이프에 냉매를 흐르게 하면서, 고주파안테나(13)에 고주파전력을 투입한다. 이 고주파전력의 투입에 의하여 고주파안테나(13)의 주위에 고주파 유도전계가 생성된다. 이 고주파 유도전계는 유전체 제품의 파티션재(15)를 통과하여 진공용기의 내부공간(112)에 도입되어, 플라즈마 생성용 가스를 전리(電離)한다. 이로써 플라즈마가 생성된다. 플라즈마 생성용 가스와 함께 진공용기의 내부공간(112)에 도입된 제막 원료가스는 플라즈마에 의하여 분해되어, 베이스체(S) 상에 퇴적한다.
본 실시형태의 플라즈마 처리장치(10)에서는, 진공용기의 상벽(111)의 외면(111A)과 내면(111B) 사이에 설치된 안테나배치부(12)에 고주파안테나(13)를 배치하였기 때문에, 외부안테나 방식의 경우보다도 강한 고주파 유도전계를 진공용기(11)의 내부공간(112)에 생성할 수 있다. 또한, 고주파안테나(13)가 배치된 안테나배치부(12)와 플라즈마가 생성되는 진공용기의 내부공간(112)를 파티션재(15)에 의하여 분리하였기 때문에, 플라즈마가 고주파안테나(13)를 에칭하여 고주파안테나(13)의 수명이 짧아지는 것이나, 박막 혹은 피처리 베이스체에 고주파안테나(13)의 재료가 불순물로서 혼입하는 것을 방지할 수 있다. 또한, 안테나배치부(12) 속에 유전체 제품 충전재(14)를 충전하였기 때문에, 안테나배치부(12) 속에 있어서 불필요한 방전이 생기는 것을 방지할 수 있다.
상기 제1 실시예에서는 유전체 제품 충전재(14)와는 별도로 유전체 제품의 파티션재(파티션판)(15)를 이용하였지만, 유전체 제품 충전재(14)가 내플라즈마성이 높은 재료로 이루어지고, 또한, 진공용기의 내부공간(112)과 고주파안테나(13) 사이에 충분한 두께의 유전체 제품 충전재(14)가 존재하는 경우에는, 유전체 제품 충전재(14)가 파티션재의 역할을 겸하기 때문에, (유전체 제품 충전재(14)와는 별도의) 파티션재(15)를 생략할 수 있다.
또한, 상기 제1 실시예에서는 덩어리 형상의 유전체 제품 충전재(14)를 이용하였지만, 그 대신에 유전체의 분말을 이용할 수도 있다. 이 경우, 분말이 안테나배치부(12)로부터 누출되지 않도록, 안테나배치부(12)를 밀폐한다.
실시예 2
다음으로, 도 3을 이용하여, 제2 실시예의 플라즈마 처리장치를 설명한다. 여기서는, 제1 실시예의 플라즈마 처리장치(10)와 동일 구성요소는, 도 3에 도 1의 것과 동일 부호를 붙인 다음 설명을 생략한다. 본 실시예에서는, 진공용기(11)의 상벽(111)의 외면(111A)과 내면(111B) 사이에, 안테나배치부인 공동(空洞)(22)이 마련되어 있다.
공동(22)의 내면(111B)측에는 유전체 제품의 파티션재(25)가 설치되어 있고, 외면(111A)측에는 덮개(26)가 설치되어 있다. 파티션재(25)는, 공동(22)의 내주면의 하단(下端)에 있어서 내측으로 돌출된 단(段)(111C) 상에 재치되도록 장착되어 있다. 덮개(26)의 하면(下面)에는, 진공용기(11)의 외측으로부터 공동(22)에 끼워 맞춤되도록 볼록부가 설치되어 있다. 또한, 덮개(26)에는 피드스루(261)를 통하여 고주파안테나(13)의 양단이 장착되어 있어서, 이 고주파안테나(13)는 덮개(26)의 착탈에 의하여 플라즈마 처리장치로부터 용이하게 착탈할 수 있다.
공동(22)은, 덮개(26)와 상벽(111) 사이 및 파티션재(25)와 상벽(111) 사이에 설치된 진공시일에 의하여 밀폐되어 있다. 또한, 덮개(26)에는 공동(空洞)배기구(27)가 설치되어 있어서, 진공용기의 내부공간(112)과는 독립으로 공동(22)을 진공상태로 할 수 있다.
제2 실시예의 플라즈마 처리장치의 동작은, 기본적으로는 제1 실시예의 플라즈마 처리장치(10)와 마찬가지이다. 제1 실시예와의 차이는, 고주파안테나(13)에 고주파전력을 투입하기 전에, 공동배기구(27)로부터 공동(22) 속의 기체를 외부로 배출함으로써 공동(22) 속을 진공으로 하는 점이다. 이로써, 공동(22) 속에 불필요한 방전이 생기는 것을 방지할 수 있다.
다음으로, 도 4를 이용하여, 상기 제2 실시예의 제1 변형예를 설명한다. 본 변형예에서는 단(段)(111C)이 없고, 파티션재(25A)가 진공용기의 내부공간(112)측으로부터 공동(22)을 덮도록 설치되어 있다. 이로써, 공동(22)을 진공용기의 내부공간(112)측으로 확대하여, 고주파안테나(13)의 위치를 진공용기의 내부공간(112)에 가까이할 수 있다. 그 외의 구성은 상기 제2 실시예와 마찬가지이다.
도 5를 이용하여, 상기 제2 실시예의 제2 변형예에 대하여 설명한다. 본 변형예에서는, 상벽(111)의 하면에서, 상벽(111)을 관통시키지 않고 구멍을 마련함으로써, 공동(22A)이 형성되어 있다. 따라서, 공동(22A) 상에는 상벽(111)의 일부가 그대로 남아있다. 그 상벽(111)이 남겨진 부분에, 피드스루를 통하여 고주파안테나(13)가 장착되어 있음과 함께, 공동배기구(27A)가 장착되어 있다. 그 외의 구성은 상기 제2 실시예의 제1 변형예와 마찬가지이다.
실시예 3
다음으로, 도 6을 이용하여, 제3 실시예의 플라즈마 처리장치를 설명한다. 본 실시예에서는, 제2 실시예에 있어서의 공동배기구(27) 대신에, 공동(空洞) 불활성가스도입구(37A) 및 공동 가스배기구(37B가 덮개(36)에 설치되어 있다. 공동 불활성가스도입구(37A)로부터 아르곤이나 질소 등의 불활성가스를 도입하여, 공동(22) 속의 공기나 수증기를 불활성가스로 치환하여 공동 가스배기구(37B)로부터 배출함으로써, 공동(22) 속을 불활성가스로 채운다. 이로써, 공동(22) 속을 진공배기한 경우와 마찬가지로, 불필요한 방전이 생기게 하는 것을 방지할 수 있다. 그 외의 구성은 상기 제2 실시예와 마찬가지이다.
실시예 4
지금까지는 진공용기의 외면(111A)과 내면(111B) 사이에 유전체 제품 충전재(14)나 공동(22)을 설치한 예를 나타내었지만, 도 7에 나타내는 바와 같이, 공동을 이용하지 않고, 외면(111A)과 내면(111B) 사이의 위치(안테나배치부(42))에 고주파안테나(13)를 매립할 수도 있다. 이 경우, 고주파안테나(13)와 상벽(111)을 전기적으로 절연함과 함께, 고주파안테나(13)의 근방에서 불필요한 방전이 생기는 것을 방지하기 위하여, 양자 사이에 유전체를 끼워 넣던지, 상벽(111) 자체를 유전체 제품으로 한다. 후자의 경우, 상벽(111)의 전체를 유전체 제품으로 하여도 좋지만, 상벽(111) 중 고주파안테나(13)의 근방만을 유전체 제품으로 하는 쪽이 비용을 억제할 수 있다. 여기서의 유전체의 재료로는 상술한 유전체 제품 충전재(14)와 마찬가지의 것을 이용할 수 있다. 또한, 상벽(111) 중 고주파안테나(13)와 진공용기의 내부공간(112) 사이에 있는 부분을 유전체 제품으로 함으로써 파티션재(45)를 구성할 수 있다.
[상기 각 실시예에 공통인 변형예]
상기 각 실시예에 있어서, 봉 형상(관 형상)의 고주파안테나 대신에, 판 형상의 고주파안테나나, 봉 형상의 부재와 판 형상의 부재를 조합시킨 고주파안테나를 이용할 수도 있다. 이와 같이 봉 형상의 것과 판 형상의 것을 가려 쓰거나, 혹은 조합시킴으로써, 안테나 임피던스를 조정할 수 있다. 도 8에, 바닥부가 평탄한 U자형의 금속관의 하부에 판 형상 부재(51)가 장착된 고주파안테나를 나타낸다. 이 판 형상 부재(51)의 폭이나 두께에 따라서 안테나 임피던스를 조정할 수 있다.
또한, 상기 각 실시예에서는, 고주파안테나의 형상을 U자형으로 하였지만, 반원형 등의 원호 형상의 고주파안테나를 이용할 수도 있다. 이들 U자형 혹은 원호 형상의 고주파안테나는 권수가 1회 미만인 유도결합 안테나로서, 권수가 1회 이상인 경우보다도 인덕턴스가 작기 때문에, 소정의 고주파전력을 공급하였을 때에 안테나에 발생하는 전압을 작게 할 수 있어서, 고효율로 플라즈마를 생성할 수 있다. 또한, 상기 실시예에서는 안테나배치부의 개수를 8개로 하였지만, 그 개수는 진공용기의 용량 등에 따라서 정할 수 있다. 진공용기의 용량이 비교적 작은 경우에는 안테나배치부를 1개만 설치하여도 좋다. 또한, 상기 실시형태에서는 안테나배치부를 진공용기의 상벽에 설치하였지만, 측벽 등, 상벽 이외의 벽에 설치하여도 좋다.
[실험 1]
제2 실시예에 있어서 안테나배치부를 1개만으로 한 플라즈마 처리장치에 대하여, 진공용기 속에 생성되는 플라즈마의 밀도를 측정하였다. 고주파안테나(13)로는 외경 6㎜의 동(銅)파이프제로서, U자의 바닥부에 길이 150㎜에 걸쳐서 상벽(111)과 평행인 부분을 가지는 것을 이용하였다. 고주파안테나(13)의 바닥부의 위치는 상벽(111)의 내벽면의 위치에 맞추었다(도 4). 파티션재(15A)로는, 석영제로서 두께 6㎜의 것을 이용하였다.
진공용기(11) 속을 진공으로 한 후, 진공용기(11) 속에 수소와 아르곤의 혼합가스를 압력 1㎩로 도입하였다. 그리고, 고주파안테나(13)의 파이프 속에 냉각수를 흐르게 하면서, 출력 1㎾, 주파수 13.56㎒의 고주파전력을 공급하였다. 그 결과, 진공용기(11) 속에 플라즈마가 충만하여, 고주파안테나(13)의 U자의 바닥부로부터 20㎝ 떨어진 위치에 있어서의 플라즈마밀도는 1.2×1011/㎤이었다. 또한, 고주파전력의 출력을 1㎾∼3㎾의 범위 내에서 변화시킨 바, 상기 위치에 있어서의 플라즈마밀도는 고주파전력의 출력에 비례하여 변화하였다(도 9).
[실험 2]
실험 1에서 이용한 고주파안테나(13)의 바닥부에, 길이 150㎜, 폭 30㎜의 동판(銅版)을 상벽(111)에 평행하게 지향시켜 접합하였다. 다만, 실험 1보다도 고주파안테나(13)를 상방(上方)으로 이동시켜서, 상벽(111)의 하면의 위치에 동판의 위치를 맞추었다. 이 고주파안테나를 이용하여 실험 1과 마찬가지의 실험을 행한 바, 동판으로부터 20㎝ 떨어진 위치에 있어서의 플라즈마밀도는 1.4×1011/㎤으로, 동판이 없는 실험 1보다도 높아졌다. 이는, 동(銅) 제품 파이프의 관 직경보다도 폭이 넓은 동판을 장착함으로써, 안테나 임피던스가 저하되어, 고주파안테나(동 제품 파이프 및 동판)에 흐르는 전류가 증가한 것에 의한다고 생각된다.
다음으로, 비교를 위하여 파티션재(15A)를 떼어내고 마찬가지의 실험을 행한 바, 상기 위치에 있어서의 플라즈마밀도는 1.6×1011/㎤이었다. 이와 같이, 파티션재(15A)를 이용하여도 플라즈마의 생성에 주는 영향은 작은 것이 확인되었다.
[실험 3]
실험 1에서 이용한 고주파안테나를, 도 2에 나타내는 바와 같이 8세트 이용하여, 각 고주파전원으로부터 출력 2㎾, 주파수 13.56㎑의 고주파전력을 급전점(133)에 공급하였다. 그 외의 조건은 실험 1 및 2와 마찬가지로 하였다. 각 고주파안테나 유닛마다, 고주파안테나(13)의 U자의 바닥부로부터 20㎝ 떨어진 위치에서의 플라즈마밀도를 측정한 결과, 어느 쪽의 고주파안테나로부터도 거의 동일 강도의 플라즈마가 생성되고 있는 것이 확인되었다. 이와 같이 동일 강도의 플라즈마가 생성되는 고주파안테나(가 배치된 안테나배치부)를 복수 이용함으로써, 진공용기(11) 속의 플라즈마의 균일성을 향상시킬 수 있다.
10…플라즈마 처리장치
11…진공용기
111…진공용기(11)의 상벽
111A…상벽(111)의 외면
111B…상벽(111)의 내면
111C…내면(111B)의 단(段)
112…내부공간
113…베이스체 반출입구
12, 42…안테나배치부
121…지지부재
13…고주파안테나
131…급전(給電)측 단부(端部)
132…접지(接地)측 단부
133…급전점
134…급전봉
14…유전체 제품 충전재
15, 15A, 25, 25A, 45…파티션재
16, 26, 36…덮개
161, 261…피드스루
17…가스배출구
18…가스도입구
19…베이스체 지지부
22, 22A…공동(空洞)
27, 27A…공동배기구
37A…공동 불활성가스도입구
37B…공동 가스배기구
51…판 형상 부재
S…베이스체

Claims (10)

  1. 고주파방전에 의한 유도결합 방식의 플라즈마 장치에 있어서,
    a) 진공용기와,
    b) 상기 진공용기의 벽의 내면과 외면 사이에 설치된 안테나배치부와,
    c) 상기 안테나배치부에 배치된 주회(周回)하지 않고 종단(終端)하는 1개의 고주파안테나와,
    d) 상기 안테나배치부와 상기 진공용기의 내부를 구분하는 유전체 제품의 파티션재(材)
    를 구비하고,
    상기 고주파안테나의 길이가, 상기 고주파의 1/4 파장의 길이보다도 짧은 것을 특징으로 하는 플라즈마 처리장치.
  2. 청구항 1에 있어서,
    상기 안테나배치부가 상기 내면과 상기 외면 사이에 설치된 공동(空洞)인 것을 특징으로 하는 플라즈마 처리장치.
  3. 청구항 2에 있어서,
    상기 공동이 밀폐되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  4. 청구항 2에 있어서,
    상기 공동 속이 고체의 유전체로 채워져 있는 것을 특징으로 하는 플라즈마 처리장치.
  5. 청구항 3에 있어서,
    상기 공동 속이 불활성가스로 채워져 있는 것을 특징으로 하는 플라즈마 처리장치.
  6. 청구항 2 내지 청구항 5 중 어느 한 항에 있어서,
    상기 공동의 상기 외면측에 덮개가 설치되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  7. 청구항 6에 있어서,
    상기 고주파안테나가 상기 덮개에 장착되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  8. 청구항 1에 있어서,
    상기 벽 중 적어도 일부가 고체의 유전체로 이루어지고,
    상기 고주파안테나가 상기 유전체 속에 매립되어 있는 것을 특징으로 하는 플라즈마 처리장치.
  9. 청구항 1 내지 청구항 8 중 어느 한 항에 있어서,
    상기 고주파안테나가 U자형인 것을 특징으로 하는 플라즈마 처리장치.
  10. 청구항 1 내지 청구항 9 중 어느 한 항에 있어서,
    상기 안테나배치부를 복수 구비하는 것을 특징으로 하는 플라즈마 처리장치.
KR1020117023908A 2009-03-11 2010-03-10 플라즈마 처리장치 KR101743306B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2009-057327 2009-03-11
JP2009057327A JP5400434B2 (ja) 2009-03-11 2009-03-11 プラズマ処理装置
PCT/JP2010/054017 WO2010104120A1 (ja) 2009-03-11 2010-03-10 プラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20110134472A true KR20110134472A (ko) 2011-12-14
KR101743306B1 KR101743306B1 (ko) 2017-06-02

Family

ID=42728409

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117023908A KR101743306B1 (ko) 2009-03-11 2010-03-10 플라즈마 처리장치

Country Status (7)

Country Link
US (1) US20120031563A1 (ko)
EP (1) EP2408275B1 (ko)
JP (1) JP5400434B2 (ko)
KR (1) KR101743306B1 (ko)
CN (1) CN102349357B (ko)
TW (1) TWI536872B (ko)
WO (1) WO2010104120A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012032596A1 (ja) * 2010-09-06 2012-03-15 株式会社イー・エム・ディー プラズマ処理装置
JP5462369B2 (ja) * 2010-09-10 2014-04-02 株式会社イー・エム・ディー プラズマ処理装置
TW201301335A (zh) * 2011-05-17 2013-01-01 Intevac Inc 供電漿應用的大面積電感耦合式電漿源
WO2013052713A1 (en) 2011-10-05 2013-04-11 Intevac, Inc. Inductive/capacitive hybrid plasma source and system with such chamber
JP6101535B2 (ja) * 2013-03-27 2017-03-22 株式会社Screenホールディングス プラズマ処理装置
JP6863608B2 (ja) * 2016-06-24 2021-04-21 株式会社イー・エム・ディー プラズマ源及びプラズマ処理装置
JP6708887B2 (ja) * 2018-09-25 2020-06-10 株式会社プラズマイオンアシスト プラズマ処理装置、アンテナ導体又は/及び導電性部材の製造方法
EP3813092A1 (en) * 2019-10-23 2021-04-28 EMD Corporation Plasma source
JP7426709B2 (ja) * 2019-10-23 2024-02-02 株式会社イー・エム・ディー プラズマ源

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3283205A (en) * 1961-06-01 1966-11-01 Bolt Harold E De Shifting arc plasma system
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5309063A (en) * 1993-03-04 1994-05-03 David Sarnoff Research Center, Inc. Inductive coil for inductively coupled plasma production apparatus
JPH07263188A (ja) * 1994-03-18 1995-10-13 Hitachi Ltd プラズマ処理装置
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
JP3720901B2 (ja) * 1996-03-04 2005-11-30 アネルバ株式会社 プラズマ処理装置及びアンテナの製造方法
US6245202B1 (en) * 1996-04-12 2001-06-12 Hitachi, Ltd. Plasma treatment device
KR100505176B1 (ko) * 1996-09-27 2005-10-10 서페이스 테크놀로지 시스템스 피엘씨 플라즈마가공장치
US6534922B2 (en) * 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
JPH11317299A (ja) 1998-02-17 1999-11-16 Toshiba Corp 高周波放電方法及びその装置並びに高周波処理装置
JPH11238597A (ja) * 1998-02-23 1999-08-31 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP3836636B2 (ja) 1999-07-27 2006-10-25 独立行政法人科学技術振興機構 プラズマ発生装置
US6830653B2 (en) * 2000-10-03 2004-12-14 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
JP3751909B2 (ja) * 2002-07-01 2006-03-08 独立行政法人科学技術振興機構 プラズマ装置及びプラズマ処理基体
JP2004055600A (ja) * 2002-07-16 2004-02-19 Tokyo Electron Ltd プラズマ処理装置
JP4087233B2 (ja) * 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置
TW201041455A (en) * 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
JP3618333B2 (ja) * 2002-12-16 2005-02-09 独立行政法人科学技術振興機構 プラズマ生成装置
EP1589793B1 (en) * 2003-01-16 2014-06-04 Japan Science and Technology Agency Plasma generation device
JP4471589B2 (ja) * 2003-05-26 2010-06-02 三井造船株式会社 プラズマ発生用アンテナ装置及びプラズマ処理装置
JP4540369B2 (ja) * 2004-03-09 2010-09-08 株式会社シンクロン 薄膜形成装置
JP4671361B2 (ja) * 2004-03-26 2011-04-13 日新電機株式会社 プラズマ発生装置
JP4672436B2 (ja) * 2005-05-20 2011-04-20 株式会社アルバック プラズマ処理装置
JP2007123008A (ja) * 2005-10-27 2007-05-17 Nissin Electric Co Ltd プラズマ生成方法及び装置並びにプラズマ処理装置
JP2007149638A (ja) * 2005-10-27 2007-06-14 Nissin Electric Co Ltd プラズマ生成方法及び装置並びにプラズマ処理装置
WO2008024392A2 (en) * 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8992725B2 (en) * 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
JP4931770B2 (ja) * 2007-11-09 2012-05-16 東京エレクトロン株式会社 シリコン酸化膜の成膜方法および装置
JP5121476B2 (ja) * 2008-01-29 2013-01-16 株式会社アルバック 真空処理装置
JP4992885B2 (ja) * 2008-11-21 2012-08-08 日新イオン機器株式会社 プラズマ発生装置
JP4621287B2 (ja) * 2009-03-11 2011-01-26 株式会社イー・エム・ディー プラズマ処理装置

Also Published As

Publication number Publication date
JP2010212104A (ja) 2010-09-24
CN102349357A (zh) 2012-02-08
TW201117678A (en) 2011-05-16
CN102349357B (zh) 2015-03-11
EP2408275A1 (en) 2012-01-18
TWI536872B (zh) 2016-06-01
EP2408275A4 (en) 2015-10-28
EP2408275B1 (en) 2017-01-11
US20120031563A1 (en) 2012-02-09
JP5400434B2 (ja) 2014-01-29
WO2010104120A1 (ja) 2010-09-16
KR101743306B1 (ko) 2017-06-02

Similar Documents

Publication Publication Date Title
KR101725564B1 (ko) 플라즈마 처리장치
KR20110134472A (ko) 플라즈마 처리장치
JP5747231B2 (ja) プラズマ生成装置およびプラズマ処理装置
JP5462369B2 (ja) プラズマ処理装置
JP6097471B2 (ja) 環状のバッフル
JP5462368B2 (ja) プラズマ処理装置
WO2008070002A1 (en) Wide area radio frequency plasma apparatus for processing multiple substrates
JPWO2013124906A1 (ja) プラズマ処理装置およびプラズマ処理方法
KR101862727B1 (ko) 마이크로파를 사용한 플라즈마 생성 장치
JP5635367B2 (ja) プラズマ処理装置
JP2012049065A (ja) プラズマ処理装置
EP3965139B1 (en) Apparatus, system and method for sustaining inductively coupled plasma
TWI532415B (zh) Plasma processing device
KR101281191B1 (ko) 유도 결합 플라즈마 반응기
JP2011243732A (ja) プラズマ処理方法及びその装置
KR20110055306A (ko) 급전손실 저감을 위한 용량 결합 플라즈마 반응기

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant