KR20110052668A - 금속 배선들을 위한 컨포멀 접착 프로모터 라이너 - Google Patents

금속 배선들을 위한 컨포멀 접착 프로모터 라이너 Download PDF

Info

Publication number
KR20110052668A
KR20110052668A KR1020117004716A KR20117004716A KR20110052668A KR 20110052668 A KR20110052668 A KR 20110052668A KR 1020117004716 A KR1020117004716 A KR 1020117004716A KR 20117004716 A KR20117004716 A KR 20117004716A KR 20110052668 A KR20110052668 A KR 20110052668A
Authority
KR
South Korea
Prior art keywords
liner
metal
conformal
forming
layer
Prior art date
Application number
KR1020117004716A
Other languages
English (en)
Inventor
티엔-젠 쳉
젱웬 오우. 리
케이스 퀑 혼 웡
휘롱 주
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20110052668A publication Critical patent/KR20110052668A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

적어도 하나의 라인 쓰루 및/또는 적어도 하나의 비아 캐비티(15)를 갖는 유전층(10)이 패턴된다. 금속 질화물 라이너(20)가 패턴된 유전층(10)의 표면들 상에 형성된다. 금속 라이너(30)가 금속 질화물 라이너(20) 상에 형성된다. 컨포멀 구리 질화물층(40)이 원자층 증착(ALD) 또는 화학 기상 증착(CVD)에 으,ㅣ해 금속 라이너(30) 상에 직접 형성된다. Cu 시드층(50)이 컨포멀 구리 질화물층(40) 상에 직접 형성된다. 적어도 하나의 라인 쓰루 및/또는 적어도 하나의 비아 캐비티(15)는 전기도금된 재료(60)로 채워진다. 컨포멀 구리 질화물층(40)과 Cu 시드층(50) 사이의 직접적인 접촉은 향상된 접착 강도를 제공한다. 컨포멀 구리 질하물층(40)은 노출된 외측 부분을 인접한 Cu층 안으로 숨기기 위해 어닐될 수 있다. 상기 인접한 Cu층은 Cu 시드층(50)의 두께를 감소시키기 위해 채용될 수 있다.

Description

금속 배선들을 위한 컨포멀 접착 프로모터 라이너{CONFORMAL ADHESION PROMOTER LINER FOR METAL INTERCONNECTS}
본 발명은 반도체 구조와 관련되고, 구체적으로는 금속 컨포멀 접착 프로모터 라이너를 포함하는 BEOL(back-end-of-line) 금속 배선 구조, 및 이를 제조하는 방법과 관련된다.
금속 라이너들은 금속 필(fill) 구조와 금속 필 구조를 내포하는 유전층 사이의 접착 강도(adhesion strength)를 제공하기 위해 BEOL 금속 배선 구조들에 채용된다. 금속 라이너 및 금속 필 구조는 함께, 전류-전달 구조(current-carrying structure)를 구성한다. 예를 들어, 전류-전달 구조는 금속 라인, 금속 비아, 또는 일체로 형성되는 이것들의 조합일 수 있다. 전류-전달 구조가 신뢰할 수 있도록 동작하기 위해서는, 금속 라이너(metallic liner)와 금속 필 구조 사이의 높은 접착 강도가 필요하다. 금속 라이너와 금속 필 구조 사이의 높은 접착 강도는 BEOL 금속 배선 구조들의 기계적 안정성뿐만 아니라 일렉트로마이그레이션(electromigration)에 대비한 보호를 제공한다.
대부분의 경우, 금속 라이너 상에 직접(directly on) 형성된 금속 필 구조의 재료의 입자 크기(grain size)를 증가시키기 위해, 어닐(anneal)이 요구된다. 접착 강도가 충분하지 않은 경우, 전류-전달 구조의 어닐 후, 금속 라이너와 금속 필 구조 사이의 계면(interface)에 보이드들(voids)이 형성될 수 있다. 이러한 보이드들은 전류-전달 구조의 저항을 증가시키고, 전기적 성능을 저하시킨다.
나아가, 금속 라이너와 금속 필 구조 사이의 경계를 따르는 표면 확산은 종종 전류-전달 구조의 전체 일렉트로마이그레이션 성능 결정을 좌우하는 인자이다. 따라서, 금속 라이너와 금속 필 구조 사이의 접착 강도는 전류-전달 구조의 일렉트로마이그레이션 저항을 결정함에 있어서 중요하다. 금속 라이너와 금속 필 구조 사이의 인터페이스에서의 어떤 보이드는 초기 보이드 - 이 초기 보이드는 전류-전달-구조의 사용으로 일렉트로마이그레이션의 진행에 따라, 즉 상기 전류-전달 구조를 통해 전류를 통과시킴에 따라, 성장함 - 를 제공함에 의해 일렉트로마이그레이션 성능의 저하를 가중시킨다.
도 1을 참조하면, 종래의 금속 배선 구조는 패턴된 유전층(110), 금속 질화물 라이너(120), 금속 라이너(130), Cu 시드층(seed layer)(150), 및 전기도금된 Cu 구조(160)를 포함한다. 패턴된 유전층(110)은 라인 쓰루(line through) 및 비아 캐비티(via cavity)를 포함한다. 상기 비아 캐비티는 상기 라인 쓰루의 아래에 위치한다. 금속 질화물 라이너(120), 금속 라이너(130), Cu 시드층(150), 및 전기도금된 Cu 구조(160)는 상기 금속 쓰루 및 상기 비아 캐비티를 채워, 종래의 전류-전달 구조(120, 130, 150, 160)를 형성하는데, 이 종래의 전류 전달 구조(120, 130, 150, 160)는 일체로(integrally) 형성된 라인 및 비아 구조이다.
금속 질화물 라이너(120)는 전형적으로 물리 기상 증착(physical vapor deposition, PVD)에 의해 형성된다. PVD는 스퍼터링 타겟 방향으로부터 증착이 일어나는 기판 쪽으로 이동하는 방향성 증착 방법이므로, 금속 질화물 라이너(120)의 스텝 커버리지(step coverage)는 항상 1.0보다 적다. 즉, 평면 표면 상에보다 구조의 측벽들 상에 더 적은 재료가 증착된다. 따라서, 상기 비아 캐비티의 측벽들 상에보다 상기 라인 트렌치의 바텀(bottom) 상에 더 많은 재료가 증착된다. 상기 측벽 커버리지(side-wall covergae)를 증가시키기 위한 한 가지 방법은 증착과 방향성 스퍼터링 식각의 조합이다. 이 경우, 리세스된 바닥 표면 상에 증착된 금속 재료는 상기 바닥 표면을 벗어나서(off) 다시 스퍼터링(resputtering)될 수 있고 또한 방향성 스퍼터링 식각에 의해 상기 리세스된 바닥 표면을 둘러싸는 측벽들 상에 다시 증착될 수 있다. 나아가, 상기 비아 캐비티의 하부보다 상기 비아 캐비티의 측벽들의 상부 상에 더 많은 재료가 증착된다. 화학 기상 증착(chemical vapor deposition, CVD) 및 원자층 증착(atomic layer deposition, ALD) 방법들은 PVD보다 더 향상된 스텝 커버리지를 제공하는 것으로 알려져 있지만, 불순물들이 이들 막들에서 종종 발견될 수 있는데, 이 불순물들은 접착층 및 확산 장벽층에 따라 상기 막의 품질을 저하시킬 것이다.
금속 라이너(130)는 또한 전형적으로 PVD에 의해 형성된다. 금속 라이너(130)는 위에서 논의된 바와 같이 증착 프로세스의 방향성으로 인해 비컨포멀(non-conformal)하다. 상기 비아 캐비티의 하부에서보다 상기 비아 캐비티의 상부에서 더 많은 재료들이 쌓이는 것은 상기 바이 캐비티의 탑(top)에서 돌출부(overhang)를 생성하는데, 이 돌출부는 상기 바이 캐비티의 바닥에서 재료의 증착을 차단한다. 상기 재료들이 상기 탑 부분을 밀봉(seal off)시키킬 것이므로, 이러한 돌출부는 도전성 재료로 상기 비아 캐비티를 계속해서 채우는 것이 어렵도록 한다. 그래서 상기 비아 내로의 추가 증착을 방지한다. 나아가, 금속 질화물 라이너(120) 및 금속 라이너(130)는 전기도금 Cu 구조(160)보다 더 높은 저항을 갖는다. 이 때문에, 금속 질화물 라이너(120)의 두께 및 금속 라이너(130)의 두께는 가능한 한 작게 유지될 필요가 있다. 특히, FEOL(front-end-of-line)에서 반도체 디바이스들의 스케일링은, 금속 배선 구조가 그에 상응하게 크기가 줄어들도록 요구한다.
금속 질화물 라이너(120) 및 금속 라이너(130)의 두께의 스케일 다운은 신뢰성 문제를 생성할 수 있다. 특히, 금속 질화물 라이너(120) 및 금속 라이너(130)의 평면 두께가 약 10nm 또는 이보다 작을 경우, 측벽 상의 커버리지는 균일(uniform) 또는 근접하지 않을 수 있다. 따라서, 특히 비아 캐비티의 측벽의 바닥 부분에 얇은 금속 라이너 영역(133)이 형성될 수 있고, 여기서 금속 라이너(130)의 두께는 이웃하는 영역들보다 더 얇다. 어떤 경우에는, 금속 라이너(130)의 재료는 얇은 금속 라이너 영역(133)에는 없을 수 있다.
Cu 시드층(130)이 PVD에 의해 금속 라이너(130) 상이 직접 증착되므로, 얇은 금속 라이너 영역(133)은 Cu 시드층(150)과 금속 라이너(130) 사이에 더 적은 접착력을 제공한다. 이는 Cu가 금속 라이너(130)의 부재시에, 또는 얇은 금속 라이너 영역(133) 내에서, 금속 라이너(130)의 얇은 부분을 통해서, 금속 질화물 라이너(120)에 대해 약한 접착력을 갖는 경향이 있기 때문이다. 상기 비아 캐비티 및 상기 라인 쓰루는 전기도금 Cu 구조(160)로 채워져, 종래의 전류-전달 구조(120, 130, 150, 160)를 형성한다.
도 2를 참조하면, 도 1의 얇은 금속 라이너 영역(133)은 금속 라이너(130)의 더 얇은 부분에 대한 그리고 금속 질화물 라이너(120)에 대한, Cu 시드층(150)의 약한 접착력으로 인해 캐비티(137)를 형성하는 경향이 있다. 어떤 경우에는, 전기도금 Cu 재료의 입자 크기를 증가시키기 위해, 전기도금 Cu 구조(160)의 전기도금 후에 전형적으로 수행되는 어닐동안, 캐비티(137)가 형성될 수 있다. 얇은 금속 라이너 영역(133)에서 금속 라이너와 Cu 시드층(150) 사이의 약한 접착력은 Cu 재료의 이동을 용이하게 하는데, 이는 상기 캐비티의 형성을 유도한다.
비록 상기 어닐 동안 캐비티의 형성을 피할 수 있지만, 전류를 통과시킴으로써 종래의 전류-전달 구조(120, 130, 150, 160)를 사용하면, Cu 재료의 일렉트로마이그레이션에 의한 캐비티(137)의 형성을 유도할 수 있다. 상기 Cu 재료의 더 약한 접착력 때문에, 얇은 금속 라이너 영역(133)은 보이드(137)의 형성 및 일렉트로마이그레이션에 취약하다.
위에서 논한 내용에 비추어 볼 때, 과도한 두께를 필요로 하지 않고서, 금속 필 구조와 패턴된 유전층 사이의 충분한 접착 강도를 제공하는 금속 배선 구조를 제공할 필요가 있다.
특히, 약한 접착 강도의 영역을 발생시키지 않고서, 금속 라이너 구조의 표면 전체에 대해 충분한 접착 강도를 제공하는 금속 라이너 구조를 포함하는 금속 배선 구조가 필요하다.
본 발명은 BEOL 금속 배선 구조를 제공하는데, 상기 BEOL 금속 배선 구조는 금속 컨포멀 접착 프로모터 라이너를 포함한다. 상기 금속 컨포멀 접착 프로모터 라이너는 아래의 금속 라이너의 표면 전체에 대해 충분히 높고 균일한 접착 강도를 제공하기 위해 상기 아래의 금속 라이너를 컨포멀하게 덮는다. 본 발명은 또한 상기 BEOL 금속 배선 구조를 제조하는 방법을 제공한다.
본 발명에서, 유전층은 적어도 하나의 라인 쓰루 및/또는 적어도 하나의 비아 캐비티로 패턴된다. 상기 패턴된 유전층의 표면들 상에 금속 질화물 라이너가 형성된다. 상기 금속 질화물 라이너의 표면 상에 금속 라이너가 형성된다. 원자층 증착(ALD) 또는 화학 기상 증착(CVD)에 의해 상기 금속 라이너 상에 직접 컨포멀 구리 질화물층이 형성된다. 상기 컨포멀 구리 질화물층은 상기 컨포멀 구리 질화물층을 형성하기 위해 채용되는 프로세스의 성질로 인해 컨포멀하다. 상기 컨포멀 구리 질화물층 상에 직접 Cu 시드층이 형성된다. 상기 적어도 하나의 라인 쓰루 및/또는 상기 적어도 하나의 비아 캐비티는 전기도금된 재료로 채워진다. 상기 컨포멀 구리 질화물층과 상기 Cu 시드층 사이의 직접적인 접촉은 향상된 접착 강도를 제공한다. 노출된 외측 부분(outer portion)을 인접한 Cu층 내로 숨기기 위해, 상기 컨포멀 구리 질화물층은 어닐될 수 있다. 상기 인접한 Cu층은 상기 Cu 시드층의 두께를 감소시키기 위해 채용될 수 있다.
본 발명의 일 측면에 따라, 반도체 구조를 형성하는 방법이 제공되는데, 이 방법은, 기판 상에 패턴된 유전층을 형성하는 단계;
상기 패턴된 유전층의 패턴된 표면들 상에 직접 금속 질화물 라이너를 형성하는 단계;
상기 금속 질화물 라이너 상에 직접 금속 라이너를 형성하는 단계 - 상기 금속 라이너는 원소 금속(elemental metal) 또는 금속간 합금(intermetallic aooly)을 포함함 -; 및
화학 기상 증착(CVD) 또는 원자층 증착(ALD)에 의해 상기 금속 라이너 상에 직접 컨포멀 접착 프로모터 라이너(conformal adhesion promoter liner)를 형성하는 단계 - 상기 컨포멀 접착 프로모터 라이너는 구리 질화물을 포함함 - 를 포함한다.
일 실시예에서, 상기 방법은 상기 컨포멀 접착 프로모터 라이너 상에 직접 Cu 시드층을 형성하는 단계를 더 포함한다.
다른 실시예에서, 상기 방법은 상기 Cu 시드층 상에 직접 Cu 재료를 전기도금하는 단계를 더 포함한다.
또 다른 실시예에서, 상기 방법은 상기 전기도금된 Cu 재료를 평탄화(planarize)하는 단계를 더 포함하되, 상기 금속 질화물 라이너의 표면, 상기 금속 라이너의 표면, 상기 컨포멀 접착 프로모터 라이너의 표면, 및 상기 전기도금된 Cu 재료의 표면은 실질적으로 수평이고 동일 평면상에 있다(coplanar).
또 다른 실시예에서, 상기 구리 질화물은 CuxN의 조성(compisition)을 갖는다. 여기서 x는 약 1 내지 약 5이다.
또 다른 실시예에서, 상기 방법은 약 150℃ 내지 약 400℃의 상승된 온도에서 상기 컨포멀 접착 프로모터를 어닐하는 단계를 더 포함한다. 여기서 상기 컨포멀 접착 프로모터 라이너의 노출된 외측 부분은 컨포멀 Cu-리치(rich) 도전층으로 전환된다.
또 다른 실시예에서, 상기 방법은 상기 전기도금된 Cu 재료 및 상기 Cu 시드층을 어닐하는 단계를 더 포함한다. 여기서, 상기 전기도금된 Cu 재료 및 상기 Cu 시드층은 그것의 용적(volume) 내에서 계면 표면들(interfacial surfaces)이 없는 일체의 구조(integral construction)의 도전성 Cu 구조를 형성하기 위해 구조적으로 병합된다.
또 다른 실시예에서, 상기 방법은 상기 전기도금된 Cu 재료, 상기 Cu 시드층, 및 상기 컨포멀 Cu-리치 도전층을 어닐하는 단계를 더 포함한다. 여기서 상기 전기도금 Cu 재료, 상기 Cu 시드층, 및 상기 컨포멀 Cu-리치 도전층은 그것의 용적 내에서 계면 표면들이 없는 일체의 구조의 도전성 Cu 구조를 형성하기 위해 구조적으로 병합된다.
본 발명의 다른 측면에 따라 금속 배선 구조가 제공되는데, 이 금속 배선 구조는,
기판 상에 위치하고 적어도 하나의 라인 쓰루(line through) 및 비아 캐비티(via cavity)를 포함하는, 패턴된 유전층;
상기 패턴된 유전층의 패턴된 표면에 인접하는 금속 질화물 라이너;
원소 금속 또는 금속간 합금을 포함하고 상기 금속 질화물 라이너에 인접하는, 금속 라이너; 및
구리 질화물을 포함하고 상기 금속 라이너에 인접하는, 컨포멀 접착 프로모터 라이너를 포함한다.
일 실시예에서, 상기 금속 배선 구조는 상기 컨포멀 접착 프로모터 라이너에 인접하는 Cu 시드층을 더 포함한다.
다른 실시예에서, 상기 금속 배선 구조는 전기도금된 도전성 구조를 더 포함한다. 여기서, 상기 금속 질화물 라이너, 상기 금속 라이너, 상기 컨포멀 접착 프로모터 라이너, 상기 Cu 시드층, 및 상기 전기도금된 도전성 구조는 상기 라인 쓰루 또는 상기 바이 캐비티를 완전히 채운다.
또 다른 실시예에서, 상기 전기도금된 도전성 구조는 Cu 및 불순물들로 구성된다. 여기서, 상기 불순물들은 O, N, C, Cl 및 S를 포함할 수 있고, 이 불순물들의 전체 농도는 약 1ppm 내지 약 200ppm이다.
또 다른 실시예에서, 상기 금속 배선 구조는 상기 컨포멀 접착 프로모터 라이너에 인접하는 컨포멀 Cu-리치 도전층을 더 포함한다.
또 다른 실시예에서, 상기 금속 배선 구조는,
상기 컨포멀 Cu-리치 도전층에 인접하는 Cu 시드층; 및
전기도금된 도전성 구조를 더 포함한다. 여기서, 상기 금속 질화물 라이너, 상기 금속 라이너, 상기 컨포멀 접착 프로모터 라이너, 상기 컨포멀 Cu-리치 도전층, 상기 Cu 시드층, 및 상기 전기도금된 도전성 구조는 상기 라인 쓰루 또는 상기 비아 캐비티를 완전히 채운다.
다른 실시예에서, 상기 금속 배선 구조는 그것의 용적 전체 내에서 계면 표면들이 없는 일체의 구조를 가지며 상기 컨포멀 접착 프로모션 라이너에 인접하는 도전성 Cu 구조를 더 포함한다.
도 1은 패턴된 유전층에 내포된 전류-전달 구조 형성 후의 예시적인 종래의 금속 배선 구조의 수직 단면도이다.
도 2는 어닐 후 또는 사용 후의 예시적인 종래의 금속 배선 구조의 수직 단면도인데, 여기에는 캐비티(137)가 형성된다.
도 3은 컨포멀 접착 프로모터 라이너(40) 형성 후의 제1의 예시적인 금속 배선 구조의 수직 단면도이다.
도 4는 Cu 시드층(50) 및 전기도금된 Cu 구조(60) 형성 후의 제1의 예시적인 금속 배선 구조의 수직 단면도이다.
도 5는 Cu 시드층(50) 및 전기도금된 Cu 구조(60)를 어닐함에 의해 도전성 Cu 구조(80)를 형성한 후의 제1의 예시적인 금속 배선 구조의 수직 단면도이다.
도 6은 컨포멀 접착 프로모터 라이너(40)의 노출된 부분을 컨포멀 Cu층(45) 안으로 전환하는 어닐 후의 제2의 예시적인 금속 배선 구조의 수직 단면도이다.
도 7은 Cu 시드층(50) 및 전기도금된 Cu 구조(60) 형성 후의 제2의 예시적인 금속 배선 구조의 수직 단면도이다.
도 8은 컨포멀 Cu층(45), Cu 시드층(50), 및 전기도금된 Cu 구조(60)를 어닐함에 의해 도전성 Cu 구조(80)를 형성한 후의 제2의 예시적인 금속 배선 구조의 수직 단면도이다.
위에서 서술한 바와 같이, 본 발명은 금속 컨포멀 접착 프로모터 라이너를 포함하는 BEOL 금속 배선 구조, 및 이 구조를 제조하는 방법들과 관련되는데, 이것들은 여기서 첨부되는 도면들과 함께 기술된다. 여기에 사용되는 바와 같이, 본 발명의 구성요소들 또는 본 발명의 바람직한 실시예들을 소개할 경우, "일", "하나", "한" 및 "상기" 라는 용어들은 그 구성요소들 중 하나 또는 그 이상이 있다는 것을 의미하려는 의도이다. 도면들 전체를 통해, 동일한 참조부호들 또는 용어들은 유사 또는 균등한 구성요소들을 지시하기 위해 사용된다. 본 발명의 주제를 불필요하게 애매하게 하는 알려진 기능들 및 구성들에 관한 상세한 설명은 설명을 명확히 하기 위해 생략되었다. 또한, 도면들은 반드시 그 크기가 맞게 도시된 것은 아니다.
도 3을 참조하면, 본 발명의 제1 실시예에 따른 제1의 예시적인 금속 배선 구조는 패턴된 유전층(10), 그리고 패턴된 유전층(10)의 측벽 표면들 및 탑(top) 상에 직접 형성된 도전성 금속 라이너 스택을 포함한다. 상기 도전성 금속 라이너 스택은, 바텀에서 탑으로, 금속 질화물 라이너(20), 금속 라이너(30), 및 컨포멀 접착 프로모터 라이너(40)를 포함한다.
패턴된 유전층(10)은 적어도 하나의 캐비티의 패턴(15)을 갖는데, 이 캐비티의 패턴(15)은 적어도 하나의 라인 쓰루(line through), 적어도 하나의 비아 캐비티(via cavity), 또는 이것들의 조합일 수 있는데, 여기서 상기 적어도 하나의 비아 캐비티는 상기 적어도 하나의 라인 쓰루의 아래에 놓인다. 본 발명의 설명을 위해, 적어도 하나의 비아 캐비티(15)가 채용되는데, 이 적어도 하나의 비아 캐비티(15)는 비아 캐비티 및 그 위의 라인 쓰루를 포함하는 듀얼 다마신(dual damascene) 캐비티이다. 본 발명은 다른 금속 배선 구조들에 채용될 수도 있다. 이러한 다른 금속 배선 구조들의 예들에는 일반적으로, 적어도 하나의 비아 캐비티를 포함하는 패턴된 유전층은 포함하고 라인 쓰루는 포함하지 않는 패턴된 유전층, 적어도 하나의 라인 쓰루는 포함하고 라인 쓰루는 포함하지 않는 패턴된 유전층, 적어도 하나의 라인 쓰루는 포함하고 비아 캐비티는 포함하지 않는 패턴된 유전층, 복수의 비아 캐비티들 및 복수의 라인 캐비티들을 포함하는 패턴된 유전층 등이 있다. 이러한 다양한 변형 예들도 여기서 분명히 고려된다.
상기 듀얼 다마신 캐비티는 당해 기술 분야에서 알려진 듀얼 다마신 집적 기술을 채용하는 두 개의 리소그래피 단계들 및 두 개의 식각 단계들의 세트에 의해 형성될 수 있다. 상기 비아 캐비티는 상기 라인 캐비티의 형성에 앞서 형성될 수 있고, 또는 상기 듀얼 다마신 집적 기술의 세부 사항들에 의존하여 그 반대일 수 있다. 위에서 나타낸 바와 같이, 본 발명은 듀얼 다마신 집적 기술들 뿐만 아니라 싱글 다마신 집적 기술들에도 호환가능하다. 패턴된 유전층(10)에 캐비티를 형성하는 어떤 금속 배선 구조라도 본 발명을 실시하기 위해 채용될 수 있다.
패턴된 유전체층(10)은 BEOL 배선 구조들에 전형적으로 채용되는 유전체 재료를 포함한다. 패턴된 유전층(10)을 위해 사용될 수 있는 유전체 재료들은 실리케이트 글래스(silicate glass), 유기 실리케이트 글래스(organosilicate glass, OSG) 재료, SiCOH 기반의 로우-케이 재료 - 이는 화학 기상 증착에 의해 형성됨 -, 스핀온 글래스(spin-on glass, SOG), 또는 SiLKTM과 같은 스핀온 로우-케이 유전체 재료를 포함할 수 있으나, 이러한 예들로 한정되는 것은 아니다. 상기 실리케이트 글래스는 언도우프된 실리케이트 글래스(undoped silicate glass, USG), 보로실리케이트 글래스(borosilicate glass, BSG), 포스포실리케이트 글래스(phosphosilicate glass, PSG), 플루오로실리케이트 글래스(fluorosilicate glass, FSG), 보로포스포실리케이트 글래스(borophosphosilicate glass, BPSG) 등을 포함한다. 상기 유전체 재료는 낮은 유전체 상수(로우-케이) 재료일 수 있는데, 이 로우-케이 재료는 3.0보다 낮은 유전체 상수를 갖는다. 상기 유전체 재료는 무통기성(non-porous) 또는 통기성(porous)일 수 있다.
패턴된 유전층(10)의 유전체 재료는 플라즈마 인핸스드 화학 기상 증착, 고밀도 플라즈마 화학 기상 증착, 열 화학 기상 증착, 스핀 코트 및 경화(spin coat and cure) 등에 의해 형성될 수 있다. 패턴된 유전층(10)의 두께는 약 100nm 내지 약 2,000nm 일 수 있고, 전형적으로는 약 200nm 내지 약 1,000nm일 수 있다. 그러나, 여기서는 이보다 더 작거나 더 큰 두께들도 또한 고려될 수 있다.
패턴된 유전층(10)은 기판(미도시) 상에 형성될 수 있다. 패턴된 유전층(10)을 형성하기 위해 채용될 수 있는 전형적인 기판은 적어도 하나의 반도체 디바이스(예를 들어, 전계 효과 트랜지스터, 바이폴라 트랜지스터, 접합 다이오드, 커패시터, 저항, 인덕터 등)를 포함하는 반도체 기판이다. 이 경우, 상기 제1의 예시적인 금속 배선 구조는 더 큰 금속 배선 구조(미도시) 내에 편입되는데, 이 더 큰 금속 배선 구조는 다수 레벨의 금속 와이어링 구조들(metal wiring structures)을 포함하고 상기 반도체 기판 상의 반도체 디바이스들 사이뿐만 아니라 상기 반도체 디바이스들과 본딩 구조(예를 들어, C4 패드들 또는 와이어본드 패드들) 사이에 전기적 와이어링을 제공한다.
금속 질화물 라이너(20)는 패턴된 유전층(10)의 패턴된 표면들 상에 직접 형성된다. 상기 패턴된 표면들은 비아 커패시티의 바텀 표면, 상기 비아 커패시티의 측벽 표면들, 상기 라인 쓰루의 바텀 표면, 상기 라인 쓰루의 측벽 표면들을 포함한다. 금속 질화물 라이너(20)는 도전성 금속 질화물, 즉 원소 금속의 도전성 질화물, 적어도 두 개의 원소 금속들의 금속간 합금, 또는 적어도 하나의 원소 금속의 합금 및 적어도 하나의 비금속 원소(예를 들어, 반도체 원소들)을 포함한다. 전형적으로는, 금속 질화물 라이너(20)는 도전성 금속 질화물로 구성된다. 예를 들어, 금속 질화물 라이너(20)는 TaN, TiN, WN, TaSiN, TiSiN, 및 WSiN을 포함할 수 있다. 금속 질화물 라이너(20)는 화학량적(stoichiometric)일 수도 있고 또는 비화학량적(non-stoichimetric)일 수도 있다. 금속 질화물 라이너(20)가 비화학량적인 경우, 금속 질화물 라이너(20)는 금속 질화물 라이너(20)가 도전성인 한 질소-리치(nitrogen rich) 또는 질소 결핍(nitrogen deficient)일 수 있다.
전형적으로, 금속 질화물 라이너(20)는 물리 기상 증착(PVD)에 의해 증착되는데, 이는 진공 상태에서 수행되는 스퍼터링 프로세스이다. 금속 입자들은 진공 프로세스 챔버 내에서 질소 분위기 하에서 스퍼터링 타겟으로부터 축출되고, 상기 진공 프로세스 챔버 내에 배치된 패턴된 유전층(10)의 표면 상에 증착된다. PVD 프로세스는 방향성(directional)이다. 따라서, 수직 표면 상에보다 리세스되지 않거나 돌출된 수평 표면 상에 더 많은 재료가 증착된다. 또한, 상기 수직 표면의 바텀 부분 상에보다 수직 표면의 탑 부분 상에 더 많은 재료가 증착된다. 따라서, 금속 질화물 라이너(20)의 두께는 측정 위치에 의존하여 변한다. 금속 질화물 라이너(20) 내의 변화를 포함하여, 금속 질화물 라이너(20)의 두께는 약 2nm 내지 약 20nm일 수 있다. 그러나, 여기서는 더 작거나 더 큰 두께들도 또한 고려된다. 몇몇 실시예들에서, 금속 질화물 라이너(20)는 화학 기상 증착 또는 원자층 증착(ALD)에 의해 형성될 수 있다.
금속 질화물 라이너(20)는 패턴된 유전층(10) 상에 직접 충분한 접착 강도를 갖는 도전성 표면을 제공하는 기능을 수행한다. 나아가, 상기 금속 질화물 라이너는 유동 원자들(mobile atoms)과 같은 불순물 재료들이 금속 질화물 라이너(20)를 통하여 확산되지 않도록 하기 위해, 충분한 장벽으로서 기능한다. 패턴된 유전층(10)의 노출된 표면 전체가 금속 질화물 라이너(20)에 의해 덮힐 필요가 있고 또한 상기 PVD 프로세스가 본래 통계에 근거하므로, 사용가능한 두께 범위의 하한(lower end) 쪽으로(즉, 2.0nm 쪽으로) 금속 질화물 라이너(20)의 두께가 감소하는 것은 패턴된 유전층(20)의 불충분한 커버리지를 초래하는 경향이 있고, 이에 따라 접착 성능 및 확산 장벽으로서의 효과를 저하시킨다.
금속 라이너(30)는 금속 질화물 라이너(20)의 표면들 상에 직접 형성된다. 금속 질화물 라이너(30)는 금속 질화물 라이너(20) 전체 위에 형성된다. 금속 라이너(30)는 금속 질화물 라이너(20)와 원소 금속 - 이 원소 금속은 적어도 하나의 캐비티(15)를 채우고 전류를 위해 주요 전류 도전 경로를 제공하기 위해 전형적으로 채용됨 - 사이의 충분한 접착 강도를 제공하는 중간층으로서 기능한다. 전형적으로, 상기 적어도 하나의 캐비티를 채우기 위해 채용되는 원소 금속은 Cu이다. 금속 라이너(30)는 원소 금속, 적어도 두 개의 원소 금속들의 금속간 합금을 포함한다. 전형적으로, 금속 라이너(30)는 원소 금속, 적어도 두 개의 원소 금속들의 금속간 합금으로 구성된다. 금속 질화물 라이너(20)와 원소 Cu에게 높은 접착 강도를 제공하는 재료들에는, Ta, Ru, Ti, Co, W, Fe, Ni, Ir, Rh, Re, Pt 등 그리고 이것들의 금속간 합금들이 포함된다.
금속 라이너(30)는 물리 기상 증착(PVD) 또는 화학 기상 증착(CVD)에 의해 증착될 수 있다. 금속 라이너(30) 내의 변화를 포함하여, 금속 라이너(30)의 두께는 약 2nm 내지 약 10nm일 수 있다. 그러나, 여기서는 더 작거나 더 큰 두께들도 또한 고려된다.
금속 라이너(30)의 두께가 금속 배선 구조들의 스케일링에 따라 감소하므로, 막(film)은 불균일 또는 비연속적이 될 수 있다. 이는 얇은 금속 라이너 영역(33)의 형성을 유도하는데, 이 얇은 금속 라이너 영역(33)은 주위 영역들보다 더 작은 두께를 갖는 부분 또는 홀(hole)을 포함하는 금속 라이너(30)의 영역이다. 얇은 금속 라이너 영역(33)은 적어도 하나의 캐비티(15)의 바텀에 위치하는 비아 캐비티의 측벽들의 하부와 같은 프로세스를 위한 낮은 스텝 커버리지를 갖는 위치에서 전형적으로 발생한다. 얇은 금속 라이너 영역(33)에서, 금속 질화물 라이너(20)의 표면이 노출되도록 하기 위해, 금속 라이너(30)는 불연속적일 수 있다.
컨포멀 접착 프로모터 라이너(40)는 금속 라이너(30) 상에 직접 형성된다. 컨포멀 접착 프로모터 라이너(40)는 원자층 증착(ALD) 또는 화학 기상 증착(CVD)에 의해 형성되는데, 이는 심지어 높은 형상비의 기하학적 구조들(즉, 캐비티의 깊이와 상기 캐비티의 폭 사이의 비에 대해, 더 큰 수, 예를 들어, 3.0보다 더 큰 수를 갖는 기하학적 구조들)에 대해서도, 90%보다 더 큰 스텝 커버리지를 갖는 컨포멀 막을 형성한다. 컨포멀 접착 프로모터 라이너(40)는 금속 질화물 라이너(20)와 도전성 재료 사이의 접착력을 향상시킨다. 상기 도전성 재료는 계속해서 증착될 Cu 시드층이다. 컨포멀 접착 프로모터 라이너(40)의 두께는 약 0.5nm 내지 약 20nm일 수 있다. 일 예에서, 컨포멀 접착 프로모터 라이너(40)의 두께는 약 0.5nm 내지 약 5.0nm일 수 있다.
예를 들어, 컨포멀 접착 프로모터 라이너(40)는 구리 질화물을 포함한다. 바람직한 실시에에서, 컨포멀 접착 프로모터 라이너(40)는 기본적으로 구리 질화물로 구성된다. 금속 질화물 라이너(20)의 어떤 표면이 얇은 금속 라이너 영역(33) 내의 금속 라이너(30)에서의 홀 내에 노출되는 경우, 컨포멀 접착 프로모터 라이너(40)의 구리 질화물은 금속 질화물 라이너(20) 및 계속해서 증착될 Cu 시드층에 직접 접촉한다. 상기 구리 질화물 재료에서 질소 원자들은 금속 질화물 라이너(20)의 재료에 대해 높은 접착 강도를 유도하며, 금속 질화물 라이너(20)의 재료는 또한 질소를 포함한다. 상기 구리 질화물 재료에서 구리 원자들은 계속해서 증착될 Cu 시드층에 대해 높은 접착 강도를 유도한다. 나아가, 컨포멀 접착 프로모터 라이너(40)는 컨포멀하므로, 금속 라이너(30) 내의 모든 홀들은 컨포멀 접착 프로모터 라이너(40)의 재료로 채워진다.
주위 영역들에 비해 금속 라이너(30)의 더 얇은 부분을 포함하는 얇은 금속 라이너 영역(33)의 다른 부분들에서, 접착 프로모터 라이너(40)의 컨포멀 커버리지는 금속 라이너(30)에 의해 계속해서 증착될 재료에게 제공되는 접착 강도를 강요한다.
전형적으로, 금속 질화물 라이너와 원소 금속(예를 들어, Cu 시드층) 사이의 접착 강도는 금속 질화물 라이너와 상기 원소 금속 사이의 접착 강도보다 낮다. 왜냐하면 상기 금속 질화물 라이너에 존재하는 질소 원자들이 접착 강도를 감소시키는 경향이 있기 때문이다. 이러한 이유로 인해, 상기 Cu 시드층과 금속 질화물 라이너(30) 사이의 직접적인 접촉은 바람직하지 않으며 피해야 한다. 그러나, 본 발명에서 채용되는 구리 질화물 재료는 Cu 시드층에 양호한 접착 강도를 제공한다. 왜냐하면 상기 구리 질화물 재료가 Cu를 포함하기 때문이다. 나아가, 상기 구리 질화물 재료에 존재하는 질소 원자들은 질소 원자들도 또한 포함하는 금속 질화물 라이너에게 양호한 접착력을 제공한다. 이 점에서, 상기 구리 질화물은 상기 Cu 시드층과 같은 Cu 재료와의 직접적인 접촉하에서 높은 접착 강도를 제공함에 의해 금속 질화물 재료들 사이의 예외를 제공한다. 증착의 컨포멀한 성질, 즉 ALD 프로세스들 및 CVD 프로세스들의 고유의 높은 컨포멀한 성질은 노출된 표면들의 완전한 커버리지를 가능하게 한다. 그래서 얇은 금속 라인 영역(33) 내의 금속 라이너(30)의 얇은 부분 또는 어떤 홀이 컨포멀 접착 프로모터 라이너(40)로 덮히도록 한다. 금속 질화물 라이너(20), 금속 라이너(30), 및 컨포멀 접착 프로모터 라이너(40)는 함께 도전성 금속 라이너 스택(20, 30, 40)를 구성한다.
컨포멀 접착 프로모터 라이너(40)가 원자층 증착(ALD)에 의해 형성되는 경우, 구리 아미디네이트(amidinate) 및 암모니아에 대해 번갈아가며 수행되는 일련의 노출들이 채용된다. 구리 아미디네이트들에 대한 화학식은 당해 기술 분야에 알려져 있다. 상기 ALD 프로세스는 전형적으로 약 150℃ 내지 약 300℃의 온도에서 수행된다. 구리 아미디네이터의 부분압(partial pressure)은 구리 아미네이트에 대한 노출의 각 사이클 동안, 약 1mTorr 내지 약 1Torr이다. 그러나 여기서는 더 낮거나 더 높은 부분압들도 또한 고려된다. 구리 아미네이트에 대한 노출 시간은 노출 사이클 당 약 0.1초 내지 약 300초일 수 있다. 전형적으로는 노출 사이클 당 약 1초 내지 약 30초이다. 암모니아의 부분압은 암모니아에 대한 노출의 각 사이클 동안 약 10mTorr 내지 약 10Torr이다. 암모니아에 대한 노출 시간은 전형적으로 노출 사이클 당 약 1초 내지 약 30초이다. 전형적으로, 상기 ALD 프로세서를 위한 프로세스 챔버는 구리 아미디네이트에 대한 각각의 노출과 암모니아에 대한 후속 또는 선행 노출 사이의 기본 압력(base pressure)에 대해 펌프된다. 선택적으로는, 상기 프로세스 챔버는 구리 아미디네이트에 대한 각각의 노출과 암모니아에 대한 후속 또는 선행 노출 사이에 불활성 기체(inert gas)로 퍼지(purge)될 수 있다. 구리 아미디네이트에 대한 노출과 암모니아에 대한 노출의 하나의 세트는 구리 질화물의 하나의 원자층을 형성한다.
컨포멀 접착 프로모터 라이너(40)가 화학 기상 증착(CVD)에 의해 형성되는 경우, 구리 아미디네이트 및 암모니아는 프로세스 챔버 내로 동시에 흘러들어간다. 상기 CVD 프로세스는 전형적으로 약 150℃ 내지 약 350℃의 온도에서 수행된다. 구리 아미디네이트의 부분압은 상기 CVD 프로세스 동안 약 1mTorr 내지 약 10Torr이다. 그러나, 여기서는 더 낮거나 더 높은 압력들도 또한 고려된다. 암모니아의 부분압은 크기와 동일한 순서이다. 상기 CVD 프로세스에서 구리 질화물의 성장률은 약 0.02nm/min 내지 약 10nm/min일 수 있다. 그러나, 여기서는 더 작거나 더 큰 성장률도 또한 고려된다.
구리 질화물의 조성은 채용되는 프로세스 조건들에 의존하여 변할 수 있다. 상기 구리 질화물의 저항은 또한 그 조성에 따라, 즉 상기 구리 질화물의 구리 대 질소의 원자 비에 따라 변한다. 일반적으로, 구리 질화물의 화학 조성은 CuxN으로 주어지며, 여기서 x는 약 1 내지 약 5이다. 일 실시예에서, 상기 구리 질화물은 3.0과 실질적으로 동일한 x의 값으로, 실질적으로 화학량적이다. Cu3N은 Cu와 N의 화학량적 화합물이다. 그러나, 위에서 나타낸 바와 같이, 질소 함량 및 구리 질화물의 저항은 ALD 프로세스 또는 CVD 프로세스 동안의 프로세스 조건들(예를 들어, 증착 온도들)을 조정함에 의해 변할 수 있다. 증착 온도가 더 높으면 높을수록, 구리 질화물 막들에서의 구리량도 더 높아진다.
도 4를 참조하면, 구리 시드층(50) 및 전기도금된 도전성 구조(60)가 적어도 하나의 캐비티(15)에 형성된다. 컨포멀 접착 프로모터 라이너(40)의 맨 위 표면 위의, 전기도금된 도전성 구조(60), Cu 시드층(50), 컨포멀 Cu-리치 도전층(45), 컨포멀 접착 프로모터 라이너(40), 금속 라이너(30), 및 금속 질화물 라이너(20)의 부분들은 설명을 명확히 하기 위해 도 7에는 도시되지 않았다.
Cu 시드층(50)은 컨포멀 접착 프로모터 라이너(40)의 표면들 상에 직접 증착되는데, 컨포멀 접착 프로모터 라이너(40)는 위에서 논한 바와 같이 구리 질화물을 포함한다. Cu 시드층(50)은 Cu를 포함하고, 바람직하게는 Cu로 구성된다. 전형적으로, PVD에 의해 형성된 Cu 시드층(50)은 Cu 시드층들의 다른 유형들에 비해 우수한 성능을 제공한다. 위에서 논한 바와 같이, PVD 프로세스의 사용은 막의 두께에서 변화들을 유도한다. 따라서, Cu 시드층(50)은 막 전체에서 두께 변화를 갖는다. 낮은 스텍 커버리지를 갖는 위치, 즉 비아 캐비티의 측벽들의 바텀 부분에 충분한 시딩 재료(seeding material)를 제공하기 위해, 시딩을 위해 Cu 재료의 최소량의 두께를 넘어서는 두께를 갖는 Cu 시드 재료 부분이 수평 표면들의 리세스되지 않은 또는 돌출된 부분 상에 형성된다. Cu 시드층(50) 내의 두께 변화들을 포함하여, Cu 시드층(50)의 두께는 전형적으로 약 10nm 내지 약 60nm이다. 그러나, 여기서는 더 작거나 더 큰 두께들도 또한 고려된다.
전기도금된 도전성 구조(60)를 형성하는 적어도 하나의 캐비티(15)를 완전히 채우기 위해 Cu 시드층(50) 상에 직접 금속이 전기도금된다. 상기 비아 캐비티와 상기 적어도 하나의 캐비티 내의 라인 쓰루 둘 다 상기 전기도금된 재료로 채워진다. 바람직하게는, 상기 전기도금된 금속은 Cu를 포함한다. Cu를 전기도금하는 방법들은 당해 기술 분야에서 알려져 있다. 상기 전기도금된 재료가 Cu를 포함하는 경우, 상기 전기도금된 재료는 또한 알려진 전기도금 방법들에 따라 전기도금 Cu 재료 내에 도입되는 불순물 원자들을 포함한다.
도 5를 참조하면, 전기도금된 도전성 구조(60)가 전기도금된 Cu를 포함하는 경우, 제1의 예시적인 금속 배선 구조는 100℃ 보다 높게 상승된 온도에서, 전형적으로는 약 150℃ 내지 약 400℃의 상승된 온도에서 어닐될 수 있고, 그래서 Cu 시드층(50) 및 전기도금된 도전성 구조(60)에서 입자 성장을 촉진하도록 한다. 상기 어닐 후, 전기도금된 도전성 구조(60) 및 Cu 시드층(50)은 컨포멀 접착 프로모션 라이너(40)에 인접하는 일체 구조의 도전성 Cu 구조(80)를 형성하기 위해 구조적으로 병합된다. 전형적으로, 일체 구조의 도전성 Cu 구조(80)는, 전기도금된 도전성 구조(60)와 Cu 시드층(50)의 병합으로 인해 그것의 용적 전체 내에 계면 표면들이 없다. 접착 프로모터 라이너(40)와 도전성 Cu 구조(80) 사이의 계면은 완만(gradual)하다. 컨포멀 접착 프로모션 라이너(40)는 구리-리치 구리 질화물(coper-rich copper nitride)로 부분적으로 분해될 수 있다. 상기 구리-리치 구리 질화물은 구조(80)에 대해 양호한 접착력을 형성한다.
패턴된 유전체층(10)의 맨 위 표면 위에 위치한, 상기 전기도금 재료, Cu 시드층(50), 컨포멀 접착 프로모터 라이너(40), 금속 라이너(30), 및 금속 질화물 라이너(20)의 부분들은 평탄화에 의해 제거된다. 상기 평탄화는, 예를 들어, 화학적 기계적 평탄화(chemical mechanical planarization, CMP)에 의해 수행될 수 있다. 패턴된 유전층(10)의 맨 위 부분은 유전체 하드마스크층(개별적으로는 미도시)을 포함할 수 있는데, 유전체 하드마스크층은 CMP 프로세스를 위한 정지층(stopping layer)으로서 채용될 수 있다. 평탄화의 마지막에서, 상기 전기도금된 재료의 남은 부분은 전기도금된 도전성 구조(60)를 구성한다. 바람직한 실시예에서, 전기도금된 도전성 구조(60)는 전기도금된 Cu 재료를 포함하는데, 이 전기도금된 Cu 재료는 위에서 논한 바와 같이 불순물들을 포함한다.
금속 질화물 라이너(20), 금속 라이너(30), 컨포멀 접착 프로모터 라이너(40), Cu 시드층(50), 및 전기도금된 도전성 구조(60)는 적어도 하나의 캐비티(15)(도 3 참조)을 완전히 채우며, 이 적어도 하나의 캐비티(15)는 상기 비아 캐비티를 덮는 라인 쓰루일 수 있다.
도 6을 참조하면, 제2의 예시적인 금속 배선 구조가 본 발명의 제1 실시예에 따른 도 3의 제1 금속 배선 구조로부터 유도된다. 제2 실시예에서, 컨포멀 접착 프로모터 라이너(40)는 구리 질화물을 포함하고, 바람직하게는 구리 질화물로 구성된다. 도 3의 제1의 예시적인 금속 배선 구조의 형성 후, 도 6의 제2의 예시적인 금속 배선 구조는 약 150℃ 내지 약 400℃의 상승된 온도에서 컨포멀 접착 프로모터 라이너(40)를 어닐함으로써 유도된다. 컨포멀 접착 프로모터 라이너(40)의 노출된 외측 부분은 컨포멀 Cu-리치 도전층(45)으로 전환된다. 일 실시예에서, 컨포멀 Cu-리치 도전층(45)은 원소 Cu층을 포함한다. 상기 원소 Cu층은 실질적으로 원자 질소가 없고, 기본적으로 Cu로 구성된다. 다른 실시예에서, 컨포멀 Cu-리치 도전층은 CuNδ의 조성을 갖는 구리 리치 구리 질화물층을 포함한다. 여기서 δ는 약 0.001 내지 약 0.3일 수 있고, 전형적으로는 약 0.001 내지 약 0.1이고, 더 전형적으로는 약 0.001 내지 약 0.02이다. 컨포멀 Cu-리치 도전층(45)과 컨포멀 접착 프로모터 라이너(40) 사이의 계면은 완만(gradual)할 수 있다. 그래서 질소의 농도가 상기 계면을 따라 점차적으로 변하도록 한다. 전형적으로 약 5-10%의 질소 기체 및 약 90-95%의 질소 기체를 포함하는 기체를 형성하는 것은 컨포멀 접착 프로모터 라이너(40)의 외측 부분을 컨포멀 Cu-리치 도전층(45)으로 전환시키는 어닐 동안 주변 기체(ambient gas)로서 채용될 수 있다.
컨포멀 Cu-리치 도전층(45)은 컨포멀하다. 왜냐하면 상기 구리 질화물 재료의 원소 Cu로의 화학적 전환은 동일한 비율의 형상비 각각에서 진행되는 화학적 반응이다. 컨포멀 접착 프로모터 라이너(40)의 두께는 상기 어닐 이전에 약 0.5nm 내지 약 20nm이다. 제2 실시예에서, 컨포멀 접착 프로모터 라이너(40)의 부분의 컨포멀 Cu-리치 도전층(45)으로의 전환을 가능하게 하기 위해, 상기 어닐 이전에 컨포멀 접착 프로모터 라이너(40)의 두께는 바람직하게는 약 2nm 내지 약 20nm이다. 컨포멀 Cu-리치 도전층(45)은 약 0.5nm 내지 약 20nm일 수 있다. 그러나, 여기서는 더 작거나 더 큰 두께들도 또한 고려된다. 상기 어닐 후, 컨포멀 접착 프로모터 라이너(40)의 두께는 약 0.5nm 내지 약 19.5nm일 수 있다. 그러나, 여기서는 더 작거나 더 큰 두께들도 또한 고려된다. 컨포멀 접착 프로모터 라이너(40)의 남은 부분과 컨포멀 Cu-리치 도전층(45) 사이의 접착 강도는 높다. 왜냐하면, 상기 두 층들은 동일한 원소, 즉 Cu를 포함하고, 또한 상기 어닐 이전에 원 컨포멀 접착 프로모터 라이너(40)로부터 유도되기 때문이다.
도 7을 참조하면, Cu 시드층(50) 및 전기도금 도전성 구조(60)는 적어도 하나의 캐비티(15)에 형성된다. 컨포멀 Cu-리치 도전층(45)의 맨 위 표면 위의, 전기도금 도전성 구조(60), Cu 시드층(50), 컨포멀 Cu-리치 도전층(45), 컨포멀 접착 프로모터 라이너(40), 금속 라이너(30), 및 금속 질화물 라이너(20)의 부분들은 설명을 명확히 하기 위해 도 7에는 도시되지 않았다.
Cu 시드층(50)은 컨포멀 Cu-리치 도전층(45)의 표면들 상에 직접 배치된다. Cu 시드층(50) 및 컨포멀 Cu-리치 도전층(45)이 Cu를 포함하기 때문에, Cu 시드층(50) 및 컨포멀 Cu-리치 도전층(45) 사이의 접착 강도는 높다. Cu 시드층(50)은 제1 실시예에서와 동일한 방법들에 의해, 즉 PVD에 의해 형성될 수 있다. 만약 PVD가 채용된다면, Cu 시드층(50)은 상기 막 전체에 걸쳐 두께 변화를 갖는다. 컨포멀 Cu-리치 도전층(45)이 추가 cu 재료를 제공하기 때문에, Cu 시드층(50)의 두께는 제1 실시예에서 Cu 시드층(50)의 두께보다 더 작을 수 있고, 약 5nm 내지 약 60nm일 수 있다. 그러나, 여기서는 더 작거나 더 큰 두께들도 또한 고려된다. 본 발명에 따라, Cu 시드층(50)에 더 적은 재료가 요구될 수 있다. 왜냐하면, 밑에 있는 층들은 비아 홀의 측벽들의 컨포멀 커버리지를 제공하기 때문이다. 따라서, Cu 시드층(50)을 포함하는 도전성 재료들의 프로파일은 상기 비아 홀의 상부에서 더 넓은 오프닝(opening)을 발생시킨다. 그리하여, 계속되는 구리 전기도금된 프로세스 동안 핀치-오프(pinch-off)가 방지되도록 한다.
제1 실시예에서와 같이 적어도 하나의 캐비티(15)(도 6 참조)를 완전히 채우기 위해 Cu 시드층(50) 상에 직접 금속이 전기도금된다. 상기 비아 캐비티와 상기 적어도 하나의 캐비티 내의 라인 쓰루는 상기 전기도금된 재료로 완전히 채워진다. 바람직하게는, 상기 전기도금된 금속은 Cu를 포함한다.
도 8을 참조하면, 전기도금된 도전성 구조(60)가 전기도금된 Cu를 포함하는 경우, 컨포멀 Cu-리치 도전층, Cu 시드층(50), 및 전기도금된 도전성 구조(60)에서 입자 성장을 촉진시키기 위해, 상기 제2의 예시적인 금속 배선 구조는 100℃ 보다 높게 상승된 온도에서, 전형적으로는 약 150℃ 내지 약 400℃로 상승된 온도에서 어닐될 수 있다. 상기 어닐 후, 전기도금된 도전성 구조(60), Cu 시드층(50), 및 컨포멀 Cu-리치 도전층(45)의 컨포멀 원소 Cu층은, 컨포멀 접착 프로모션 라이너(40)에 인접하는 일체의 구조의 도전성 Cu 구조(80)를 형성하기 위해 구조적으로 병합된다. 컨포멀 Cu-리치 도전층(45) 전체가 컨포멀 원소 Cu층으로 전환되는 경우, 컨포멀 Cu-리치 도전층(454) 전체는 도전성 Cu 구조(80) 내에 편입된다. 전형적으로, 일체 구조의 도전성 Cu 구조(80)는 전기도금된 도전성 구조(60), Cu 시드층(50), 및 컨포멀 Cu-리치 도전층(45)의 병합으로 인해, 그것의 용적 전체 내에 계면 표면들이 없다. 도전성 Cu 구조(80)는 전형적으로 Cu 및 불순물들로 구성된다. 상기 불순물들은 O, N, C, Cl 및 S를 포함하는데, 불순물들의 총 농도는 약 1ppm 내지 약 200ppm이다.
패턴된 유전층(10)의 맨 위 표면 위에 위치한, 전기도금 재료, Cu 시드층(50), 컨포멀 Cu-리치 도전층(45), 컨포멀 접착 프로모터 라이너(40), 금속 라이너(30), 및 금속 질화물 라이너(20)의 부분들은 평탄화에 의해 제거된다. 상기 평탄화는, 예를 들어, 화학적 기계적 평탄화(CMP)에 의해 수행될 수 있다. 패턴된 유전층(10)의 맨 위 부분은 유전체 하드마스크층(개별적으로 미도시)을 포함할 수 있는데, 이 유전체 하드마스크층은 CMP 프로세스를 위한 정지층으로서 채용될 수 있다. 평탄화의 마지막에서, 상기 전기도금된 재료의 남은 부분은 전기도금된 도전성 구조(60)를 구성한다. 바람직한 실시예에서, 상기 전기도금된 도전성 구조는 전기도금된 Cu 재료를 포함하는데, 이 전기도금된 Cu 재료는 위에서 논한 바와 같은 불순물들을 포함한다.
금속 질화물 라이너(20), 금속 라이너(30), 컨포멀 접착 프로모터 라이너(40), 컨포멀 Cu-리치 도전층(45), Cu 시드층(50), 및 전기도금된 도전성 구조(60)는 적어도 하나의 캐비티(15)(도 6 참조)를 완전히 채우는데, 이 적어도 하나의 캐비티(15)는 상기 비아 캐비티를 덮는 라인 쓰루일 수 있다. 금속 질화물 라이너(20)의 표면, 금속 라이너(30)의 표면, 컨포멀 접착 프로모터 라이너(40)의 표면, 컨포멀 Cu-리치 도전층(45)의 표면, Cu 시드층(50)의 표면, 전기도금된 도전성 구조(60)의 표면은 상기 제1의 예시적인 금속 배선 구조의 탑에서 서로 간에 실질적으로 수평이고 동일평면상에 있다. 나아가, 전기도금된 도전성 구조(60)의 탑 표면은 패턴된 유전체층(10)의 맨 위 표면과 실질적으로 동일 평면상에 있다.
본 발명은 특정 실시예들에 의해 기술되지만, 당해 기술 분야에서 숙련된 자들에게는, 많은 대안, 변경 및 변형 예들이 자명할 것이라는 것은 앞서 기술한 설명에 비추어 분명하다. 따라서, 발명은 이러한 대안, 변경, 및 변형 예들을 모두 포함하도록 의도되며, 이러한 대안, 변경, 및 변형 예들은 모두 발명 및 이하의 청구항들의 범위 및 사상 내에 들어온다.

Claims (25)

  1. 반도체 구조를 형성하는 방법에 있어서,
    기판 상에 패턴된 유전층(10)을 형성하는 단계;
    상기 패턴된 유전층(10)의 패턴된 표면들 상에 직접(directly on) 금속 질화물 라이너(20)를 형성하는 단계;
    상기 금속 질화물 라이너(20) 상에 직접 원소 금속(elemental metal) 또는 금속간 화합물(intermetallic alloy)을 포함하는 금속 라이너(30)를 형성하는 단계; 및
    화학 기상 증착(CVD) 또는 원자층 증착(ALD)에 의해 상기 금속 라이너(30) 상에 직접 구리 질화물을 포함하는 컨포멀 접착 프로모터 라이너(40)를 형성하는 단계를 포함하는
    반도체 구조를 형성하는 방법.
  2. 청구항 1에 있어서, 상기 반도체 구조를 형성하는 방법은
    상기 컨포멀 접착 프로모터 라이너(40) 상에 직접 Cu 시드층(50)을 형성하는 단계를 더 포함하는
    반도체 구조를 형성하는 방법.
  3. 청구항 2에 있어서, 상기 반도체 구조를 형성하는 방법은
    상기 Cu 시드층(50) 상에 직접 Cu 재료(60)를 전기도금하는 단계를 더 포함하는
    반도체 구조를 형성하는 방법.
  4. 청구항 3에 있어서, 상기 패턴된 유전층(10)은 적어도 하나의 라인 쓰루(line through) 및 비아 캐비티(via cavity)(15)를 포함하는
    반도체 구조를 형성하는 방법.
  5. 청구항 4에 있어서, 상기 라인 쓰루 또는 상기 비아 캐비티(15)는 상기 Cu 재료(60)의 상기 전기도금에 의해 완전히 채워지는
    반도체 구조를 형성하는 방법.
  6. 청구항 3에 있어서, 상기 반도체 구조를 형성하는 방법은
    상기 전기도금된 Cu 재료(60)를 평탄화하는 단계를 더 포함하되,
    상기 금속 질화물 라이너(20)의 표면, 상기 금속 라이너(20)의 표면, 상기 컨포멀 접착 프로모터 라이너(40)의 표면, 상기 전기도금된 Cu 재료(60)의 표면은 실질적으로 수평이고 동일 평면상에 있는
    반도체 구조를 형성하는 방법.
  7. 청구항 6에 있어서, 상기 반도체 구조를 형성하는 방법은
    상기 전기도금된 Cu 재료(60) 및 상기 Cu 시드층(15)을 어닐(anneal)하는 단계를 더 포함하되, 상기 전기도금된 Cu 재료(60) 및 상기 Cu 시드층(50)은 그것의 용적 전체 내에 계면 표면들(interfacial surfaces)이 없는 일체의 구조(integral construction)의 도전성 Cu 구조(80)를 형성하기 위해 구조적으로 병합되는
    반도체 구조를 형성하는 방법.
  8. 청구항 1에 있어서, 상기 컨포멀 접착 프로모터 라이너(40)는 구리 아미디네이트(amidinate) 및 암모니아에 대해 교대로 수행되는 일련의 노출들에 의해 원자층 증착으로 형성되는
    반도체 구조를 형성하는 방법.
  9. 청구항 8에 있어서, 상기 ALD는 150℃ 내지 300℃의 온도에서 수행되고, 구리 아미디네이트에 대한 노출의 각 사이클 동안, 구리 아미디네이트의 부분압은 1mTorr 내지 1Torr인
    반도체 구조를 형성하는 방법.
  10. 청구항 1에 있어서, 상기 컨포멀 접착 프로모터 라이너(40)는 화학 기상 증착(CVD)에 의해 형성되며, 이 화학 기상 증착은 구리 아미디네이트 및 암모니아를 동시에 공급(flow)하는 방법을 채용하는
    반도체 구조를 형성하는 방법.
  11. 청구항 10에 있어서, 상기 CVD는 150℃ 내지 350℃의 온도에서 수행되고, 상기 CVD 동안, 구리 아미디네이트의 부분압은 1mTorr 내지 100Torr인
    반도체 구조를 형성하는 방법.
  12. 청구항 1에 있어서, 상기 구리 질화물은 CuxN의 조성을 갖되, x는 1 내지 5인
    반도체 구조를 형성하는 방법.
  13. 청구항 1에 있어서, 상기 반도체 구조를 형성하는 방법은
    150℃ 내지 400℃의 상승된 온도에서 상기 컨포멀 접착 프로모터 라이너(40)를 어닐하는 단계를 더 포함하되, 상기 컨포멀 접착 프로모터 라이너(40)의 노출된 외측 부분은 구리 및 질소를 포함하는 컨포멀 Cu-리치(rich) 도전층(45)으로 전환되는
    반도체 구조를 형성하는 방법.
  14. 청구항 13에 있어서, 상기 반도체 구조를 형성하는 방법은
    물리 기상 증착(PVD)에 의해 상기 컨포멀 Cu-리치 도전층(45) 상에 직접 Cu 시드층(50)을 형성하는 단계; 및
    상기 Cu 시드층(50) 상에 직접 Cu 재료(60)를 전기도금하는 단계를 더 포함하는
    반도체 구조를 형성하는 방법.
  15. 청구항 14에 있어서, 상기 반도체 구조를 형성하는 방법은
    상기 전기도금된 Cu 재료(60), 상기 Cu 시드층(50), 및 상기 컨포멀 Cu-리치 도전층(45)을 어닐하는 단계를 더 포함하되, 상기 컨포멀 Cu-리치 도전층(45)은 컨포멀 원소 Cu층을 포함하고, 상기 전기도금된 Cu 재료(60), 상기 Cu 시드층(50), 및 상기 컨포멀 원소 Cu층은 그것의 용적 전체 내에 계면 표면들(interfacial surfaces)이 없는 일체의 구조의 도전성 Cu 구조(80)를 형성하기 위해 구조적으로 병합되는
    반도체 구조를 형성하는 방법.
  16. 금속 배선 구조에 있어서,
    기판 상에 위치하고 적어도 하나의 라인 쓰루(line through) 및 비아 캐비티(via cavity)(15)를 포함하는, 패턴된 유전층(10);
    상기 패턴된 유전층(10)의 패턴된 표면에 인접하는 금속 질화물 라이너(20);
    원소 금속 또는 금속간 합금을 포함하고 상기 금속 질화물 라이너(20)에 인접하는, 금속 라이너(30); 및
    구리 질화물을 포함하고 상기 금속 라이너(30)에 인접하는, 컨포멀 접착 프로모터 라이너(40)를 포함하는
    금속 배선 구조.
  17. 청구항 16에 있어서, 상기 금속 배선 구조는
    상기 컨포멀 접착 프로모터 라이너(40)에 인접하는 Cu 시드층(50)을 더 포함하는
    금속 배선 구조.
  18. 청구항 17에 있어서, 상기 금속 배선 구조는
    전기도금된 도전성 구조(60)를 더 포함하되,
    상기 금속 질화물 라이너(20), 상기 금속 라이너(30), 상기 컨포멀 접착 프로모터 라이너(40), 상기 Cu 시드층(50), 및 상기 전기도금된 도전성 구조(60)는 상기 라인 쓰루 또는 상기 바이 커패시터(15)를 완전히 채우는
    금속 배선 구조.
  19. 청구항 18에 있어서, 상기 금속 질화물 라이너(20)의 표면, 상기 금속 라이너(30)의 표면, 상기 컨포멀 접착 프로모터 라이너(40)의 표면, 및 상기 전기도금된 도전성 구조(60)의 표면은 실질적으로 수평이고 동일 평면상에 있는
    금속 배선 구조.
  20. 청구항 18에 있어서, 상기 전기도금된 도전성 구조(60)는 Cu 및 불순물들로 구성되되, 상기 불순물들은 O, N, C, Cl, 및 S를 포함하고, 상기 불순물들의 총 농도는 1ppm 내지 200ppm인
    금속 배선 구조.
  21. 청구항 16에 있어서, 상기 구리 질화물은 CuxN의 조성을 갖되, 상기 x는 1 내지 5인
    금속 배선 구조.
  22. 청구항 16에 있어서, 상기 컨포멀 접착 프로모터 라이너(40)는 0.5nm 내지 20nm의 두께를 갖는
    금속 배선 구조.
  23. 청구항 16에 있어서, 상기 금속 배선 구조는
    상기 컨포멀 접착 프로모터 라이너(40)에 인접하는 컨포멀 Cu-리치 도전층(45)을 더 포함하는
    금속 배선 구조.
  24. 청구항 23에 있어서, 상기 금속 배선 구조는
    상기 컨포멀 Cu-리치 도전층(45)에 인접하는 Cu 시드층(50); 및
    전기도금된 도전성 구조(60)를 더 포함하되,
    상기 금속 질화물 라이너(20), 상기 금속 라이너(30), 상기 컨포멀 접착 프로모터 라이너(40), 상기 컨포멀 Cu-리치 도전층(45), 상기 Cu 시드층(50), 및 상기 전기도금된 도전성 구조(60)는 상기 라인 쓰루 또는 상기 비아 캐비티를 완전히 채우는
    금속 배선 구조.
  25. 청구항 16에 있어서, 상기 금속 배선 구조는
    용적의 전체 내에 계면 표면들이 없고 상기 컨포멀 접착 프로모터 라이너(40)에 인접하는 일체의 구조의 도전성 Cu 구조(80)를 더 포함하는
    금속 배선 구조.
KR1020117004716A 2008-08-13 2009-08-10 금속 배선들을 위한 컨포멀 접착 프로모터 라이너 KR20110052668A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/190,906 2008-08-13
US12/190,906 US8105937B2 (en) 2008-08-13 2008-08-13 Conformal adhesion promoter liner for metal interconnects

Publications (1)

Publication Number Publication Date
KR20110052668A true KR20110052668A (ko) 2011-05-18

Family

ID=41669219

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117004716A KR20110052668A (ko) 2008-08-13 2009-08-10 금속 배선들을 위한 컨포멀 접착 프로모터 라이너

Country Status (5)

Country Link
US (1) US8105937B2 (ko)
JP (2) JP2011530835A (ko)
KR (1) KR20110052668A (ko)
CN (1) CN102124559B (ko)
WO (1) WO2010019490A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5487473B2 (ja) * 2008-07-22 2014-05-07 国立大学法人東北大学 配線基板及びその製造方法
US8048761B2 (en) * 2009-02-17 2011-11-01 Globalfoundries Singapore Pte. Ltd. Fabricating method for crack stop structure enhancement of integrated circuit seal ring
CN102082119B (zh) * 2010-11-16 2013-04-10 复旦大学 一种选择性淀积钨接触孔或通孔的方法
WO2012121677A1 (en) * 2011-03-09 2012-09-13 Nanyang Technological University Method for depositing gradient films on a substrate surface by atomic layer deposition
TWI502716B (zh) * 2011-05-12 2015-10-01 United Microelectronics Corp 一種製作矽貫通電極的方法
US8481425B2 (en) * 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US9177826B2 (en) * 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
US8859419B2 (en) 2013-02-01 2014-10-14 Globalfoundries Inc. Methods of forming copper-based nitride liner/passivation layers for conductive copper structures and the resulting device
US8753975B1 (en) 2013-02-01 2014-06-17 Globalfoundries Inc. Methods of forming conductive copper-based structures using a copper-based nitride seed layer without a barrier layer and the resulting device
CN103255368B (zh) * 2013-05-10 2015-01-07 杭州电子科技大学 一种改变Cu3N薄膜择优取向的方法
US20150001720A1 (en) * 2013-06-27 2015-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect Structure and Method for Forming Interconnect Structure
US9553059B2 (en) 2013-12-20 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Backside redistribution layer (RDL) structure
US9219033B2 (en) * 2014-03-21 2015-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Via pre-fill on back-end-of-the-line interconnect layer
US10079174B2 (en) 2014-04-30 2018-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Composite contact plug structure and method of making same
US9379057B2 (en) * 2014-09-02 2016-06-28 International Business Machines Corporation Method and structure to reduce the electric field in semiconductor wiring interconnects
US9583386B2 (en) * 2014-10-25 2017-02-28 Lam Research Corporation Interlevel conductor pre-fill utilizing selective barrier deposition
US9431603B1 (en) * 2015-05-15 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device
TWI700799B (zh) 2016-10-04 2020-08-01 聯華電子股份有限公司 導電結構、包含導電結構之佈局結構以及導電結構之製作方法
KR20190042461A (ko) * 2017-10-14 2019-04-24 어플라이드 머티어리얼스, 인코포레이티드 Beol 인터커넥트를 위한 고온 pvd 구리 증착을 이용한 ald 구리의 집적
US11004794B2 (en) 2018-06-27 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Partial barrier free vias for cobalt-based interconnects and methods of fabrication thereof

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2606548B2 (ja) * 1993-04-27 1997-05-07 日本電気株式会社 Cu配線およびその形成方法
JPH06318592A (ja) * 1993-05-10 1994-11-15 Kawasaki Steel Corp 半導体集積回路のCu配線構造体の製造方法
JPH0888224A (ja) * 1994-09-16 1996-04-02 Toshiba Corp 半導体装置およびその製造方法
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
KR100385042B1 (ko) * 1998-12-03 2003-06-18 인터내셔널 비지네스 머신즈 코포레이션 내 일렉트로 마이그레이션의 구조물을 도핑으로 형성하는 방법
US6171949B1 (en) * 1999-06-09 2001-01-09 Advanced Micro Devices, Inc. Low energy passivation of conductive material in damascene process for semiconductors
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
JP3518470B2 (ja) * 2000-03-01 2004-04-12 日本電気株式会社 半導体装置の製造方法
US6365927B1 (en) * 2000-04-03 2002-04-02 Symetrix Corporation Ferroelectric integrated circuit having hydrogen barrier layer
EP1331664A4 (en) * 2000-11-02 2008-10-08 Fujitsu Ltd SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
JP4535629B2 (ja) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US6900119B2 (en) * 2001-06-28 2005-05-31 Micron Technology, Inc. Agglomeration control using early transition metal alloys
US6645853B1 (en) * 2001-12-05 2003-11-11 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
US7067424B2 (en) * 2001-12-19 2006-06-27 Koninklijke Philips Electronics N.V. Method of manufacturing an electronic device
US6518184B1 (en) * 2002-01-18 2003-02-11 Intel Corporation Enhancement of an interconnect
US7109070B2 (en) * 2002-08-07 2006-09-19 Schot Glas Production of a composite material having a biodegradable plastic substrate and at least one coating
AU2003290956A1 (en) * 2002-11-15 2004-06-15 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
JP2004281481A (ja) * 2003-03-13 2004-10-07 Seiko Epson Corp 半導体装置の製造方法及び半導体装置
JP4173393B2 (ja) * 2003-03-24 2008-10-29 株式会社ルネサステクノロジ 半導体装置の製造方法
CN1802744A (zh) * 2003-04-09 2006-07-12 库利克-索法投资公司 集成电路的电交互连接结构及其制造方法
US7094705B2 (en) * 2004-01-20 2006-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-step plasma treatment method to improve CU interconnect electrical performance
JP4551206B2 (ja) * 2004-12-15 2010-09-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 集積回路チップ上の電気めっき相互接続構造
US7105445B2 (en) * 2005-01-14 2006-09-12 International Business Machines Corporation Interconnect structures with encasing cap and methods of making thereof
TWI354350B (en) * 2005-05-25 2011-12-11 Au Optronics Corp Copper gate electrode and fabricating method there
JP2007051124A (ja) * 2005-07-22 2007-03-01 Ube Ind Ltd 新規な銅錯体及び当該銅錯体を用いた銅含有薄膜の製造方法
US7405153B2 (en) * 2006-01-17 2008-07-29 International Business Machines Corporation Method for direct electroplating of copper onto a non-copper plateable layer
US7749906B2 (en) * 2006-02-22 2010-07-06 Intel Corporation Using unstable nitrides to form semiconductor structures
TW200745140A (en) 2006-06-02 2007-12-16 Advanced Tech Materials Copper (I) amidinates and guanidinates, mixed ligand copper complexes, and compositions for chemical vapor deposition, atomic layer deposition, and rapid vapor deposition of copper
JP5214125B2 (ja) * 2006-09-11 2013-06-19 三星ディスプレイ株式會社 配線構造と配線形成方法及び薄膜トランジスタ基板とその製造方法
JP4783261B2 (ja) * 2006-10-30 2011-09-28 株式会社東芝 半導体装置の製造方法
CN103151335B (zh) * 2007-04-09 2016-09-28 哈佛学院院长等 用于铜互连的氮化钴层及它们的形成方法

Also Published As

Publication number Publication date
CN102124559B (zh) 2014-05-28
US20100038789A1 (en) 2010-02-18
JP2011530835A (ja) 2011-12-22
JP2014140078A (ja) 2014-07-31
CN102124559A (zh) 2011-07-13
WO2010019490A1 (en) 2010-02-18
US8105937B2 (en) 2012-01-31
JP5856647B2 (ja) 2016-02-10

Similar Documents

Publication Publication Date Title
JP5856647B2 (ja) 金属相互接続構造体及び金属相互接続構造体の形成方法
US7524755B2 (en) Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US7417321B2 (en) Via structure and process for forming the same
US7718524B2 (en) Method of manufacturing semiconductor device
US8039966B2 (en) Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
US6812126B1 (en) Method for fabricating a semiconductor chip interconnect
TWI397149B (zh) 形成包括有具伸張應力之介電質蓋罩之介連接線的方法與結構
US8124524B2 (en) Methods of forming metal interconnection structures
US20080128907A1 (en) Semiconductor structure with liner
US20080299764A1 (en) Interconnection having dual-level or multi-level capping layer and method of forming the same
US20070093060A1 (en) Semiconductor device having a cu interconnection
US20080173547A1 (en) Method of manufacturing semiconductor device
US20060138669A1 (en) Semiconductor devices and methods for manufacturing the same
US7651941B2 (en) Method of manufacturing a semiconductor device that includes forming a via hole through a reaction layer formed between a conductive barrier and a wiring
US7452805B2 (en) Aluminum based conductor for via fill and interconnect
US20040251550A1 (en) Semiconductor device and method of manufacturing the same
KR101088813B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
US6727592B1 (en) Copper interconnect with improved barrier layer
US7538024B2 (en) Method of fabricating a dual-damascene copper structure
US20070152333A1 (en) Metal Interconnection of Semiconductor Device and Method of Fabricating the Same
JP2010177538A (ja) 半導体装置の製造方法
WO2010073433A1 (ja) 半導体装置及びその製造方法
KR100924556B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
US20090001579A1 (en) Multi-layered metal line having an improved diffusion barrier of a semiconductor device and method for forming the same
JP2012039019A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application