KR20100105988A - 반도체 장치 및 이를 제조하기 위한 포토마스크 - Google Patents

반도체 장치 및 이를 제조하기 위한 포토마스크 Download PDF

Info

Publication number
KR20100105988A
KR20100105988A KR1020090024385A KR20090024385A KR20100105988A KR 20100105988 A KR20100105988 A KR 20100105988A KR 1020090024385 A KR1020090024385 A KR 1020090024385A KR 20090024385 A KR20090024385 A KR 20090024385A KR 20100105988 A KR20100105988 A KR 20100105988A
Authority
KR
South Korea
Prior art keywords
pattern
light blocking
region
blocking region
photomask
Prior art date
Application number
KR1020090024385A
Other languages
English (en)
Inventor
허은호
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020090024385A priority Critical patent/KR20100105988A/ko
Publication of KR20100105988A publication Critical patent/KR20100105988A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70475Stitching, i.e. connecting image fields to produce a device field, the field occupied by a device such as a memory chip, processor chip, CCD, flat panel display
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

본 발명은 상대적으로 면적이 작은 패턴과 면적이 큰 패턴이 인접하게 공존하는 구조에서 작은 패턴과 큰 패턴이 단락되는 것을 방지할 수 있는 반도체 장치에 관한 것으로, 이를 위해 본 발명은 제1 패턴과, 상기 제1 패턴과 이격되고, 상기 제1 패턴과 대향되는 부위에 적어도 하나의 슬롯(slot)이 형성된 제2 패턴을 포함하는 반도체 장치를 제공한다.
반도체 장치, 포토마스크, 간섭, 배선, 비아 콘택

Description

반도체 장치 및 이를 제조하기 위한 포토마스크{SEMICONDUCTOR DEVICE AND PHOTOMASK FOR MANUFACTURING THE SMAE}
본 발명은 반도체 제조 기술에 관한 것으로, 특히 상대적으로 면적이 작은 패턴과 면적이 큰 패턴이 인접하게 공존하는 구조에서 작은 패턴과 큰 패턴이 단락되는 것을 방지할 수 있는 반도체 장치 및 이를 제조하기 위한 포토마스크에 관한 것이다.
최근 정보통신분야의 급속한 발달과 컴퓨터와 같은 정보매체의 급속한 대중화에 따라 반도체 장치도 비약적으로 발전하고 있다. 이에 따라, 그 기능적인 면에 있어서도 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구되고 있다. 또한, 반도체 장치의 고집적화 및 대용량화 추세로 인해 반도체 장치의 집적도가 점차 증가되어 메모리 셀을 구성하는 각각의 단위 소자 크기가 축소됨에 따라 제한된 면적 내에 다층 구조를 형성하는 고집적화 기술 또한 눈부신 발전을 거듭하고 있다.
이러한 반도체 장치의 고집적화 추세에 따라 설계 규칙(design rule)이 점차 감소하게 되면서 배선에 의한 RC 지연이 동작속도를 결정하는 주요 요인으로 작용하고 있다. 이에 따라, 고속 동작을 요구하는 로직장치 등에는 다층 배선 구조가 적용되고 있다. 이러한 다층 배선 구조를 형성하기 위해서는 비아 콘택 기술이 필수적으로 요구된다. 비아 콘택은 하부배선과 상부배선을 전기적으로 연결시키는 역할을 한다.
도 1은 일반적인 비아 콘택을 포함하는 반도체 장치를 설명하기 위하여 위에서 바라본 평면도이고, 도 2는 도 1에 도시된 I-I' 절취선을 따라 도시한 단면도이다.
도 1 및 도 2를 참조하면, 비아 콘택(120)은 상부배선(100)과 하부배선(110) 사이에 개재되어 상부배선(100)과 하부배선(110)의 일측부를 상호 전기적으로 연결한다.
도 3은 다른 예에 따른 반도체 장치를 설명하기 위하여 도시한 평면도이다.
도 3을 참조하면, 비아 콘택(220)은 상부배선(200) 및 하부배선(210)과 중첩(overlap)되도록 형성해야 한다. 이러한 비아 콘택(220)과 상부배선(200) 및 하부배선(210) 간의 중첩은 제조공정 및 전기적인 특성에 많은 영향을 미치기 때문에 배선 설계시 매우 중요하게 고려되어야 하며, 'OL1~OL4'와 같이 다각도로 고려되어야 한다.
도 4는 또 다른 예에 따른 반도체 장치를 설명하기 위하여 도시한 평면도이다.
도 4를 참조하면, 하부배선은 제1 패턴(310_1)과, 비교적 넓은 면적을 갖고 제1 패턴(310_1)을 둘러싸도록 형성된 제2 패턴(310_2)으로 이루어진다. 이와 같이 비아 콘택(320)이 도 1 내지 도 3에 도시된 일반적인 구조와 다르게 제1 패턴(310_1)과 연결되어 비교적 넓은 면적을 갖는 제2 패턴(310_2)에 둘러싸이는 구조에서는 제1 패턴(310_1)과 중첩(OL)되는 면적은 일반적인 구조에 비해 더 크게 가져가고, 제1 패턴(310_1)과 제2 패턴(310_2) 간의 간격(S) 또한 일정 크기로 유지해야만 한다.
이와 같이, 제1 패턴(310_1)과 제2 패턴(310_2) 사이의 간격(S) 또한 일정 크기로 유지해야 하는 이유는 식각공정시 빛의 간섭에 의해 제1 및 제2 패턴(310_1, 310_2) 간에 단락이 발생되기 때문이다. 이를 도 5를 참조하여 설명하면 다음과 같다.
도 5는 도 4에 도시된 하부배선을 패터닝하는 과정을 간략하게 도식화한 도면이다.
도 5를 참조하면, 리소그래피 기술은 잘 알려진 바와 같이 포토레지스트라고 불리는 감광성 수지를 사용한 레지스트(PR)를 도포하는 공정, 노광공정, 현상공정, 레지스트(PR)를 마스크로 이용한 식각공정, 레지스트(PR) 제거공정으로 이루어진다. 도 5에서 레지스트(PR)는 네가티브 레지스트로서, 노광공정시 빛(자외선)에 노출되는 부위가 경화되어 빛에 노출되지 않는 부위가 현상공정시 제거되는 특성을 보인다.
이상적인 경우, 노광 및 현상공정 후 포토마스크의 차광영역(333)과 동일한 패턴이 레지스트(PR)에 전사되고, 이를 마스크로 이용하여 식각공정을 실시하면 레지스트(PR)의 패턴이 그대로 피가공층에 전사되어 피가공층은 포토마스크의 차광영역(333)과 동일한 패턴으로 패터닝된다. 따라서, 제1 및 제2 패턴(310_1, 310_2) 사이의 간격(S)은 포토마스크의 차광영역(333)과 동일한 크기로 패터닝되어야 한다.
그러나, 리소그래피 공정 후 제1 및 제2 패턴(310_1, 310_2)이 단락되는 문제가 발생되는데 그 이유는 빛의 간섭에 기인한 것으로 알려져 있다. 도 5에서 포토마스크를 투과한 빛의 광량을 살펴보면, 차광영역(333)과 대응되는 영역(10)에도 광량이 어느 정도 존재하는 것을 알 수 있다. 이는 차광영역(333)의 근접 영역으로 입사되는 빛의 간섭에 기인한 것으로서, 식각공정시 상대적으로 면적이 작은 패턴과 면적이 큰 패턴이 인접하게 존재하는 경우 이러한 현상은 더욱 심화되는 것으로 알려져 있다. 이러한 간섭 현상에 의해 레지스트(PR)가 안정적으로 패터닝되지 못하여 피가공층이 원하는 패턴으로 식각되지 않아 이웃하는 패턴 간에 단락이 발생된다.
따라서, 본 발명은 종래기술에 따른 문제점을 해결하기 위해 제안된 것으로서, 피가공층 식각공정에 있어서, 상대적으로 면적이 작은 패턴과 큰 패턴이 인접하게 공존하는 구조를 형성하기 위한 식각공정시 작은 패턴과 큰 패턴이 단락되는 것을 방지할 수 있는 반도체 장치 및 이를 제조하기 위한 포토마스크를 제공하는데 그 목적이 있다.
상기한 목적을 달성하기 위한 일 측면에 따른 본 발명은 제1 패턴과, 상기 제1 패턴과 이격되고, 상기 제1 패턴과 대향되는 부위에 적어도 하나의 슬롯(slot)이 형성된 제2 패턴을 포함하는 반도체 장치를 제공한다.
또한, 상기한 목적을 달성하기 위한 다른 측면에 따른 본 발명은 제1 투과영역과, 상기 제1 투과영역과 대향되는 부위에 적어도 하나의 슬롯(slot) 형태의 제1 차광영역을 갖는 제2 투과영역을 포함하는 포토마스크를 제공한다.
또한, 상기한 목적을 달성하기 위한 다른 측면에 따른 본 발명은 제1 차광영역과, 상기 제1 차광영역과 대향되는 부위에 적어도 하나의 슬롯(slot) 형태의 제1 투과영역을 갖는 제2 차광영역을 포함하는 포토마스크를 제공한다.
상기한 구성을 포함하는 본 발명에 의하면, 다음과 같은 효과들을 얻을 수 있다.
첫째, 본 발명에 의하면, 면적이 작은 패턴과 인접하게 형성된 면적이 큰 패턴에 슬롯(slot)을 형성하여 면적이 큰 패턴의 넓은 면적을 부분적으로 제거함으로써 리소그래피 공정시 작은 패턴과 큰 패턴 사이의 간섭에 기인한 식각 불량을 제거하여 작은 패턴과 큰 패턴이 단락되는 것을 방지할 수 있는 효과를 얻을 수 있다.
둘째, 본 발명에 의하면, 리소그래피 공정시 면적이 작은 패턴과 큰 패턴 사이에 단락이 발생되는 것을 방지함으로써 작은 패턴과 연결되는 비아 콘택의 설계 규칙을 단순화시킬 수 있으며, 이를 통해 비아 콘택의 레이아웃 설계를 단순화시킬 수 있다.
종래기술에서는 반도체 장치의 설계시 특정 영역에서 상대적으로 넓은 면적을 갖는 패턴이 존재하게 된다. 이에 따라 면적이 작은 패턴과 큰 패턴 사이에 단락이 발생되는 것을 고려하여 작은 패턴과 연결되는 비아 콘택 레이아웃 설계시 최종 단계에서 비아 콘택의 레이아웃을 설계 규칙에 맞게 수정하는 작업을 해야만 하였다. 하지만, 본 발명에서는 상대적으로 큰 패턴의 일부를 슬롯 형태로 세분화하여 작은 패턴과 큰 패턴 간에 단락이 발생되는 것을 방지함으로써 도 4에 도시된 특수한 레이아웃 구조를 도 1 및 도 3과 같은 일반적인 레이아웃 구조와 같은 환경을 만들어 줌으로써 반도체 장치에 형성되는 비아 콘택 설계시 별도로 레이아웃을 수정할 할 필요가 없어 비아 콘택 관련한 레이아웃 작업을 단순화시킬 수 있는 이 점이 있다.
이하에서는, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 설명한다.
도면들에 있어서, 배선들과 비아 콘택의 두께, 폭, 간격은 설명의 편의와 명확성을 기하기 위하여 과장되어 도시되었다. 또한 도시되지는 않았지만 배선들 사이에는 상부배선과 하부배선 사이의 절연을 위해 적어도 1층의 절연층이 개재될 수 있다. 또는 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 그들 사이에 제3의 층이 개재될 수도 있다. 또한 동일한 도면번호로 표시된 부분은 동일층을 나타낸다.
명세서 기재에 있어서, '피가공층'이라 함은 리소그래피 기술을 통해 목표로 하는 형상을 갖도록 패터닝되는 층으로서, 본 발명에서는 배선층, 게이트 전극층, 소스 전극층, 드레인 전극층 등의 도전층 또는 반도체층 등이 이에 해당 될 수 있다.
실시예
도 6은 본 발명의 실시예에 따른 반도체 장치를 설명하기 위하여 위에서 바라본 평면도이다. 또한, 도 7은 도 6에 도시된 I-I' 절취선을 따라 도시한 단면도이다.
도 6 및 도 7을 참조하면, 본 발명의 실시예에 따른 반도체 장치는 하부배선 으로 제1 패턴(410-1)과, 제1 패턴(410_1)과 일정 간격(S1)으로 이격되고, 제1 패턴(410_1)과 대향되는 부위에 적어도 하나의 슬롯(410_2A)이 형성된 제2 패턴(410_2)을 포함한다.
제2 패턴(410_2)은 제1 패턴(410_1)보다 넓은 면적을 갖는 패턴으로서, 예를 들어, 제2 패턴(410_2)은 도 6에서와 같이 제1 패턴(410_1)을 둘러싸도록 형성될 수 있다. 제1 패턴(410_1)의 외주면은 제2 패턴(410_2)의 내주면과 대향되도록 형성되고, 대향되는 외주면은 제2 패턴(410_2)의 내주면과 동일한 형상으로 형성될 수 있다. 예를 들어, 제1 패턴(410_1)의 외주면은 삼각형, 사각형, 오각형, 육각형, 팔각형 등과 같은 다각형 또는 타원형을 포함하는 원형 구조로 형성될 수 있다.
슬롯(410_2A)은 제2 패턴(410_2)의 내주면에 형성되며, 그 형성되는 위치는 동서남북 중 적어도 어느 한 방향으로 형성될 수 있다. 예를 들어, 도 6에서와 같이 동서방향(X방향축)으로 형성되거나, 도 8에서와 같이, 남북방향(Y축방향)으로 형성될 수도 있다. 또한, 도시되지는 않았지만 동서남북방향(X, Y축방향)으로 형성되거나, 제1 패턴(410_1)의 외주면의 형태에 따라 십육방위방향으로 형성될 수도 있다.
제2 패턴(410_2)의 내주면에 형성되는 슬롯(410_2A)의 개수는 제한을 두지 않으며, 그 폭(W2) 및 간격(S2) 또한 제한을 두지 않는다. 다만, 각 슬롯의 폭(W2)은 후술하는 바와 같이 레지스트 패턴을 형성하기 위한 노광공정시 제1 패턴(410_1)과, 슬롯(410_2A)들 중 제1 패턴(410_1)과 가장 근접하게 형성된 슬롯 사 이에 간섭이 발생되지 않도록 하는 범위 내에서 결정되어야 한다. 이를 위해, 각 슬롯의 폭(W2)은 제2 패턴(410_2)은 물론 제1 패턴(410_1)의 폭(W1)보다는 작아야 한다. 또한, 슬롯(410_2A)들은 서로 다른 폭으로 형성될 수도 있는데, 이 경우 노광공정시 간섭을 최소화하기 위하여 X축방향으로 갈수록 그 폭이 증대 또는 감소되어 형성될 수도 있다. 또한, 각 슬롯 사이의 간격(S2)은 제1 패턴(410_1)과, 슬롯(410_2A)들 중 제1 패턴(410_1)과 가장 근접하게 형성된 슬롯 사이의 간격(S1)보다 작게 형성될 수 있으며, 간격(S2)은 각 슬롯의 폭(W2)과 동일하거나 크게 형성될 수 있다.
도 6 및 도 7에 있어서, 제1 및 제2 패턴(410_1, 410_2)은 리소그래피 공정을 이용하여 피가공층을 식각하여 형성한다. 피가공층으로는 목적에 따른 재료를 사용하여 한다. 예를 들면, 피가공층으로서, 전극이나 배선으로 기능하는 도전층을 형성하고자 하는 경우에는 도전재료를 사용하여 형성한다. 도전재료로서는 은(Ag), 금(Au), 니켈(Ni), 백금(Pt), 팔라듐(Pd), 이리듐(Ir), 로듐(Rh), 탄탈륨(Ta), 텅스텐(W), 티타늄(Ti), 몰리브덴(Mo), 알루미늄(Al), 구리(Cu)로부터 선택된 원소 또는 상기 원소를 주성분으로 하는 합금 재료 또는 화합물재료를 사용할 수 있다. 이러한 피가공층은 이들 도전재료를 사용하여, 스퍼터링, PVD(Physical Vapor Deposition), 감압 CVD(Low Pressure Chemical Vapor Deposition), 플라즈마 CVD (Plasma Enhanced CVD) 등과 같은 CVD법 등에 의해 단층 구조 또는 적층 구조로 형성될 수 있다.
제1 및 제2 패턴(410_1, 410_2)은 하부배선으로서, 이중 제1 패턴(410_1)은 비아 콘택(420)을 통해 제3 패턴, 즉 상부배선(400)과 연결된다. 비아 콘택(420)은 제1 패턴(410_1)과 상부배선(400) 간에 전기적 저항을 최소화하기 위하여 비저항이 낮은 물질로 형성하는 것이 바람직하다. 예를 들어, 불순물 이온이 도핑된 다결정실리콘막 또는 텅스텐(W) 등과 같은 도전재료로 사용한다. 또한, 비아 콘택(420)으로 사용되는 도전재료에 따라 비아 콘택(420)을 둘러싸도록 장벽층(미도시)이 더 형성될 수도 있다.
비아 콘택(420)은 하부배선 상부에 IMD(Inter Metal Dielectric)로 불리는 절연층 내에 형성된다. 하부배선 상부에 IMD를 증착한 후 리소그래피 공정을 실시하여 제1 패턴(410_1)을 노출시키는 비아홀을 형성한 후, 상기 비아홀이 매립되도록 도전재료를 충진시켜 형성한다. 이때, IMD는 절연이 가능한 절연재료는 모두 사용할 수 있다. 예를 들어, BPSG(BoroPhosphoSilicate Glass), PSG(PhosphoSilicate Glass), FSG(Fluorinated Silicate Glass), BSG(BoroSilicate Glass), TEOS(Tetra Ethyle Ortho Silicate), HDP(High Density Plasma) 등이 단층 또는 적층 구조로 형성할 수 있다.
상부배선(400)은 하부배선과 다른 층에 형성되는 층으로서, 비아 콘택(420)을 통해 하부배선과 연결된다. 비아 콘택(420)과 연결되는 상부배선(400)은 소자의 특성을 고려하여 설계 규칙에 따라 형성하며, 일반적으로 비아 콘택(420)의 폭보다는 큰 폭으로 형성된다. 상부배선(400)은 하부배선과 동일한 도전재료로 형성될 수 있다.
도 9 및 도 10은 리소그래피 공정시 도 6에 도시된 본 발명의 실시예에 따른 구조를 갖는 반도체 장치를 제조하는데 사용되는 포토마스크를 위에서 바라본 평면도이다.
도 9는 리소그래피 공정시 네가티브 특성을 갖는 레지스트를 사용하는 경우의 포토마스크 구조를 도시한 평면도이고, 도 10은 도 9와 반대 특성을 갖는 포지티브 특성을 갖는 레지스트를 사용하는 경우의 포토마스크 구조를 도시한 평면도이다.
도 9를 참조하면, 본 발명의 실시예에 따른 반도체 장치를 제조하기 위한 포토마스크는 제1 투과영역(510_1)과, 제1 투과영역(510_1)과 대향되는 부위에 적어도 하나의 슬롯 형태의 제1 차광영역(520_1)이 구비된 제2 투과영역(510_2)을 포함한다. 또한, 제1 투과영역(510_1)을 둘러싸도록 형성된 제2 차광영역(520_2)을 더 포함한다.
제1 및 제2 투과영역(510_1, 510_2)은 노광공정시 빛이 투과되는 영역이고, 제1 및 제2 차광영역(520_1, 520_2)은 노광공정시 빛이 차단되는 영역이다. 제1 및 제2 투과영역(510_1, 510_2)은 도 6에 도시된 제1 및 제2 패턴(410_1, 410_2)과 대응된다. 제2 투과영역(510_2)은 제2 차광영역(520_2)을 둘러싸도록 형성된다. 이에 따라, 제2 차광영역(520_2)을 사이에 두고 제1 투과영역(510_1)의 외주면은 제2 투과영역(510_2)의 내주면과 대향된다. 제1 차광영역(520_1)은 슬롯 형태로 제2 차광영역(520_2)과 접하는 제2 투과영역(510_2)의 내주면에 적어도 하나 이상으로 형성된다.
도 10을 참조하면, 포토마스크는 도 9에 도시된 포토마스크와 반대 타입으로 서, 제1 차광영역(610_1)과, 제1 차광영역(610_1)과 대향되는 부위에 적어도 하나의 슬롯 형태의 제1 투과영역(620_1)이 구비된 제2 차광영역(610_2)을 포함한다. 또한, 제1 차광영역(610_1)을 둘러싸도록 형성된 제2 투과영역(620_2)을 더 포함한다.
제1 및 제2 차광영역(610_1, 610_2)은 도 6에 도시된 제1 및 제2 패턴(410_1, 410_2)과 대응된다. 즉, 제1 및 제2 패턴(410_1, 410_2)은 제1 및 제2 차광영역(610_1, 610_2)의 형태가 그대로 전사되어 동일한 형태로 형성된다. 제2 차광영역(610_2)은 제1 차광영역(610_1)을 둘러싸도록 형성된다. 바람직하게는 제2 투과영역(620_2)을 둘러싸도록 형성된다. 이에 따라, 제2 투과영역(620_2)을 사이에 두고 제1 차광영역(610_1)의 외주면은 제2 차광영역(610_2)의 내주면과 대향된다. 제1 투과영역(620_1)은 제2 투과영역(620_2)과 접하는 제2 차광영역(610_2)의 내주면에 형성된다.
도 9 및 도 10에 도시된 바와 같이, 포토마스크는 차광광영과 투과영역으로 이루어진다. 즉, 포토마스크는 투과성을 갖는 기판 표면에 차광성을 갖는 재료를 사용하여 제작한다. 차광성을 갖는 재료의 형태는 그대로 목표로 하는 패턴으로 전사된다. 차광성을 갖는 재료, 즉 차광영역을 구성하는 재료로는 차광성이 우수하고 광원으로 레이저 빔을 사용하는 경우 레이저 빔의 에너지에 내성이 있는 재료를 사용할 필요가 있다. 예를 들면, 레이저 빔에 엑시머레이저를 사용하는 경우 텅스텐(W), 몰리브덴(Mo), 알루미늄(Al)을 사용할 수 있다. 이외에도, 크롬(Cr)을 사용할 수 있다. 또한, 레이저 빔으로는 자외선, 가시광선 또는 적외선을 사용할 수도 있다.
도 11은 도 9에 도시된 포토마스크를 이용하여 도 6에 도시된 반도체 장치를 제조하는 기술적 원리와 그에 따른 본 발명의 작용 효과에 대해 설명하기 위하여 도시한 도면이다.
도 11을 참조하면, 포토마스크는 제1 투과영역(510_1)과, 슬롯 형태의 제1 차광영역(520_1)이 구비된 제2 투과영역(510_2)과, 제1 투과영역(510_1)을 둘러싸도록 형성된 제2 차광영역(520_2)으로 이루어진다. 즉, 제2 차광영역(520_2)과 인접한 지역에 넓은 면적을 갖는 차광영역이 존재하는 것이 아니라 슬롯 형태로 작은 면적을 갖는 제1 차광영역(520_1)이 복수 개로 분할되어 존재하기 때문에 도 5에 도시된 바와 같이 종래기술에서와 같은 간섭이 발생되지 않는다. 광량 측면에서 살펴보면, 제2 차광영역(520_2)과, 제1 차광영역들(520_1) 중 제2 차광영역(520_2)과 가장 인접하게 형성된 제1 차광영역 사이의 영역(20)에는 광량이 미미하게 존재하는 것을 알 수 있다.
이러한 포토마스크를 이용하여 레지스트(PR)에 대해 노광공정을 실시하면 포토마스크의 제1 차광영역(520_1)의 패턴이 그대로 레지스트(PR)에 전사되어 목표로 하는 레지스트 패턴을 안정적으로 형성할 수 있다. 도 11에 도시된 바와 같이, 도 5에 도시된 레지스트 패턴과 비교하여 볼때 안정적으로 형성된 것을 확인할 수 있다.
레지스트 패턴이 형성되면, 이를 식각 마스크로 식각공정을 실시하여 피식각층을 식각한다. 피식각층은 이방성 식각 또는 등방성 식각을 통해 패터닝되어 진 다. 식각은 건식식각, 습식식각 또는 건식식각과 습식식각을 조합하여 실시할 수 있다. 잘 알려진 바와 같이, 습식식각은 식각 가공물, 즉 피가공층은 등방적 형상이 된다. 그 때문에 습식식각은 등방성 식각에 적용된다. 한편, 건식식각은 화학반응에 의해 식각이 진행되는 화학적 식각요소와, 스퍼터 효과 등에 의해 물리적으로 식각이 진행되는 물리적 식각요소를 구비하고 있다. 화학적 식각은 등방성을, 물리적 식각은 이방성을 나타내며, 양자의 비율은 장치의 구성 등에 의해 변화할 수 있다. 건식식각은 화학적 식각요소와 물리적 식각요소의 비율에 의해 이방성 식각 또는 등방성 식각을 행하는 것이 가능하기 때문에 이들을 적절히 선택하여 적용할 수 있다.
본 발명의 실시예에 따른 반도체 장치의 제조방법에서는 수직적인 프로파일을 형성하기 위해 건식식각을 적용한다. 건식식각을 적용하는 경우에 있어서, 식각가스는 피가공층의 재료에 따라 달라질 수 있다. 예를 들어, 식각가스로는 CF4, CHF3, NF3, Cl2, BCl3 등의 불소계 가스 또는 염소계의 가스를 사용할 수 있다. 또한, 식각가스에 He나 Ar 등의 불활성 가스나 O2 가스 등을 적절히 첨가하여 사용할 수도 있다. 피가공층이 텅스텐으로 이루어진 경우 CF4, Cl2와 O2의 혼합가스를 사용할 수 있다.
건식식각 후 도 11과 같이 포토마스크에 형성된 패턴이 그대로 전사된 안정적인 제1 및 제2 패턴(410_1, 410_2)을 형성할 수 있다. 도 5에 도시된 종래기술에 따른 반도체 장치와 비교하여 볼 때, 도 5에서는 노광공정시 간섭에 의해 패턴 간 에 단락이 발생되었으나 본 발명에서는 패턴 간에 단락이 발생되지 않는 것을 확인할 수 있다.
이상에서 설명한 바와 같이, 본 발명의 기술적 사상은 바람직한 실시예에서 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 이 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예들이 가능함을 이해할 수 있을 것이다.
도 1은 일반적인 비아 콘택을 포함하는 반도체 장치를 도시한 평면도.
도 2는 도 1에 도시된 I-I' 절취선을 따라 도시한 단면도.
도 3은 다른 예에 따른 반도체 장치를 도시한 평면도.
도 4는 또 다른 예에 따른 반도체 장치를 도시한 평면도.
도 5는 도 4에 도시된 하부배선을 패터닝하는 과정을 간략하게 도식화한 도면.
도 6은 본 발명의 실시예에 따른 반도체 장치를 도시한 평면도.
도 7은 도 6에 도시된 I-I' 절취선을 따라 도시한 단면도.
도 8은 본 발명의 다른 실시예에 따른 반도체 장치를 도시한 평면도.
도 9는 네가티브 특성을 갖는 레지스트를 사용하는 경우의 포토마스크 구조를 도시한 평면도.
도 10은 포지티브 특성을 갖는 레지스트를 사용하는 경우의 포토마스크 구조를 도시한 평면도.
도 11은 도 6에 도시된 하부배선을 패터닝하는 과정을 간략하게 도식화한 도면.
<도면의 주요 부분에 대한 부호의 설명>
100, 200, 300, 400 : 상부배선
110, 210 : 하부배선
120, 220, 320, 420 : 비아 콘택
310_1, 410_1 : 제1 패턴
310_2, 410_2 : 제2 패턴
331 : 투과영역
333 : 차광영역
410_2A : 슬롯
510_1, 620_1 : 제1 투과영역
510_2, 620_2 : 제2 투광영역
520_1, 610_1 : 제1 차광영역
520_2, 610_2 : 제2 차광영역

Claims (15)

  1. 제1 패턴; 및
    상기 제1 패턴과 이격되고, 상기 제1 패턴과 대향되는 부위에 적어도 하나의 슬롯(slot)이 형성된 제2 패턴
    을 포함하는 반도체 장치.
  2. 제 1 항에 있어서,
    상기 제2 패턴은 상기 제1 패턴을 둘러싸도록 형성된 반도체 장치.
  3. 제 2 항에 있어서,
    상기 제1 패턴의 외주면과 상기 제2 패턴의 내주면은 동일한 형상으로 형성된 반도체 장치.
  4. 제 2 항에 있어서,
    상기 슬롯은 상기 제1 패턴의 외주면과 대향되는 상기 제2 패턴의 내주면에 형성된 반도체 장치.
  5. 제 2 항에 있어서,
    상기 슬롯은 십육방위방향 중 적어도 어느 한 방향으로 각각 형성된 반도체 장치.
  6. 제 1 항에 있어서,
    상기 제1 패턴과 연결된 비아 콘택; 및
    상기 제2 패턴을 가로지르도록 상기 비아 콘택과 연결된 제3 패턴
    을 더 포함하는 반도체 장치.
  7. 제 6 항에 있어서,
    상기 제1 내지 제3 패턴은 금속배선인 반도체 장치.
  8. 제1 투과영역; 및
    상기 제1 투과영역과 대향되는 부위에 적어도 하나의 슬롯(slot) 형태의 제1 차광영역을 갖는 제2 투과영역
    을 포함하는 포토마스크.
  9. 제 8 항에 있어서,
    상기 제1 투과영역을 둘러싸도록 형성된 제2 차광영역을 더 포함하는 포토마스크.
  10. 제 8 항에 있어서,
    상기 제1 차광영역은 상기 제1 투과영역의 외주면과 대향되는 상기 제2 투과영역의 내주면에 형성된 포토마스크.
  11. 제 8 항에 있어서,
    상기 제1 차광영역은 십육방위방향 중 적어도 어느 한 방향으로 각각 형성된 포토마스크.
  12. 제1 차광영역; 및
    상기 제1 차광영역과 대향되는 부위에 적어도 하나의 슬롯(slot) 형태의 제1 투과영역을 갖는 제2 차광영역
    을 포함하는 포토마스크.
  13. 제 12 항에 있어서,
    상기 제1 차광영역을 둘러싸도록 형성된 제2 투과영역을 더 포함하는 포토마스크.
  14. 제 12 항에 있어서,
    상기 제1 투과영역은 상기 제1 차광영역의 외주면과 대향되는 상기 제2 차광영역의 내주면에 형성된 포토마스크.
  15. 제 12 항에 있어서,
    상기 제1 투과영역은 십육방위방향 중 적어도 어느 한 방향으로 각각 형성된 포토마스크.
KR1020090024385A 2009-03-23 2009-03-23 반도체 장치 및 이를 제조하기 위한 포토마스크 KR20100105988A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090024385A KR20100105988A (ko) 2009-03-23 2009-03-23 반도체 장치 및 이를 제조하기 위한 포토마스크

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090024385A KR20100105988A (ko) 2009-03-23 2009-03-23 반도체 장치 및 이를 제조하기 위한 포토마스크

Publications (1)

Publication Number Publication Date
KR20100105988A true KR20100105988A (ko) 2010-10-01

Family

ID=43128432

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090024385A KR20100105988A (ko) 2009-03-23 2009-03-23 반도체 장치 및 이를 제조하기 위한 포토마스크

Country Status (1)

Country Link
KR (1) KR20100105988A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11735522B2 (en) 2020-08-19 2023-08-22 Samsung Electronics Co., Ltd. Semiconductor device including plurality of patterns

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11735522B2 (en) 2020-08-19 2023-08-22 Samsung Electronics Co., Ltd. Semiconductor device including plurality of patterns

Similar Documents

Publication Publication Date Title
KR100993405B1 (ko) 반도체장치의 제조방법
KR101997927B1 (ko) 이중 피치를 위한 리소그래피 방법
CN1119834C (zh) 半导体存储器件的制造方法及其结构
US20090061615A1 (en) Method for forming contact in semiconductor device
JP2006005350A (ja) 導線近傍にスキャッタリング・バーを配置させてなる半導体デバイス
CN107870508B (zh) 掩膜版、存储器及存储器的制造方法
KR20100105988A (ko) 반도체 장치 및 이를 제조하기 위한 포토마스크
JP2005354046A (ja) 半導体装置の製造方法
KR101925685B1 (ko) 반도체 디바이스 및 그 제조 방법
US7476612B2 (en) Method for manufacturing semiconductor device
US8103976B2 (en) Photo mask set for forming multi-layered interconnection lines and semiconductor device fabricated using the same
KR100752180B1 (ko) 반도체 소자의 콘택홀 형성방법
US20020106901A1 (en) Method for forming semiconductor device having high-density contacts
KR20100130405A (ko) 반도체 장치
KR100526881B1 (ko) 반도체 소자에서의 콘택 형성방법
KR100877096B1 (ko) 더미 패턴을 갖는 반도체 소자 및 그 형성방법
KR100460772B1 (ko) 반도체 소자 제조 방법
TWI722546B (zh) 半導體元件及其製造方法
KR100605872B1 (ko) 반도체소자 및 그 형성방법
KR100784074B1 (ko) 반도체 소자의 비트 라인 형성 방법
KR100721201B1 (ko) 6f2 레이아웃을 갖는 반도체 소자의 랜딩플러그 형성방법
KR100419870B1 (ko) 금속라인의 형성방법
WO2010143245A1 (ja) 配線形成方法及び半導体装置
CN105446070A (zh) 光掩膜版、半导体器件的制作方法、半导体器件及存储芯片
KR100546207B1 (ko) 반도체 소자의 금속 배선 형성 방법

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination