KR20100028665A - 간섭 측정을 위한 모델 신호 발생 - Google Patents

간섭 측정을 위한 모델 신호 발생 Download PDF

Info

Publication number
KR20100028665A
KR20100028665A KR1020107002424A KR20107002424A KR20100028665A KR 20100028665 A KR20100028665 A KR 20100028665A KR 1020107002424 A KR1020107002424 A KR 1020107002424A KR 20107002424 A KR20107002424 A KR 20107002424A KR 20100028665 A KR20100028665 A KR 20100028665A
Authority
KR
South Korea
Prior art keywords
information
test
interference
measurement system
interference measurement
Prior art date
Application number
KR1020107002424A
Other languages
English (en)
Other versions
KR101195101B1 (ko
Inventor
드 레가 하비에르 꼴로나
Original Assignee
지고 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 지고 코포레이션 filed Critical 지고 코포레이션
Publication of KR20100028665A publication Critical patent/KR20100028665A/ko
Application granted granted Critical
Publication of KR101195101B1 publication Critical patent/KR101195101B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02027Two or more interferometric channels or interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02041Interferometers characterised by particular imaging or detection techniques
    • G01B9/02043Imaging of the Fourier or pupil or back focal plane, i.e. angle resolved imaging
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/0207Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer
    • G01B9/02072Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02088Matching signals with a database
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/50Pupil plane manipulation, e.g. filtering light of certain reflection angles
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Databases & Information Systems (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Instruments For Measurement Of Length By Optical Means (AREA)

Abstract

간섭 측정 방법은, 반사율이 상이한 테스트 물체 상의 테스트 표면의 복수의 영역의 각각에 있어서, 각도 및 파장의 범위에 걸쳐 영역의 반사율에 관한 정보를 측정하는 제1 동작 모드에서 각각의 영역을 측정하기 위해 간섭 측정 시스템을 사용하는 단계; 상기 복수의 영역의 적어도 일부를 포함하는 범위에 걸쳐 상기 테스트 표면의 토포그래피를 간섭적으로 프로파일링하는 제2 동작 모드에서 테스트 표면을 측정하기 위해 동일한 간섭 측정 시스템을 사용하는 단계; 및 오류를 감소시키기 위해 상기 복수의 영역의 반사율에 관한 정보에 기초해서 프로파일을 정정하는 단계를 포함한다.

Description

간섭 측정을 위한 모델 신호 발생{GENERATING MODEL SIGNALS FOR INTERFEROMETRY}
본 발명은 간섭 측정에 관한 것이다.
간섭 측정 기술은 물체(object)의 표면의 프로파일을 측정하는 데 흔히 사용된다. 이렇게 하기 위해, 간섭기는 관심의 대상이 되는 표면으로부터 반사된 측정 파면(measurement wavefront)과 기준 표면으로부터 반사된 기준 파면(reference wavefront)을 결합하여 인터페로그램(interferogram)을 생성한다. 이 인터페로그램의 프린지(fringes)는 관심의 대상이 되는 표면과 기준 표면 간의 공간적 변동을 나타낸다.
주사 간섭계는 간섭 파면의 코히어런스 길이에 비교될 수 있는 범위, 또는 그 보다 더 긴 범위에 걸쳐 간섭계의 기준 다리와 측정 다리 간의 광 경로 길이 차(optical path length difference; OPD)를 주사하여, 인터페로그램을 측정하는 데 사용되는 각각의 카메라 픽셀에 대한 주사 간섭 신호를 생성한다. 예를 들어 백색광원을 사용하여 제한된 코히어런스 길이를 생성할 수 있는 데, 이것을 백색광 주사 간섭 측정(scanning white light interferometry; SWLI)이라 칭한다. 통상적인 백색광 주사 간섭 측정(SWLI) 신호는 제로 광학 길이 차(zero optical length difference) 위치의 근처에 국한된 수 개의 프린지이다. 이 신호는 통상적으로 벨 형상의 프린지-콘트라스트 엔벨로프를 가지는 사인파형 반송파 변조("프린지")에 의해 특징지어진다. SWLI 도량형을 기초로 하는 종래의 개념은 프린지의 로컬리제이션(localization)을 사용하여 표면 프로파일을 측정하는 것이다.
SWLI 프로세스 기술에는 두 개의 원리가 있다. 제1 원리는 엔벨로프의 피크 또는 센터를 위치시키는 것인데, 이 위치는 한 빔이 물체 표면으로부터 반사하는 2-빔 간섭기의 제로 광학 경로 차(OPD)에 대응하는 것으로 가정한다. 제2 원리는 신호를 주파수 도메인으로 변환하고 파장에 따른 위상의 변화의 비를 계산하는 것인데, 필수적인 선형 기울기가 물체 위치에 정비례하는 것으로 가정한다. 예를 들어, Peter de Groot에게 허여된 미국특허 No. 5,398,113를 참조하라. 이 후자의 원리는 주파수 도메인 분석(Frequency Domain Analysis; FDA)이라고도 한다.
주사 간섭 측정을 사용하여, 박막(들), 유사하지 않은 물질들의 불연속 구조, 또는 간섭 현미경의 광학적 분해능(optical resolution)에 의해 세밀하게 분해된(underresolved) 불연속 구조와 같이, 표면 구조가 복잡한 물체의 표면 토포그래피 및/또는 다른 특징들을 측정할 수 있다. 이러한 측정은 패널 디스플레이 컴포넌트, 반도체 웨이퍼 도량형, 및 특히 박막 및 유사하지 않은 물질들에 대한 분석의 특징과 관련이 있다. 예를 들어, Peter de Groot 등에 의해 출원되고, 발명의 명칭이 "Profiling Complex Surface Structures Using Scanning Interferometry"이며, 2004년 9월 30일 공개된 미국특허공보 No. US-2004-0189999-A1, 및 Peter de Groot 등에 의해 출원되고, 발명의 명칭이 "Interferometry Method for Ellipsometry, Reflectometry, and Scatterometry Measurements, Including Characterization of Thin Film Structures"이며, 2004년 5월 6일 공개된 미국특허공보 No. US-2004-0085544-A1을 참조하라. 상기 문헌들의 내용은 본 발명에 원용된다.
물체에 관한 정보를 광학적으로 결정하기 위한 다른 기술들로는 타원편광분석법(ellipsometry) 및 반사측정법(reflectometry)이 있다. 타원편광분석법은 사각(oblique angle), 예를 들어 60°로 조명될 때, 때로는 가변의 각 또는 복수의 파장으로 조명될 때, 표면의 복잡한 반사성을 결정한다. 종래의 타원편광분석기에서 쉽게 얻을 수 있는 것보다 나은 해상도를 얻기 위해, 마이크로 타원편광분석기는, 다양한 조명 각이 필드 위치(field position)에 매핑되는, 동공면(pupil plane)으로도 알려진, 객체의 후초점면(back focal plane)에서의 위상 및/또는 강도 분포를 측정한다. 이러한 디바이스들은, 동공면 복굴절 물질(pupil plane birefringence material)을 분석하기 위해 교차된 편광기 및 버트란드 렌즈를 사용하고, 결정학(crystallography) 및 광물학(mineralogy)에 역사적으로 연결되어 있는, 종래의 편광 현미경 또는 "코노스코프(conoscopes)"의 현대화된 디바이스들이다.
박막 특성화(예를 들어, 타원편광분석법 및 반사측정법)에 사용되는 종래의 기술은, 미지의 광학 경계의 복잡한 반사성이 두 가지에 따라, 즉 그 고유의 특성(물질의 속성 및 개개 층의 두께) 및 반사성을 측정하는 데 사용되는 광의 3가지 속성(파장, 입사각, 편광 상태)에 따라 다르다는 사실에 의존한다. 실제로, 특성화 도구들은, 기지(旣知)의 각도에 걸쳐, 이러한 파라미터들이 가변함으로써 생기는 반사성 변동을 기록한다. 이때 최소제곱적합(least-square fits)과 같은 최적화 과정을 사용하여, 측정된 반사성 데이터와, 광학적 구조의 모델로부터 유도된 반사성 함수 간의 차이를 최소화함으로써 미지의 파라미터에 대한 추정치를 얻는다.
발명자들은 복수의 모드(예를 들어, 프로파일링 모드 및 타원편광분석 모드)에서 동작할 수 있는 간섭 측정 시스템은, 테스트 대상물에 관한 정확한 정보를 제공하기 위해 상보적 방식으로 사용될 수 있는 풍부한 정보를 제공할 수 있다는 것을 깨달았다.
예를 들어, 발명자들은 간섭기의 출사 동공(exit pupil)의 상(또는, "후초점면" 또는 "푸리에 면")을 만드는 렌즈를 사용하는 간섭 측정 시스템에 있어서, 간단한 측정 방식은 예를 들어, 광원의 특성을 결정하고, 광학 기구 및 간섭기 캐비티를 조명하고 촬상함으로써, 시스템을 특징지을 수 있다는 것을 깨달았다. 또한, 이러한 특성들은 파장, 편광 및 입사각의 넓은 범위에 걸쳐 결정될 수 있다. 시스템의 특성은, 광원, 광학 기구, 캐비티, 또는 간섭 측정 시스템의 임의의 다른 많은 (종종 매우 민감한) 컴포넌트를 직접적으로 검사하지 않고서도, 간섭 측정 데이터만으로도 결정될 수 있다.
측정된 간섭 측정 시스템 속성은, 어셈블리 및 품질 제어에서 사용하기 위한, 그리고 시스템을 다른 시스템들과 비교하기 위한 유용한 가이드를 제공한다. 예를 들어, 이러한 속성은, 시스템이 주어진 테스트 표면 구조를 측정하는 간섭 신호를 정확하게 모델링하는 데 사용될 수 있다. 이러한 모델은 일련의 간섭 측정 및 분석 방식, 특히, 복잡한 표면을 정확하게 측정하는 것들(예를 들어, 이종의 물질 속성, 막 구조, 및 다른 표면 특징의 영역을 포함하는 것들)을 목표로 하는 것들에 사용하기 위해 중요하다. 본 발명의 다양한 관점 및 특징을 요약한다.
한 관점에서, 간섭 측정 방법은, 조명 각(illumination angle)의 범위에 걸쳐 제1 캘리브레이션 표면으로 테스트 광을 향하게 하고, 상기 제1 테스트 캘리브레이션 표면으로부터 다시 방출되는 테스트 광과 기준 광을 결합하여 간섭 패턴을 형성하는 단계로서, 상기 제1 캘리브레이션 표면으로부터의 테스트 광 및 상기 기준 광은 공통 소스(common source)로부터 도출되는, 간섭 패턴을 형성하는 단계; 다중-소자 검출기의 서로 다른 소자들이 테스트 광에 의한 상기 제1 캘리브레이션 표면의 서로 다른 조명 각들에 대응하도록, 상기 결합된 광의 적어도 일부를 상기 제1 캘리브레이션 표면으로부터 상기 다중-소자 검출기로 향하게 하는 단계; 조명 각의 범위에 걸쳐 상기 제1 캘리브레이션 표면으로부터 제2 캘리브레이션 표면으로 테스트 광을 향하게 하고 상기 제2 캘리브레이션 표면으로부터 다시 방출되는 테스트 광과 기준 광을 결합하여 간섭 패턴을 형성하는 단계로서, 상기 제2 캘리브레이션 표면으로부터의 테스트 광 및 상기 기준 광은 상기 공통 소스로부터 도출되는, 간섭 패턴을 형성하는 단계; 상기 다중-소자 검출기의 서로 다른 소자들이 상기 테스트 광에 의한 상기 제2 캘리브레이션 표면의 서로 다른 조명 각들에 대응하도록, 상기 결합된 광의 적어도 일부를 상기 제2 캘리브레이션 표면으로부터 상기 다중-소자 검출기로 향하게 하는 단계를 포함한다.
정보는 상기 제1 및 제2 캘리브레이션 표면으로부터 방출되는 테스트 광에 대해 상기 다중-소자 검출기의 서로 다른 소자들에 의해 측정된 간섭 신호들에 기초하여, 간섭 측정 시스템에 관한 정보에 관해 판별되고, 다른 정보가 상기 제1 및 제2 캘리브레이션 표면에 관해 판별된다.
상기 간섭 측정 시스템에 관한 정보는, 상기 공통 소스의 스펙트럼 분포, 입사면에 평행한 편광 상태와 비교해서 상기 입사면에 수직인 편광 상태의 상대적 감쇠, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 스펙트럼 분포의 변동, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 평균 강도(mean intensity)의 변동, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 위상의 변동, 및 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 스펙트럼 강도의 변동 중 적어도 하나에 대응하는 정보를 포함한다.
방법은 일련의 특징을 개별적으로 또는 조합으로 포함할 수 있다. 예를 들어, 일부의 실시예에서, 상기 제1 및 제2 캘리브레이션 표면에 관한 다른 정보는 상기 제1 및 제2 캘리브레이션 표면의 반사율에 관한 정보를 포함한다.
일부의 실시예에서, 상기 제1 캘리브레이션 표면은, 벌크 실리콘, 실리콘 상의 산화층, 기판 상의 유전체 층 또는 층들, 기판 상의 불투명 금속층 또는 금속층들, 금속의 고체 표면, 유전체 물질의 고체 표면을 포함한다.
일부의 실시예에서, 상기 방법은, 상기 간섭 측정 시스템에 관한 정보와 상기 간섭 측정 시스템으로부터의 표준 캘리브레이션을 비교하는 단계; 및 상기 비교에 기초해서 상기 간섭 측정 시스템을 변형하는 단계를 더 포함한다.
일부의 실시예에서, 상기 방법은, 상기 간섭 측정 시스템에 관한 정보와 제2 간섭 측정 시스템에 관한 정보를 비교하는 단계; 및 상기 비교에 기초해서 상기 간섭 측정 시스템 중 하나 또는 둘을 변형하는 단계를 더 포함한다.
일부의 실시예에서, 상기 방법은, 간섭기에 관한 정보 및 테스트 물체의 복수의 모델에 관한 정보에 기초해서 복수의 모델 주사 간섭 신호를 발생시키는 단계를 더 포함하며, 상기 테스트 물체의 복수의 모델은 상기 테스트 물체의 일련의 특성에 의해 파라미터화된다.
일부의 실시예에서, 상기 방법은, 테스트 물체 상의 제1 표면 위치에 있어서 상기 간섭 측정 시스템에 의해 획득된 주사 간섭 신호로부터 도출될 수 있는 정보와 상기 복수의 모델 주사 간섭 신호로부터 도출될 수 있는 정보를 비교하는 단계를 더 포함한다.
일부의 실시예에서, 상기 방법은, 상기 비교에 기초해서 상기 테스트 물체에 대한 정확한 특성을 결정하는 단계를 더 포함한다.
일부의 실시예에서, 상기 정확한 특성은 상기 제1 표면 위치에 대한 표면 높이 및/또는 상기 제1 표면 위치에 대한 막 두께이다.
일부의 실시예에서, 상기 정확한 특성을 결정하는 단계는, 상기 비교에 기초해서, 상기 테스트 물체의 어느 모델이 상기 테스트 물체에 대한 상기 특성의 정확한 모델에 대응하는 지를 결정하는 단계, 및 상기 테스트 물체에 관한 정보를 계산하기 위해 상기 정확한 특성에 대응하는 상기 테스트 물체의 모델을 사용하는 단계를 포함한다.
일부의 실시예에서, 부가의 표면 위치들에 대한 주사 간섭 신호로부터 도출될 수 있는 정보와 상기 복수의 모델 주사 간섭 신호로부터 도출될 수 있는 정보를 비교하는 단계를 더 포함한다.
일부의 실시예에서, 상기 비교하는 단계는, 상기 간섭 측정 시스템에 의해 획득된 상기 주사 간섭 신호로부터 도출될 수 있는 정보와 상기 복수의 모델 주사 간섭 신호로부터 도출될 수 있는 정보를 비교하기 위해 검색 엔진을 사용하는 단계를 포함한다.
일부의 실시예에서, 상기 비교하는 단계는, 주사 간섭 신호로부터 도출될 수 있는 정보와 각각의 모델에 대응하는 정보 사이의 유사성(similarity)을 나타내는 하나 이상의 가치 함수(merit function)를 계산하는 단계를 포함한다.
일부의 실시예에서, 상기 방법은, 상기 간섭 측정 시스템을 사용하여 상기 테스트 표면의 토포그래피(topography)를 간섭적으로 프로파일링하는 동작의 모드에서 테스트 물체의 테스트 표면을 측정하는 단계; 및 상기 간섭 측정 시스템에 관한 정보에 기초해서 정정된 프로파일을 제공하는 단계를 더 포함한다.
일부의 실시예에서, 상기 테스트 표면은 상기 테스트 물체의 상부 표면이거나 상기 테스트 물체의 매립된 표면(buried surface)이다.
일부의 실시예에서, 상기 방법은 상기 테스트 표면 상의 하나 이상의 영역에 관한 정보를 판별하는 단계를 더 포함한다. 상기 정정된 프로파일은 또한 상기 테스트 표면 상의 상기 하나 이상의 영역에 관한 정보에 기초한다.
다른 관점에서, 간섭 측정 방법은, 간섭 측정 시스템을 사용하여, 반사율이 상이한 테스트 물체 상의 테스트 표면의 복수의 영역의 각각에 있어서, 각도 및 파장의 범위에 걸쳐 그 영역의 반사율에 관한 정보를 측정하는 제1 동작 모드에서 각각의 영역을 측정하기는 단계; 동일한 상기 간섭 측정 시스템을 사용하여, 상기 복수의 영역의 적어도 일부를 포함하는 범위에 걸쳐 상기 테스트 표면의 토포그래피를 간섭적으로 프로파일링하는 제2 동작 모드에서 테스트 표면을 측정하는 단계; 및 오류를 감소시키기 위해 상기 복수의 영역의 반사율에 관한 정보에 기초해서 프로파일을 정정하는 단계를 포함한다.
일부의 실시예에서, 상기 테스트 표면은 상기 테스트 물체의 상부 표면이거나, 또는 상기 테스트 물체의 매립된 표면이다.
일부의 실시예에서, 상기 프로파일은 두께 프로파일이다.
일부의 실시예에서, 상기 정정하는 단계는, 각각의 영역에 대해, 영역의 반사율에 관한 정보에 기초해서 높이 오프셋을 결정하는 단계; 및 상기 높이 오프셋을 상기 프로파일의 대응하는 부분에 부가하는 단계를 포함한다.
일부의 실시예에서, 상기 방법은, 각도 및 파장의 범위에 걸쳐 두 개 이상의 간섭 표면의 반사율에 관한 정보를 측정하기 위해 동일한 간섭 측정 시스템을 사용하는 단계; 및 간섭 측정 시스템에 관한 정보를 판별하기 위해 상기 두 개 이상의 간섭 표면의 반사율에 관한 정보를 사용하는 단계를 포함한다. 상기 프로파일을 정정하는 단계는 상기 간섭 측정 시스템에 관한 정보에 기초한다.
일부의 실시예에서, 상기 방법은, 각도 및 파장의 범위에 걸쳐 영역의 반사율에 관한 정보에 기초해서 간섭 측정 시스템에 관한 부가의 정보를 판별하는 단계를 더 포함하다. 상기 프로파일을 정정하는 단계는 또한 상기 간섭 측정 시스템에 관한 상기 결정된 정보에 기초한다.
일부의 실시예에서, 상기 방법은, 상기 정정된 프로파일을 출력하는 단계를 더 포함한다.
일부의 실시예에서, 상기 정정된 프로파일을 출력하는 단계는, 사용자, 디스플레이, 전자 저장 장치, 전자 제어기, 및 상기 프로파일, 프린트된 매체, 및 전자 저장 매체에 관한 정보에 기초해서 하나 이상의 디바이스를 동작시키도록 구성된 전자 제어기 중 하나에 출력하는 단계를 포함한다.
일부의 실시예에서, 상기 출력하는 단계는 반도체 도량형 측정에서 사용하기 위해 출력하는 단계를 포함한다.
다른 관점에서, 간섭 측정 시스템은,
광원; 다중-소자 검출기; 및 간섭기를 포함한다. 상기 간섭기는,
조명 각(illumination angle)의 범위에 걸쳐 제1 캘리브레이션 표면으로 테스트 광을 향하게 하고, 상기 제1 테스트 캘리브레이션 표면으로부터 다시 방출되는 테스트 광과 기준 광을 결합하여 간섭 패턴을 형성하고, 상기 제1 캘리브레이션 표면으로부터의 테스트 광 및 상기 기준 광은 공통 소스로부터 도출되며;
상기 다중-소자 검출기의 서로 다른 소자들이 테스트 광에 의한 상기 제1 캘리브레이션 표면의 서로 다른 조명 각들에 대응하도록, 상기 결합된 광의 적어도 일부를 상기 제1 캘리브레이션 표면으로부터 상기 다중-소자 검출기로 향하게 하고;
조명 각의 범위에 걸쳐 상기 제1 캘리브레이션 표면으로부터 제2 캘리브레이션 표면으로 테스트 광을 향하게 하고 상기 제2 캘리브레이션 표면으로부터 다시 방출되는 테스트 광과 기준 광을 결합하여 간섭 패턴을 형성하고, 상기 제2 캘리브레이션 표면으로부터의 테스트 광 및 상기 기준 광은 상기 공통 소스로부터 도출되며;
상기 다중-소자 검출기의 서로 다른 소자들이 상기 테스트 광에 의한 상기 제2 캘리브레이션 표면의 서로 다른 조명 각들에 대응하도록, 상기 결합된 광의 적어도 일부를 상기 제2 캘리브레이션 표면으로부터 상기 다중-소자 검출기로 향하게 하도록
구성되어 있고,
상기 전자 프로세서는,
상기 제1 및 제2 캘리브레이션 표면으로부터 방출되는 테스트 광에 대해 상기 다중-소자 검출기의 서로 다른 소자들에 의해 측정된 간섭 신호들에 기초하여, 간섭 측정 시스템에 관한 정보 및 상기 제1 및 제2 캘리브레이션 표면에 관한 다른 정보를 판별하도록
구성되어 있다.
상기 간섭 측정 시스템에 관한 정보는,
상기 공통 소스의 스펙트럼 분포, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 스펙트럼 분포의 변동, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 평균 강도의 변동, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 위상의 변동, 및 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 스펙트럼 강도의 변동 중 적어도 하나에 대응하는 정보를 포함한다.
다른 관점에서, 장치는 간섭 측정 시스템을 포함하는 것에 대해 개시되어 있다. 상기 간섭 측정 시스템은, 반사율이 상이한 테스트 물체 상의 테스트 표면의 복수의 영역의 각각에 있어서, 각도 및 파장의 범위에 걸쳐 영역의 반사율에 관한 정보를 측정하는 제1 모드에서 동작하고, 상기 복수의 영역 각각의 적어도 일부를 포함하는 범위에 걸쳐 상기 테스트 표면의 토포그래피를 간섭적으로 프로파일링하는 제2 동작 모드에서 동작하고, 오류를 감소시키기 위해 상기 복수의 영역의 반사율에 관한 정보에 기초해서 프로파일을 정정하도록 구성되어 있다.
전술한 특징들 중 임의의 특징은 전술한 방법, 장치 및 시스템에 대한 다양한 실시예에 단독으로 또는 조합으로 포함될 수 있다는 것을 이해할 수 있을 것이다.
간섭 시스템의 동공면은 "후초점면" 또는 "푸리에 면"으로 어느 정도 언급되는, 간섭계의 출사 동공의 면으로 이해되어야 한다.
여기에서 사용되는 바와 같은 "광"은 가시 스펙트럼 영역에서의 전자기 방사에 제한되는 것이 아니라, 자외선, 가시광선, 근적외선, 적외선 스펙트럼 영역 중 어느 영역에서의 전자기 방사에 일반적으로 언급된다.
별다른 정의가 되어 있지 않으면, 여기서 사용되는 모든 기술적 과학적 용어는 본 발명이 속하는 당업자에 의해 공통적으로 이해되는 바와 동일한 의미를 갖는다. 참고로 원용되는 문헌과 대립하는 경우, 본 발명에 개시된 내용이 우선한다.
본 발명의 다른 특징, 목적 및 이점은 이하의 상세히 설명으로부터 분명하게 될 것이다.
도 1은 타원편광분석법에 동작하도록 구성된 간섭 측정 시스템(100)의 개략도이다.
도 2는 간섭 측정 시스템(100)의 테스트 광과 기준 광 사이의 광학 경로 길이 차("OPD"-OPD는 카메라 프레임 수로 표시됨)가 변할 때 검출기 소자를 측정하는 간섭 신호의 예를 나타내는 그래프이다.
도 3은 프로파일링 모드에서 동작하도록 구성된 간섭 측정 시스템(100)의 개략도이다.
도 4는 실리콘 기판 위에 1-미크론 두께의 이산화 실리콘막을 가지는 테스트 물체에 대한 검출기 소자에 의해 수집된 데이터에 대한 도면으로서, 좌측 도면은 OPD 주사 동안 프레임 수의 함수로서 검출기 소자에 의해 측정된 간섭 신호를 나타내며, 우측 도면은 파수와 관련해서 간섭 신호의 푸리에 변환을 나타내는 데, 스펙트럼 크기는 굵은 추적선으로 도시되어 있고 스펙트럼 위상은 점선 추적선으로 도시되어 있다.
도 5는 분석 근사와 함께, 조명원의 스펙트럼 분포를 도시하는 도면이다.
도 6a는 측정된 간섭 신호의 스펙트럼 크기와 공간 주파수 도메인에서의 모델 간섭 신호와의 비교를 나타낸 도면이다.
도 6b는 측정된 간섭 신호의 스펙트럼 위상과 공간 주파수 도메인에서의 모델 간섭 신호와의 비교를 나타낸 도면이다.
도 6c는 측정된 간섭 신호의 스펙트럼 신호 강도와 모델 간섭 신호와의 비교를 주사 위치의 함수로서 나타낸 도면이다.
도 7은 간섭 측정 시스템의 속성을 모니터링 하기 위한 흐름도이다.
도 8은 두 개의 간섭 측정 시스템의 특성을 비교하기 위한 흐름도이다.
도 9a는 테스트 물체의 평명도를 도시한다.
도 9b는 테스트 물체의 일부에 대한 단면도를 도시한다.
도 10a는 세밀하게 분해된 표면 특징을 포함하는 물체를 도시한다.
도 10b는 세밀하게 분해된 표면 특징을 포함하는 물체의 계산된 프로파일을 도시한다.
도 10c는 세밀하게 분해된 표면 특징을 포함하는 물체에 대한 표면 프로파일 높이의 함수로서, 예측된 높이 오프셋을 나타내는 도면이다.
도 11은 모델 기반의 측정 기술을 위한 흐름도이다.
도 12는 다른 모델 기반의 측정 기술을 위한 흐름도이다.
도 13은 다른 모델 기반의 측정 기술을 위한 흐름도이다.
도 14는 간섭 측정 시스템(100)에 대한 다른 평면 개략도이다.
도 15는 간섭 측정 시스템(100)에 대한 다른 실시예의 개략도이다.
도 16은 간섭 측정 시스템(100)에 대한 또 다른 실시예의 개략도이다.
도 17은 광대역, 공간적 코히어런트 조명을 특징으로 하는, 타원편광분석 모드에서 동작하도록 구성된 간섭 측정 시스템(100)의 개략도이다.
도면 중 유사한 참조부호는 공통의 소자를 나타낸다.
여기에 개시된 실시예들은 테스트 표면의 선택된 영역에 대한 간섭기 프로브 빔의 3개의 광학적 특성(즉, 파장, 입사각, 및 편광 상태) 모두에 대해 넓은 범위에 걸쳐 물체의 많은 수의 반사성 데이터 포인트를 신속하게 수집하기 위한 간섭 측정 시스템 및 기술을 포함한다. 이 데이터는 후술되는 바와 같이, 간섭기의 동공면을 촬상함으로써 통상적으로 얻어진다.
또한, 시스템은 전술한 타원편광분석 동작 모드를 프로파일링 모드로 전환시켜, 테스트 표면에 관한 측면으로 분해된 정보를 제공할 수 있다. 또한, 타원편광분석 모드에서 결정된 정보를 사용하여 프로파일링 모드에서 획득된 정보의 정확성을 높일 수 있다. 예를 들어, 타원편광분석 모드는 테스트 표면을 가지는 테스트 물체의 물질 속성에 관한 정보를 제공하여 다양한 광학적 경계면, 예를 들어 특별한 관심의 대상이 되는 상부 표면(공기 경계면)으로 이루어지는 더 정확한 토포그래피 맵을 생성할 수 있다. 이 멀티-모드 동작에 대해서는, 발명의 명칭이 "INTERFEROMETER FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE"이고, 2006년 1월 19일에 출원된 미국특허출원 No. 11/335,873; 발명의 명칭이 "INTERFEROMETER WITH MULTIPLE MODES OF OPERATION FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE"이고, 2006년 1월 19일에 출원된 미국특허출원 No. 11/335,871; 발명의 명칭이 "INTERFEROMETER FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE, INCLUDING PROCESSING AND CALIBRATION"이고, 2006년 1월 19일에 출원된 미국특허출원 No. 11/334,949; 및 발명의 명칭이 "INTERFEROMETER FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE"이고 2005년 1월 20일에 출원된 미국특허가출원 No. 60/645,448에 상세히 기재되어 있으며, 상기 문헌 각각은 본 발명에 원용된다.
또한, 동공 촬상 모드에서 기지의 표면의 간섭 측정을 수행함으로써 간섭기 및 그 광원의 광학 특성을 완전하게 특징짓는 기술들이 개시되어 있다. 예를 들어, 후술되는 바와 같이, 다음 중 하나 이상을 결정할 수 있다:
- 간섭기 동공을 횡단하는 그 변동을 포함하는, 광원의 정상화된 스펙트럼 분포;
- 간섭 동공을 횡단하는 광원 평균 강도 또는 스펙트럼의 강도의 변동;
- 전체적인 광학 시스템을 통해 (광원으로부터 검출기로) 전파될 때, 물체 표면에서의 입사각 및 파장의 함수로서, 입사면(P-편광)에 평행한 편광 상태와 비교되는 입사면(S-편광)에 수직인 편광 상태의 감쇄량;
- 파장, 입사각 및 편광 상태의 함수로서의 간섭 위상의 시스템 상의 오프셋(이러한 효과는 간섭기 캐비티의 테스트 다리 및 기준 다리 상의 예를 들어 물질의 두께 차로부터, 빔 스플리터 코팅의 속성으로부터, 그리고 평평함(flatness)으로부터 빔 스플리터 플레이트로 인한 파면 왜곡 등으로부터 생길 수 있다);
- (가능한 파장의 함수로서) 물체 표면에서 광학 기구에 의해 생긴 조명 입사각의 범위;
- (예를 들어, 파면 기울기들의 측정된 분포와 아베 사인 조건(abbe sine condition)으로부터 기대되는 것들을 비교함으로써) 광학 시스템의 파면 수차(wavefront aberration) 및 파장에 따른 변동.
일부의 실시예에서, 이러한 속성들은 간섭 시스템의 어셈블리에 진단 정보를 제공한다. 이러한 속성들은 또한 유사한 특성을 가진 일치된 세트의 기구를 생성하는 사용될 수 있는 양적 정보도 제공하며, 이에 의해 복수의 간섭기를 횡단하는 일관적인 측정이 가능하다. 이것은 포함되는 복수의 기구를 테스트하는, 예를 들어 생산 라인을 위한 이익이다.
일부의 실시예에서, 이러한 속성들은 측정 프로세스의 다양한 모델에 대한 중요한 입력으로서 사용된다. 이러한 모델은 표면 특성의 문맥에서 예를 들어 라이브러리에 기반한 신호 매칭(library-based signal matching)에 사용될 수 있는 합성 간섭 신호를 생성한다(예를 들어, 2004년 3월 8일에 출원되고 발명의 명칭이 ""인 미국특허 No. 7,106,454를 참조하라. 상기 문헌의 내용은 본 발명에 원용된다). 합성 신호는 또한 표면 광학 속성으로부터 생기는 높이 오프셋을 결정하기 위해 실험 신호와 동일한 방식으로 분석될 수 있다. 이하에 예를 들어 설명한다.
예시적 장치
도 1은 간섭 측정 시스템(100)의 개략도이다. 공간적으로 확장된 광원(102)은 입력 광(104)을 릴레이 광학 기구(108 및 110) 및 빔 스플리터(112)를 통해 간섭 대물 렌즈(106)로 향하게 한다. 릴레이 광학 기구(108 및 110)는 (점선의 마진 레이(116) 및 실선의 주요 레이(117)로 도시된 바와 같이) 공간적으로 확장된 광원(102)으로부터 간섭 대물 렌즈(106)의 구경 조리개(aperture stop)(115) 및 대응하는 동공면(114)으로의 입력 광(104)을 촬상한다.
도 1의 실시예에서, 간섭 대물 렌즈(106)는 대물 렌즈(118), 빔 스플리터(120), 및 기준 표면(122)을 포함하는 미라우 타입(Mirau-type)으로 되어 있다. 빔 스플리터(120)는 입력 광(104)을 테스트 물체(126)의 테스트 표면으로 향하는 테스트 광(122), 및 기준 표면(122)으로부터 반사되는 기준 광(128)으로 분리한다. 대물 렌즈(118)는 이 테스트 광 및 기준 광을 테스트 표면 및 기준 표면에 각각 집속시킨다. 기준 표면(122)을 지지하는 기준 광학 기구(130)는 그 집속된 기준 광에 대해서만 반사하도록 코팅되어 있어서, 대부분의 입력 광은 빔 스플리터(120)에 의해 분리되기 전에 기준 광학 기구를 통과한다.
테스트 표면 및 기준 표면으로부터 반사되기 전에, 테스트 광 및 기준 광은 빔 스플리터(120)에 의해 재결합되어 결합 광(132)을 형성하고, 이 결합 광은 빔 스플리터(112) 및 릴레이 렌즈(136)에 의해 투과되어 전자 검출기(134)(예를 들어, 다중-소자 CCD 또는 CMOS 검출기) 상에 광학 간섭 패턴을 형성한다. 검출기를 횡단하는 광학 기준 패턴의 강도 프로파일은 검출기의 여러 소자에 의해 측정된 후 분석을 위해 전자 프로세서(도시되지 않음)에 저장된다. 테스트 표면이 검출기에 촬상되는 종래의 프로파일링 간섭기와는 달리, 본 발명의 실시예에서는, 릴레이 렌즈(136)(예를 들어, 버트랜드 렌즈(Bertrand lens))가 (다시 말해, 점선 마진 레이(116) 및 실선 주요 레이(117)에 의해 도시된 바와 같이) 동공면(114) 상의 여러 포인트를 검출기(134) 상의 대응하는 포인트에 촬상한다.
동공면(114)을 조명하는 각각의 광원 포인트는 테스트 표면(124)을 조명하는 테스트 광(122)에 대한 평면파 프론트(plane wave front)를 생성하기 때문에, 동공면(114) 내의 광원 포인트의 방사 위치(radial location)는 정상의 물체와 관련해서 조명 다발(illimination bundle)의 입사각을 정의한다. 그러므로 광축으로부터 주어진 거리에 위치하는 모든 광원 포인트는 고정된 입사각에 대응하고, 이에 의해 대물 렌즈(118)는 테스트 광(122)을 테스트 표면에 집속시킨다. 릴레이(108 및 110) 사이에 위치하는 필드 스톱(field stop)(138)은 테스트 광(122)에 의해 조명되는 테스트 표면(124)의 영역을 정한다. 테스트 표면 및 기준 표면으로부터의 반사 후, 결합 광(132)은 대물 렌즈의 동공면(114)에 광원의 제2 이미지를 형성한다. 그런 다음 이 동공면 상의 그 결합 광은 릴레이 렌즈(136)에 의해 검출기(134) 상에 다시 촬상되기 때문에, 검출기(134)의 여러 소자는 테스트 표면(124) 상의 테스트 광(122)의 여러 조명 각에 대응한다.
편광 소자(140, 142, 144 및 146)는 테스트 표면 및 기준 표면으로 각각 향하는 테스트 광 및 기준 광의 편광 상태를 정의하고, 그 결합 광의 편광 상태는 검출기로 향한다. 본 실시예에 따르면, 각각의 편광 소자는 편광기(예를 들어, 선형 편광기), 방사 플레이트(예를 들어, 1/2 또는 1/4 웨이브 플레이트), 또는 입사 빔의 편광 상태에 영향을 미치는 유사한 광학 기구일 수 있다. 또한, 일부의 실시예에서는, 하나 이상의 편광 소자가 없을 수도 있다. 또한, 실시예에 따르면, 빔 스플리터(112)는 편광 빔 스플리터 또는 비편광 빔 스플리터일 수 있다. 이러한 편광 소자의 다양한 실시예에 대해 상세히 후술한다. 일반적으로, 편광 소자(140, 142 및/또는 146)가 있기 때문에, 테스트 표면(124)에서 테스트 광(122)의 편광 상태는 동공면(114)에서 광의 방위 위치(azimuthal position)의 함수일 수 있다.
본 실시예에서, 광원(102)은 광대역의 파장(예를 들어, 전체 폭, 50 nm 이상의 절반-최대(half-maximum), 또는 바람직하게는 10 nm보다 훨씬 이상의 방출 스펙트럼)에 걸쳐 조명을 제공한다. 예를 들어, 광원(102)은 백색광 발광 다이오드(LED), 할로겐 전구의 필라멘트, 크세논 아크(Xenon arc)와 같은 아크 램프 또는 매우 넓은 소스 스펙트럼(> 200 nm)을 발생하기 위해 광학 물질에서 비선형 효과를 사용하는 소위 초연속 광원(supercontinuum source)일 수 있다. 광대역의 파장은 제한된 코히어런스 길이에 대응한다. 종래의 주사 간섭기에서와 같이, 전환 스테이지(transition stage)(150)는 테스트 광과 기준 광 사이의 상대적 광학 경로 길이를 조정하여 검출기 소자 각각에서 광학 간섭 신호를 생성한다. 예를 들어, 도 1의 실시예에서, 전환 스테이지(150)는 간섭 대물 렌즈(106)에 결합된 압전기 트랜스듀서로서, 테스트 표면과 간섭 대물 렌즈 사이의 거리를 조정하며, 이에 의해 검출기에서 테스트 광과 기준 광 사이의 상대적 광학 경로 길이를 변화시킨다.
도 2는 변환 스테이지가 테스트 광과 기준 광 사이의 상대적 광학 경로 길이를 변화시킬 때 검출기 소자 중 하나에 의해 측정된 예시적 간섭 신호를 나타낸다. 간섭 신호는 광원의 코히어런스 길이에 대응하는 콘트라스트 엔벨로프에 의해 변조된다. 기준 표면은 간섭기 내에 위치함으로써 테스트 광과 기준 광 사이의 제로 광학 경로 길이 차가 대물 렌즈(118)와 관련해서 초점이 맞추어져 있는 테스트 표면의 위치에 대응한다. 그러므로 테스트 표면이 간섭 대물 렌즈와 관련해서 이 초점 내 위치에 있을 때 최대 콘트라스트가 관찰된다. 코히어런스 길이보다 더 넓은 범위에 걸쳐 전환 단계를 주사함으로써 측정이 수행되어, 검출기에서 측정된 강도 패턴의 시퀀스에서 콘트라스트 엔벨로프가 포착된다.
각각의 검출기 소자에서 측정된 간섭 신호는 전자 프로세서에 의해 분석되며, 이 전자 프로세서는 검출기(134) 및 전환 스테이지(150)에 모두에 전자적으로 결합되어 있다. 본 실시예에서, 전자 프로세서는 예를 들어, 푸리에 변환을 사용함으로써 간섭 신호를 주파수 도메인으로 변환시켜, 광원의 여러 파장 컴포넌트에 대한 위상 및 진폭 정보를 추출한다. 바람직하게, 많은 독립적인 스펙트럼 컴포넌트가 이 과정에서 계산될 수 있을 정도로 광원 스펙트럼이 넓다. 상세히 후술되는 바와 같이, 진폭 및 위상 데이터는 테스트 표면의 복잡한 반사성과 직접 관련되며, 이것은 테스트 물체에 관한 정보를 판별하기 위해 분석될 수 있다. 일반적으로, 전자 프로세서는 별도의 캘리브레이션으로부터의 정보를 사용하여 기준 미러(reference mirror)의 반사성 및 간섭기의 다른 광학적 특성에 대한 측정을 정정한다. 간섭 시스템(100)의 이러한 배치로 인해, 전자 검출기(134)의 각각의 검출기 소자는 (편광 소자(140, 142, 144 및/또는 146)의 방향에 따른) 특정한 입사각 및 편광 상태에 있어서, 광원(102)에 의해 생성된 파장의 다중도(multiplicity)로 반사성 측정을 제공한다. 그러므로 검출기 소자들의 집합은 입사각의 범위, 편광 상태 및 파장을 커버하고, 이것은 측정 기구의 능력을 최대화하여 미지의 광학적 구조을 적절하게 특징짓는다.
일련의 캘리브레이션 과정은 그 측정된 간섭 신호로부터 테스트 표면의 복잡한 반사성을 유도하는 데 사용될 수 있다. 예를 들어, 테스트 물체로서 기지의 (불투명하거나 투명한) 벌크 물질로 만들어진 미러로 캘리브레이션 측정이 이루어질 수 있고, 스펙트럼 필터를 사용하여 선택된 파장을 광원으로부터 격리시킬 수 있다. 그런 다음 검출기 상에서 측정된 간섭 신호를 처리하여 각각의 검출기 소자에 대응하는 입사각 및 데이터 획득을 위해 사용된 주사 스테이지의 속도를 결정할 수 있다. 후자의 정보는 간섭 신호 스펙트럼 컴포넌트를 그 각각의 파장에 적절하게 일치시키는 데 유용하다. 간섭기 및 촬사 시스템의 속성을 픽셀 단위로 유도하기 위해 기지의 광학적 속성의 물체를 사용하는 추가의 측정도 마찬가지로 사용될 수 있다. 예를 들어, 캘리브레이션은 각각의 파장에 대해 각각의 검출기 위치에서 시스템의 투과를 계산하는 단계를 포함할 수 있다. 마찬가지로, 편광의 직각 스테이트들 사이에서 도입된 위상 오프셋과 같은 편광 효과도 마찬가지로 필요하다면 각각의 검출기 소자 및 각각의 파장에 대해 측정될 수 있다. 캘리브레이션의 특정한 실시예에 대한 특정한 설명을 이하에 상세히 후술한다.
간섭 측정 시스템(100)을 테스트 표면의 복잡한 반사성을 결정하기 위한 타원편광분석 모드로부터, 예를 들어 테스트 표면의 토포그래피를 결정하기 위한 프로파일링 모드로 전환하기 위해, 광원의 이미지 대신 그 부분의 이미지가 검출기 상에 초점 내에 오도록 촬상 시스템의 속성을 충분히 변경한다. 도 3에 도시된 바와 같이, 이것은 예를 들어 릴레이 렌즈(136)를 다른 렌즈(236)로 대체하여 검출기 위치를 고정시킴으로써 달성될 수 있다. 이 경우, 광원(102)으로부터의 입력 광은 계속해서 동공면(114)에 촬상될 수 있으나, 124 상의 포인트는 (광원(102)으로부터의 마진 레이(216) 및 주요 레이(217)에 의해 표시된 바와 같이) 검출기(134) 상의 대응하는 포인트에 촬상된다. 2006년 1월 19일에 출원되고 발명의 명칭이 "INTERFEROMETER WITH MULTIPLE MODES OF OPERATION FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE"인 미국특허출원 No. 11/335,871에 개시된 바와 같이, 렌즈의 대체는 기계적으로 이루어질 수 있으며, 동작 모드 간의 빠르고 효과적인 전환을 가능하게 한다.
예를 들어, 도 1a는 간섭 시스템(100) 내의 다양한 컴포넌트들이 전자 프로세서(970)의 제어 하에 어떻게 자동화될 수 있는 지에 대한 개략도이며, 이것은 본 실시예에서 수학적 분석을 수행하는 분석 프로세서(972), 간섭 시스템 내의 다양한 컴포넌트들을 제어하는 디바이스 제어기(974), 사용자 인터페이스(976)(예를 들어, 키보드 및 디스플레이), 및 캘리브레이션 정보, 데이터 파일, 샘플 모델, 및/또는 자동화된 프로토콜을 저장하는 저장 매체(978)를 포함할 수 있다.
먼저, 시스템은 복수의 대물 렌즈(912)를 지지하는 전동 터릿(motorized turret)(910)을 포함할 수 있고 선택된 대물 렌즈를 입력 광(104)의 경로로 도입하도록 구성되어 있다. 대물 렌즈 중 하나 이상은 다양한 간섭 대물 렌즈가 다양한 배율을 제공하는 간섭 대물 렌즈일 수 있다. 또한, 특정한 실시예에서, 하나 (이상)의 간섭 대물 렌즈는 편광 소자(146)(예를 들어, 선형 편광기)를 부착함으로써 타원편광분석 동작 모드를 위해 특별히 구성될 수 있다. 나머지 간섭 대물 렌즈는 프로파일링 모드에서 사용될 수 있으며, 특정의 실시예에서는 편광 소자(146)를 생략하여 (빔 스플리터(112)가 편광 빔 스플리터이고 편광 소자(142)가 1/4 웨이브 플레이트인 전술한 실시예에서와 같이) 광 효율성을 높일 수 있다. 또한, 대물 렌즈 중 하나 이상은 상이한 배율을 각각 가지는 비-간섭 대물 렌즈(즉, 기준 다리가 없는 렌즈)일 수 있으므로, 시스템(100)도 마찬가지로 테스트 표면의 광학적 이미지를 수집하는 종래의 현미경 모드에서 동작할 수 있다(이 경우 릴레이 렌즈가 테스트 표면을 검출기에 대해 촬상하도록 설정된다). 터릿(910)은 전자 프로세서(970)의 제어하에 있고, 이것은 사용자 입력 또는 일부의 자동화된 프로토콜에 따라 원하는 대물 렌즈를 선택한다.
다음, 시스템은, 릴레이 렌즈(136 및 236)를 지지하면서 제1 모드와 제2 모드 사이를 선택하기 위해 결합 광(132)의 경로에 이 릴레이 렌즈 중 하나를 선택적으로 위치시키는 전동 터릿(920)(예를 들어, 튜브 렌즈 홀더)을 포함하며, 상기 제1 모드(예를 들어, 타원편광분석 모드 또는 간섭기 모드)에서는 동공면(114)이 검출기에 촬상되고 상기 제2 모드(예를 들어, 프로파일링 또는 현미경 모드)에서는 테스트 표면이 검출기에 촬상된다. 전동 스테이지(920)는 전자 프로세서(970)의 제어 하에 있고, 이것은 사용자 입력 또는 일부의 자동화된 프로토콜에 따라 원하는 릴레이 렌즈를 선택한다. 다른 실시예에서는, 제1 모드와 제2 모드 사이로 전환하기 위해 검출기의 위치를 조정하도록 변환 스테이지가 이동되고, 이 변환은 전자 프로세서의 제어 하에 있다. 또한, 두 개의 검출 채널을 갖는 이러한 실시예에서는 각각의 검출기가 분석을 위해 전자 프로세서(970)에 결합된다.
또한, 시스템은 필드 스톱(138) 및 구경 조리개(115) 각각의 치수를 제어하기 위해 전자 프로세서(970)의 제어 하에 전동 조리개(930 및 932)를 포함할 수 있다. 다시 전동 조리개는 전자 프로세서(970)의 제어하에 있으며, 이것은 사용자 입력 또는 일부의 자동화된 프로토콜에 따라 원하는 설정을 선택한다.
또한, 간섭기의 테스트 다리와 기준 다리 사이의 상대적 광학 경로 길이를 변화시키는 변환 스테이지(150)는 전자 프로세서(970)의 제어하에 있다. 전술한 바와 같이, 변환 스테이지는 테스트 물체(976)를 지지하는 탑재부(mount)(940)와 관련해서 간섭 대물 렌즈의 위치를 조정하도록 결합될 수 있다. 대안으로, 추가의 실시예에서, 변환 스테이지는 탑재부와 관련해서 전체적으로 간섭 시스템의 위치를 조정할 수 있거나, 변환 스테이지는 탑재부에 결합될 수 있는데, 이 탑재부가 광학 경로 길이 차를 변화시키도록 이동시키는 탑재부이기 때문이다.
또한, 측면 변환 스테이지(940)는 마찬가지로 전자 프로세서(970)의 제어 하에, 테스트 물체를 지지하는 탑재부(940)에 결합되어 광학적 감시 하에 테스트 표면의 영역을 측면으로 변환시킬 수 있다. 특정의 실시예에서, 변환 스테이지(950)도 마찬가지로 테스트 표면을 간섭 대물 렌즈의 광축에 수직이 되도록 탑재부(940)를 배향시킬 수 있다(예를 들어, 팁 및 틸트를 제공할 수 있다).
마지막으로, 물체 취급 스테이션(960)은, 마찬가지로 전자 프로세서(970)의 제어 하에, 탑재부(940)에 결합되어, 측정을 위해 시스템(100)으로의 테스트 샘플의 자동화된 도입 및 제거를 제공할 수 있다. 예를 들어, 당분야에 공지된 자동화된 웨이퍼 취급 시스템을 이 목적에 사용할 수 있다. 또한, 필요하다면, 시스템(100) 및 물체 취급 시스템은 진공 또는 무균실 조건 하에서 하우징되어 테스트 물체의 오염을 최소화할 수 있다.
이와 같은 시스템은 다양한 측정 형식 및 과정을 제공하기 위한 상당한 유연성을 제공한다. 예를 들어, 시스템은 물체의 다양한 측면 위치에 대한 테스트 물체의 광학 이미지를 획득하기 위해 하나 이상의 선택된 배율을 가지는 현미경 모드에서 먼저 구성될 수 있다. 이러한 이미지는 (머신 비전 기술을 사용하여) 사용자에 의해 또는 전자 프로세서(970)에 의해 분석되어 물체 내의 특정의 영역(예를 들어, 특정한 구성 및 특징, 랜드마크, 기준 표식자(diducial marker), 결함 등)을 식별할 수 있다. 이러한 식별에 기초하여, 그런 다음 샘플의 선택된 영역을 타원편자분석 모드에서 연구하여 샘플 속성(예를 들어, 굴절률, 하부의 막두께(들), 물질 식별 등)을 결정할 수 있다.
따라서, 전자 프로세서는 스테이지(920)로 하여금 릴레이 렌즈를 타원편광분석 모드를 위해 구성된 것으로 전환하도록 하고 또한 터릿(910)으로 하여금 적절한 간섭 대물 렌즈가 입력 광의 경로로 도입되도록 한다. 타원편광분석 측정의 정확성을 높이기 위해, 전자 프로세서는 전동 조리개(930)를 통해 필드 스톱의 크기를 감소시켜 물체의 작은 측면 상의 동질 영역(homogenous region)을 격리시킬 수 있다. 타원편광분석 특성화가 완료된 후, 전자 프로세서(970)는 이 분석 도구를 프로파일링 모드로 전환시켜, 적절한 배율을 가지는 간섭 대물 렌즈를 선택하고 이에 따라 필드 스톱의 크기를 조정할 수 있다. 전술한 바와 같이, 프로파일링 모드는, 물체를 구성하는, 예를 들어 하나 이상의 경계면의 토폴로지를 재구성할 수 있게 하는 간섭 신호를 획득한다. 명백하게, 이하에 상세히 후술하는 바와 같이, 타원편광분석 모드에서 결정된 다양한 물체의 광학적 특징에 대한 지식으로, 박막에 대한 계산된 포토그래피를 정정하거나 그렇지 않으면 프로파일을 왜곡시키는 유사하지 않은 물질 효과를 정정할 수 있다. 발명의 명칭이 "PROFILING COMPLEX SURFACE STRUCTURES USING SCANNING INTERFEROMETRY"이고 미국특허공보 No. US-2004-0189999-A1로서 공개된 미국특허출원 No. 10/795,579를 참조하라. 원한다면, 전자 프로세서는 또한 전동 조리개(932)를 통해 구경 조리개 직경을 조정하여 다양한 모드 중 임의의 모드에서 측정을 향상시킬 수 있다.
자동화된 물체 취급 시스템(960)과 결합하여 사용하면, 측정 과정이 일련의 샘플에 대해 자동으로 반복될 수 있다. 이것은 하나 이상의 반도체 처리 단계를 모니터링하고, 테스트하고, 및/또는 최적화하는 것과 같은 다양한 프로세스 제어 방식에 유용할 수 있다.
측정 모델
간섭 시스템(100)의 간섭 신호의 분석을 증명하기 위해, 편광 소자(140 및 144)가 선형 편광기이고, 편광 소자(142 및 146)가 없으며, 빔 스플리터(112)는 비-편광 빔 스플리터인 실시예를 상정한다. 선형 편광기(140)의 효과는 동공면(114) 내의 포인트마다 동일한 선형 편광 상태를 생성하는 것이다. 그 결과, 테스트 표면(124) 상에 입사하는 광의 편광은 선형이지만, 입사 면과 관련하여 그 배향은 동공면에서 광원 포인트의 방위 위치의 함수이다. 예를 들어, 동공면 내의 선형 편광의 방향과 평행한 동공 직경에 속하는 광원 직경은 테스트 표면에서 입사면 내에서 선형으로 편광되는 조명광을 발생시킬 것이다(이것을 P 편광 상태라 한다). 마찬가지로, 동공면 내의 선형 편광의 방향에 수직인 직경에 속하는 광원 포인트는 입사 면에 수직으로 선형으로 편광되는 조명광을 발생시킬 것이다(이것을 S 편광 상태라 한다). 이러한 두 개의 직경에 속하지 않는 광원 포인트들은 S 편광 상태와 P 편광 상태가 혼합되어 있는 테스트 표면 상에 조명광을 생성시킬 것이다. 이것은 테스트 표면에 대한 반사성 계수가 S 편광 및 P 편광에 대해 상이하기 때문에 타당하다.
두 개의 선형 편광기는 검출기에 의해 검출된 간섭 신호의 내용을 영향을 미칠 다수의 상대적 방향을 가질 수 있다. 예를 들어, 편광기들이 평행이면, 그 측정된 간섭 신호는 동공면의 하나의 직경에 대해서는 테스트 표면에 입사하는 S 편광 테스트 광에 단독으로 의존할 것이고, 동공면의 직각 직경에 대해서는 테스트 표면 상에 입사하는 P 편광 테스트 광에 단독으로 의존할 것이다(기준 표면에 입사하는 기준 광에 대해서도 마찬가지이다). 이것은 S 반사성 및 P 반사성의 크기 및 위상 간의 차가 타원편광분석에 기초하기 때문에 관심을 끌 수 있다. 그러므로 원한다면, 데이터의 간략화된 처리는 이러한 두 개의 직경에 제한될 수 있다. 한편, 전체적인 동공면에 걸쳐 있는 데이터를 사용하는 것은 두 개의 편광 상태의 혼합을 고려해야 하지만, 더 많은 데이터 포인트를 제공하고 이에 따라 측정의 분해능을 높인다.
이하의 분석은 서로 평행하게 정렬된 두 개의 선형 편광기를 가지는 배치에 적용된다. 이 경우, 제2 선형 편광기(편광 소자(144))를 통해 검출기(134)로 투과되는 테스트 광의 양은 다음과 같이 표현될 수 있다:
Figure pct00001
여기서 θ는 편광기들의 방향과 관련하여 측정된 방위각이고, rp 및 rs는 P 편광 상태 S 편광 상태에 대한 물체 표면의 복소수 반사 계수("프레넬 반사 계수(Fresnel reflection coefficients)")이고, tp 및 ts는 간섭 대물 렌즈(106) 및 메인 빔 스플리터(112)를 통해 왕복 운동하기 위한 P 편광 상태 및 S 편광 상태에 대한 투과 계수이며, Eout는 전계의 복소수 진폭이다. 이 모델은 광학 기구가 복굴절에 자유로우며 물체 표면의 반사 어긋남(reflection off)은 S 편광 상태 및 P 편광 상태를 혼합할 메커니즘으로부터도 자유롭다는 것을 가정한다. 예를 들어, 그 축이 수직의 로컬 표면을 따르는 단축 물질(uniaxial material)이 본 문맥에서 특징지어질 수 있으나, 평면 내(in-plane) 복굴절을 가지는 물질은 상이한 모델을 필요로 한다.
실제로, 동일한 모델이 간섭기의 기준 다리를 따라 전파되는 기준 광에 적용되지만, 반사 계수 및 투과 계수는 선험적으로 다르다:
Figure pct00002
주어진 광원 파장 λ 및 동공면에서 주어진 광원 포인트의 경우에 검출기에서 측정되는 간섭 패턴은 적 EoutEr out에 비례하는 변조 항목(modulating term)으로 이루어져 있다:
Figure pct00003
여기서 κ=2π/λ이고, λ는 광의 파장이고, z는 테스트 광과 기준 광 사이의 제로 광학 경로 길이 차와 관련된 기계적 주사의 테스트 표면의 수직 위치이고, α는 테스트 표면에서의 광의 입사각이고(동공에서의 광원 포인트 위치에 의존한다), φ는 테스트 전계와 기준 전계 사이의 위상차이다. 실제로, 주어진 검출기 위치에서 측정된 신호는 광원 스펙트럼에 존재하는 다양한 파장에 의해 발생된 모든 신호들의 합이다. 그 결과, 신호의 푸리에 변환은, 이러한 기여(contributions)가, 매우 좁은 파장 범위에 대응하는 복잡한 스펙트럼 컴포넌트로 분리하는 것을 허용한다. 계산된 스펙트럼 컴포넌트를 특정한 광원 파장에 할당하기 위해, 이러한 스펙트럼 컴포넌트의 위치를 시프트시키는 정정 요소(correction factor) cos(α)를 고려해야만 한다는 것에 유념하라. 이 정정 요소는 검출기의 픽셀마다 광의 입사각을 아는 것을 포함한다. 광학 시스템의 캘리브레이션은 이러한 작업에 사용될 수 있으며 상세히 후술된다.
도 4(위 도면)는 실리콘 상의 1003-nm 두께의 이산화 실리콘막을 측정할 때 (동공면 내의 주어진 위치에 대응하는) 검출기(134)의 주어진 검출기 소자에 의해 측정된 대표적인 간섭 신호를 도시하고 있다. 도 4(아래 도면)는 파장의 함수(또는 대응하는 파수(wavenumber) κ)로서 스펙트럼 크기 및 위상을 생성하기 위해 간섭 신호를 푸리에 변환시킨 결과를 도시하고 있다. 스펙트럼 크기 및 위상의 변동은 파장(또는 파수)의 함수로서의 프레넬 반사 계수의 변동의 결과이다.
특정의 실시예에서, 주파수 변환 프로세스는 검출기 상의 동공면의 이미지 내에서 관심의 대상이 되는 영역에 적용된다. 예를 들어, 관심의 대상이 되는 영역은 환형(annmulus)이며, 이것은 테스트 표면에서 입사각의 주어진 범위를 정의한다. 이 환형 내의 픽셀(즉, 검출기 소자 중 하나)의 방위 위치는 테스트 표면을 조명하는 S 편광 및 P 편광의 혼합을 정의하고, 광학 축까지의 픽셀의 방사 거리는 입사각을 정의한다. 또한, 관심의 대상이 되는 복수의 원에 대해 전술한 바와 같이 스펙트럼 컴포넌트를 (가능한 보간법을 사용하여) 추출하는 것이 유용할 수 있다. 이러한 하나의 원에 대해 계산된 이러한 컴포넌트들은 다음과 같은 형태로 쓰여질 수 있다:
Figure pct00004
단,
Figure pct00005
Figure pct00006
여기서 아래 첨자들은 기능적 의존성을 나타내고, α는 동공면에서 원의 반경에 대응하는 입사각이며, λ는 광의 파장이고, θ는 선현 편광기들과 관련해서 측정된 방위각이며, h는 는 물체 표면의 높이 오프셋이고, L은 광원 강도 또는 신호 강도와 관련된 실제의 스케일링 요인(scaling factor)이며, I는 광원에 걸쳐 광 강도의 변동뿐만 아니라 광학 기구에서 일어나는 위상 및 진폭을 나타내는 복소수 함수이다.
간섭 측정 시스템 특성
특정의 실시예에서, 시스템 캘리브레이션의 제1 단계는 동공면에서의 광원 포인트의 위치에 기초하여 테스트 표면에서 빔 번들(beam bundle)의 입사각을 계산하는 것을 포함한다. 환언하면, 동공면의 이미지에 대응하는 검출기의 각각의 픽셀에 입사각 α를 할당하기를 바란다. 이것은, 검출기에 의해 검출된 광이 필수적으로 단색이고 기지의 파장을 가지도록, 예를 들어 협대역 필터로 측정을 수행함으로써, 이루어질 수 있다. 이 경우, 수학식(3)은 간섭 신호의 주파수가 관계 κcosα를 통해 광원 파장 및 입사각에 비례한다는 것을 보여주고 있다. 신호 주파수는 신호의 푸리에 변환에 의해 계산될 수 있고, 입사각은 변환 스테이지의 주사 레이트 및 광원 파장에 관한 지식으로부터 도출될 수 있다.
또한, 변환 스테이지의 주사 레이트가 초기에 알려지지 않은 정도까지, 간섭 신호가 가장 큰 주파수를 가지는 검출기 상의 픽셀을 찾아냄으로써 결정될 수 있다. 관계 κcosα에 관한 주파수의 의존성에 따르면, 이 픽셀은 정상 입사(즉, α=0)에 대응하고, 따라서 스테이지 속도는 그 측정된 주파수 및 광원 파장의 지식으로부터 직접 추출될 수 있다.
현미경 대물 렌즈가 물체 공간에서의 각도를 동공 위치에 매핑하는 방식에 관한 선험적 정보도 이 캘리브레이션의 품질을 향상시키는 데 사용될 수 있다는 것을 유념하라. 예를 들어, 통상적인 대물 렌즈는 코마(coma)(기하학적 수차)에 대해 정정되며, 이것은 동공에 매핑되는 광(ray)은 소위 "아베 사인 조건"을 명목상 준수해야 한다는 것을 의미한다. 이 조건은 동공에서의 광축으로부터 광원 포인트의 방사 거리는 물체 공간에서의 입사각의 사인에 정비례한다는 것을 의미한다. 그러므로 각각의 픽셀에 대한 입사각을 계산할 수 있고 그런 다음 이 사인 조건으로부터 유도된 전체적인 함수를 맞추어 입사각에 대한 동공 위치에 매핑되는 분석 함수를 제공한다.
동공을 횡단하는 이상적인 각도 분포로부터 매핑되는 입사각의 편차는 파면 수차에 관한 정보를 제공한다. 이것은 예를 들어 소정 타입의 간섭기에 대한 구면 수차에 대한 내부 보상을 최적화하는 데 사용될 수 있다. 다른 파면들에서의 관련 측정은 광원 스펙트럼 분포에 따라 수차들의 균형을 유지하는 추가의 정보 및 방법을 제공한다.
입사각의 매핑은 또한 광학 시스템에 제공되는 다양한 물리적 스톱(physical stops)에 의해 허용되는 조명 방향의 유용한 범위를 직접적으로 측정한다는 것을 또한 유념하라. 이것은 주어진 광학 시스템에 대해 예상되는 명목 개구수(nominal numerical aperture)와는 다를 수 있다. 실제의 각도 범위는 측정 시스템의 정확한 모델링에 중요하다.
소정의 실시예에서, 위에서 개략 설명된 과정은 각 매핑(angular mapping)의 단색 변동이 고려될 수 있도록 다른 명목 광원 파장에 대해 반복될 수 있다. 피팅 과정(fitting procudure)의 부산물은 동공에서의 광축의 픽셀 위치이다. 그 정보는 또한 파장의 함수로서 기록될 수 있으며 입사각 계산에 정정을 적용하기 위해 나중에 사용될 수 있다.
소정의 실시예에서, 캘리브레이션은 수학식(4)에 나타난 관찰 가능한 Z를 타원편광분석 비율과 관련시키는 다양한 시스템 파라미터의 값을 계산하는 것을 포함한다.
예를 들어, 이것은 광학 특성이 알려져 있는 두 개의 샘플, 예를 들어 타원편광분석기로 통상적으로 사용되는 캘리브레이션 웨이퍼를 측정함으로써 달성될 수 있다. 관심의 대상이 되는 입사각 및 파장 각각에 있어서, 전자 프로세서는 두 샘플에 대한 수학식(4)에서와 같이 방위각 θ의 함수로서 스펙트럼 컴포넌트 Z를 결정한다. 그런 다음 이러한 컴포넌트들의 비를 계산하여, 복소수 비 Z를 θ의 함수로서 생성한다:
Figure pct00007
또는
Figure pct00008
여기서 ZSαλ 및 ταλ는 미지의 복소수이고 아래첨자 a 또는 b는 하나의 캘리브레이션 샘플 또는 다른 캘리브레이션 샘플을 나타낸다. ρa αλ 및 ρb αλ는 이러한 두 개의 물질에 대한 반사 계수의 비를 사용하여 계산된다. 이러한 계수는 기지의 물질 속성 및 캘리브레이션의 기지의 막 두께(존재하는 경우)를 사용하여 자체적으로 계산된다. 이때, 전자 프로세서는 해찾기(solver), 예를 들어 레벤버그-마쿼트 알고리즘(Levenberg-Marquardt algorithm)에 기초하는 최소자승 해찾기(a least-squares solver)를 사용하여, 수학식(5)의 양쪽에서 계산된 양들 간의 차이를 최소화하는 미지의 두 개의 파라미터의 값을 알아낼 수 있다. 프로세스는 다른 입사각 및 파장에 대해 반복된다. 다양한 실시예에서, 두 샘플의 측정은 동시에 일어나기도 하고 순차적으로 일어나기도 한다. 일부의 실시예에서, 캘리브레이션 표면은 다른 표면들을 더 포함할 수도 있는 단일의 물체에 포함될 수 있다는 점에 유의하라.
캘리브레이션 표면은 간섭기의 측정 스폿의 크기 내이 광학 특성의 제한된 측면 변동을 가지는, 바람직하게 평탄한 부분이다. 광학 특성(예를 들어, 물질 속성 및 물질 층들의 두께)은 낮은 불확실성으로 알려져야 한다. 부가적으로, 두 표면은, 복소수 반사성 계수가, 관심의 대상이 되는 파장 및 입사각의 범위에서 다르도록 선택되어야 한다. 예시적 표면은 절연막(예를 들어, 실리콘 기판 상의 입증된 이산화 실리콘)을 포함한다., 기판 상의 불투명 금속층, 금속의 고체 표면 또는 절연 물질 등을 포함한다. 적절한 캘리브레이션 표면을 선택함에 있어서 중요한 인자는 샘플의 광학적 속성들, 시간의 함수로서의 이러한 속성들의 안정성, 공기 중에의 노출 등에 관한 지식이다.
소정의 실시예에서, 캘리브레이션의 다른 단계는 검출기에 의해 보여지는 바와 같은 동공의 좌표계와 관련해서 편광기의 정확한 각 방향을 확립하는 것을 포함한다. 이것은 예를 들어 수학식(5)이 주기 π를 가지는 θ에서 주기적임을 관찰함으로써 행해질 수 있다. 비율 z의 푸리에 변환의 우수 컴포넌트(even compnent)의 위상은 편광기의 각 오프셋의 직접 측정이다는 것을 따른다. 따라서, 이 계산은 ZSαλ 및 ταλ를 결정하기 전에 수행될 수 있다.
추가의 단계에서, 맵 ZSαλ 및 ταλ는 필터링되거나 분석 함수에 맞춰진다. 이때, 각각의 샘플에 대해 획득된 스펙트럼 컴포넌트를 다시 처리하고 다음 캘리브레이션 파라미터, 함수 J를 도출하는 것이 가능하다:
Figure pct00009
또는
Figure pct00010
실제로, 수학식(6)에 나타나 있는 J에 대한 두 개의 표현은 평균화될 수 있다. 그런 다음 입사각, 파장 및 방위각의 함수로서 J의 계산된 값들은 전자 프로세서에 의해 함수 ταλ의 정의와 함께 캘리브레이션 파일에 저장된다.
위에서 개략 설명된 과정은 캘리브레이션에서의 리던던시(redundancy)로부터 이익을 취하기 위해 두 샘플 이상으로 확장될 수 있다.
캘리브레이션 파라미터 J(α,λ,θ) 및 인자 τ(α,λ)는 전술된 기술과 함께, 간섭 측정 시스템의 속성을 특징짓는 데 사용될 수 있는 풍부한 정보를 제공한다. 인자 τ(α,λ)는 물체에서의 파장 및 입사각의 함수로서, P-편광된 광과 비교되는 S-편광된 광의 감쇠의 측정을 제공한다. 후술되는 바와 같이, 캘리브레이션 파라미터 J(α,λ,θ)는 일련의 다른 유용한 정보의 조각을 제공한다.
예를 들어, J(α,λ,θ)의 크기는 광원의 스펙트럼 분포 및 동공에 걸치는 그 변동을 측정한다. 또한, J(α,λ,θ)의 위상은 간섭기에서 테스트 경로 및 기준 경로의 광학적 속성에서의 차이로 인해 생기는 위상 오프셋에 따라 시스템 상의 파장 및 입사각에 관한 정보를 제공한다. 이하에서, 캘리브레이션 파라미터J(α,λ,θ)의 지식 및 복극 인자(depolarization factor) τ(α,λ)에 대한 지식이 어떻게 분석되어 간섭기의 속성을 특징짓는지에 대해 설명할 것이다. 그런 다음 이러한 속성에 관한 정보는 간섭 측정 시스템의 어셈블리 동안의 품질 제어에서 사용되며, 및/또는 타원편광분석 및/또는 프로파일링 모드에서 간섭기의 신호를 모델링할 때 유용한 입력을 제공하는 데 사용된다. 다양한 실시예에서, 분석 근사치를 하나 이상의 전술한 파라미터에 맞추는 데 종종 유용하며, 이에 의해 후술되는 계산에서 분석 조작(analytical manipulation)이 가능하다.
특정한 광학적 구성에 있어서, 파라미터 의존성의 일부를 분리시켜 모델링 프로세스를 단순화하는 것이 가능하다. 이것은 예를 들어 검출기에 의해 측정된 파장 분포가 동공에서의 위치에 관계없이 동일할 때 일어난다. 이것은 동공에서의 광 강도 분포가 파장에 따라 다르다는 것을 의미한다. 이때 광원 스펙트럼은 다음과 같이 계산된다:
Figure pct00011
예를 들어 도 5는 이 방식으로 측정된 예시적 스펙트럼(50)("+" 마커가 붙음)을 도시하고 있다. 또한 3개의 실험 데이터에 맞춰진 가우시안 함수의 합으로서 정의된 분석 근사치(51)도 도시되어 있다.
동공에서의 강도는 특정한 파장 λu에서 J로부터 계산된다:
Figure pct00012
코사인 항은 전술한 바와 같이 푸리에 변환의 스펙트럼 스케일링을 고려한다. 이 분포는 예를 들어 최소자승 과정을 사용해서 실험 데이터를 모델 함수에 피팅(fitting)함으로써 분석 함수에 의해 근사될 수 있다. 모델 함수는 예를 들어 표준 다항식 또는 제르니케 다항식(Zernike polynomials)의 합이다.
동공에서의 위상 분포는 각각의 파장 및 입사각에 대한 함수 J의 편각(argument)을 집합시켜 계산된다:
Figure pct00013
이러한 하나의 데이터 시퀀스(고정된 α 및 λ)는 데이터의 "환(ring)"을 정의한다. 이 계산은 α 및 λ의 고정된 값에 대한 θ의 함수로서 J의 위상을 언래핑(unwrapping)하는 추가의 단계를 필요로 할 수도 있다. 이 프로세스 단계의 이유는, 일부의 실시예에서, 위상이 통상적으로 2π로 해서 계산될 수 있을 뿐이기 때문이다. 결론적으로, 연속적인 위상 분포의 샘플인 것으로 알려져 있는 연속적인 위상 값들 사이의 날카로운 2π 위상 점프를 획득하는 것이 가능하다. 이 경우, 위상 언래핑 과정은 잠재적 2π 위상 점프를 제거한다. 예를 들어, Ωi(i∈[1,N])은 위상 값들의 시퀀스를 나타내고, 위상 언래핑은 순차적으로 이하의 식을 적용함으로써 달성될 수 있다:
Figure pct00014
여기서 라운드() 함수는 실수를 가장 근접한 정수에 라운딩한다.
일부의 경우, 수학식(9)에 도시된 바와 같이 편각의 평균값 이상으로 유지하는 것이 유용하다. 이것은 예를 들어, 데이터의 상이한, 이전에 획득된 환(ring)의 제1의 수 개의 푸리에 계수를 집합시켜 달성될 수 있다. 이때, α 및 λ의 함수로서 푸리에 계수의 변동을 설명하기 위해 분석 피트 함수(analytical fit function)를 사용할 수 있다. 이 경우, 위상을 설명하는 함수는 3개의 변수:
Figure pct00015
(α,λ,θ)에 따라 다르다. 모든 경우에 다음과 같다는 것에 유의하라:
Figure pct00016
전술한 광학 시스템 특징은, 선형 편광기가 대물 렌즈 동공에 위치해 있을 때 또는 평행 편광 상태를 정의하는 편광기들이 광학 시스템의 조명 및 촬상 섹션 모두에 위치해 있을 때, 인자 τ(α,λ)를 제공한다. 비편광된 광 또는 순환적으로 편광된 광으로 대물 렌즈를 사용하는 경우, 선형-편광된 시스템 특성화를 먼저 수행할 필요가 있다. 다음, 비편광된 광 또는 순환적으로 편광된 광으로 단일의 기준 물체를 측정하는 것은 전술한 바와 같이 처리될 수 있는 제2 캘리브레이션 파라미터 Jc(α,λ,θ)를 충분히 제공한다.
일부의 광학적 구성에서, 동공 강도 분포는 방위 의존성이 떨어지는 간단한 형태를 취한다:
Figure pct00017
그렇지만, Jc(α,λ,θ)의 위상의 방위 의존성은 일부의 경우, 예를 들어 함수
Figure pct00018
(α,λ,θ)로서 모델화되어 유지되어야만 한다.
품질 제어
전술된 기술은 일련의 간섭 측정 시스템 특징에 관한 양적 정보를 제공한다는 점을 이해할 것이다. 예를 들어, 파라미터 J(α,λ,θ)의 분석은 동공 조명 균일성, 검출기에서 측정된 광원 스펙트럼, 동공을 횡단하는 광원 스펙트럼, 다른 파장에서의 물체 공간 내의 파면 품질, 간섭기 캐비티에 제공된 빔 스플리터로 인한 파면 왜곡, 간섭 대물 렌즈의 단색 수차, 및 테스트 다리 및 기준 다리 상에 직면하는 투명 물질 두께의 불균형(J(α,λ,θ)의 편각의 큰 변동의 소스)에 관한 정보를 제공할 수 있다. 또한, 예를 들어 대물 렌즈의 유효 개구수, 주사 스테이지의 속도, 광학적 코팅으로 인한 감쇠 및 접선 상태의 편광 상태와 비교되는 방사 편광 상태의 광학 기구 물질을 결정하는 데 사용될 수 있는 기술은 위에서 설명하였다. 또한, 대물 렌즈의 복굴절 속성은 조명 및 촬상 편광 상태(예를 들어 조명 및 촬상 다리 상의 두 개의 조정 가능한 선형 편광기)를 변경하면서 복수의 측정을 수행함으로써 결정될 수 있다.
현저하게, 모든 전술한 속성들은 동공면 측정에만 기초하여 결정되고, 간섭기의 개별 소자의 직접적인 분석은 필요로 하지 않는다. 예를 들어, 조명원 스펙트럼은 소스를 직접 시험하지 않고, 간섭 측정 데이터로부터 직접적으로 결정될 수 있다. 그러므로 간섭계는 신속하고 효과적으로 특징지어질 수 있다.
예를 들어, 도 7을 참조하면, 일실시예에서, 전술한 기술을 사용하여 제조 중에 간섭 측정 시스템의 품질을 모니터링할 수 있다. 단계(701)에서, 예를 들어 전술한 방법을 사용하여, 간섭 측정 시스템은 캘리브레이션 정보를 제공하는 데 적절한 주사 간섭 측정 데이터를 획득하기 위해 타원편광분석(예를 들어, 동공면) 모드에서 동작한다. 단계(702)에서, 데이터를 분석하여 간섭 측정 시스템의 하나 이상의 속성(예를 들어, 조명원 스펙트럼, 간섭 대물 렌즈의 동공면에서의 강도 변동 등)을 결정한다. 단계(703)에서, 그 결정된 속성들을 제조업자의 명세와 비교한다. 속성들이 정해진 범위 내에 있으면, 방법은 단계(704)로 진행하여, (예를 들어, 최종 판매를 위해, 또는 다른 제조 스테이지로 이동시키도록) 시스템을 승인한다. 속성이 정해진 범위 내에 있지 않으면, 방법은 단계(705)로 진행하고, 이 단계에서, 간섭 측정 시스템은 조정된다(예를 들어, 하나 이상의 컴포넌트가 대체되거나 수정된다). 일부의 실시예에서, 조정 방식은 단계(702)에서 결정된 시스템 속성에 관한 정보에 적어도 부분적으로 기초할 수 있다. 그런 다음 방법은 단계(701)로 복귀하여 필요한 단계를 반복한다.
마찬가지로, 도 8에 도시된 실시예에서, 두 개의 간섭 측정 시스템은 동일한 속성을 가지도록 "매칭"된다. 이에 의해 복수의 간섭 측정 시스템에 걸쳐 균일한 측정이 이루어질 수 있다. 예를 들어 전술한 방법을 사용하는 단계(801 및 802)에서, 제1 및 제2 간섭 측정 시스템은 타원편광분석(예를 들어, 동공면) 모드에서 동작하여 캘리브레이션 정보를 제공하기에 적절한 주사 간섭 측정 데이터를 획득한다. 단계(803 및 804)에서, 각각의 간섭 측정 시스템으로부터의 데이터를 분석하여 각각의 시스템의 하나 이상의 속성을 결정한다. 단계(805)에서, 그 결정된 속성들이 정해진 범위 내에서 일치하는 지를 결정하기 위해 비교된다. 일치하는 경우, 방법은 단계(806)로 진행하고, 매우 잘 일치하고 있는 시스템을 승인한다. 일치하지 않는 경우, 방법은 단계(807)로 진행하고, 범위 내에 있는 그 속성들을 가져오도록 하는 시도에서 제2 간섭 측정 시스템이 변형된다. 변형은 예를 들어, 하나 이상의 광학 기구, 조명원, 또는 간섭 측정 시스템의 다른 소자를 대체, 변형, 또는 이동하는 것을 포함한다. 변형 후, 방법은 단계(802)로 복귀하고, 시스템이 잘 매칭될 때까지 단계(802, 804, 805, 807)가 반복된다. 일부의 실시예에서는, 매칭을 획득하도록 양 시스템이 변형될 수 있다.
전술한 기술에서 사용되는 간섭기 조정의 예로는,
- 테스트 다리 및 기준 다리에서 광학 경로를 동등하게 하기 위한 빔 스플리터 컴포넌트의 대체;
- 단색 수차를 최소화하기 위한 보상기 광학 소자의 대체 또는 조정
- 조명에서의 광학 소자의 위치 조정, 파면 수차를 최소화하기 위한 간섭기 광학 기구의 촬상;
- 빔스플리터 또는 기준 미러 팁 및 틸트의 조정;
- 전술한 목적 중 임의의 목적을 달성하기 위한 광학 컴포넌트 및/또는 서브-어셈블리의 교환(swapping)
을 들 수 있다.
일부의 실시예에서, 간섭 측정 시스템은 기구의 서브-컴포넌트를 특징짓는 데 사용되는 테스트 스테이션을 포함하도록 구성되어 있다. 예를 들어, 시스템(100)은 도 1에 도시된 바와 같이, 간섭 대물 렌즈(106) 또는 광원(102)이 교환되거나 유사한 서브 시스템으로 대체되도록 변형될 수 있다. 이에 의해 사용될 시스템은 별개의 현미경 시스템에 사용될 대물 렌즈 및/또는 광원을 특징지을 수 있다.
신호 모델링
상세히 후술되는 바와 같이, 모델 측정 물체에 있어서 간섭 측정 시스템에 의해 측정될 간섭 신호를 모델화하는 것이 바람직하다. 이러한 모델 신호의 정확성은 일반적으로, 그 모델이 간섭 측정 시스템의 속성에 얼마나 잘 병합되는 지에 좌우된다. 이하에서는, 위에서 유도된 시스템 특성화 함수를 사용해서 정확한 모델이 어떻게 발생될 수 있는 지에 대해 설명한다.
먼저 프로파일링 모드에서 획득된 주사 간섭 신호에 대한 물리적 모델을 개괄적으로 설명한다.
물체 표면은 가로 좌표(lateral coordinate) x, y에 의해 표시되는 영역에 걸쳐 프로파일링 하고자 하는 높이 특징 h를 갖는다. 스테이지는 간섭 대물 렌즈의 평탄한 연속적인 주사 ζ 또는 도시된 바와 같이, 물체 자체의 평탄한 연속적인 주사 ζ를 제공한다. 주사 동안, 컴퓨터는 연속적 카메라 프레임에서 각각의 이미지 포인트 또는 카메라 픽셀에 대한 강도 데이터 Iζ,h를 기록한다. 주사 위치 및 표면 높이 상의 강도 데이터 Iζ,h의 키 의존성(key dependency)은 아래첨자 - 명세서 전반에 사용할 것이다 - 로 표시된다는 점에 유의하라.
광학 기구의 적절한 물리적 모델은 광원의 부분적 코히어런스, 간섭계에서 혼합되는 편광, 높은 NA 대물렌즈의 촬상 속성, 및 높은 입사각에서 그리고 불연속적인 표면 특징의 앞에서의 전계 벡터의 인터액션을 고려하여 정교할 수 있다. 편의상, 선형 또는 원형의 편광 및 발산(diffuse), 저 코히어런스 확장 소스를 완전히 무작위로 가정함으로써 모델을 간략화한다. 간섭 신호의 모델링은 대물렌즈의 동공면을 통과하여 입사각 α로 물체 표면으로부터 반사하는 모든 레이 번들(ray bundle)의 기여를 부가하는 것을 간략화한다.
광학 시스템을 통과하는 단일의 레이 번들에 대한 간섭 기여는
Figure pct00019
에 비례한다.
여기서, Xβ,k는 예를 들어, 빔스플리터의 효과를 포함하는 유효 물체 강도 반사율이고, Rβ,k는 빔스플리터 및 기준 미러를 포함하는 유효 기준 반사율이다. 이 계산은 특정한 선형 편광 상태에 대해 계산된다는 점을 유의하라. 복수의 편광으로부터의 기여는 동일한 식으로 계산되고 간단하게 합산된다. 주위 매체의 인덱스는 n0이고, 입사각 α에 대한 방향성 코사인은 다음과 같다.
Figure pct00020
Figure pct00021
위상에 대한 부호 규약(sign convention)에 의해 위상의 포지지브 변화에 대응하도록 표면 높이가 증가하게 된다. 위상 항목은, 물체 표면으로부터 박막 효과를 포함하는, 간섭기에서의 물체 경로에 대한 기여 ωβ,k를 가지며, 기준 미러 및 대물 렌즈에서의 광학 기구를 포함하는, 기준 경로에 대한 기여 υβ,k를 가진다.
동공면을 걸쳐 적분된 총 간섭 신호는 다음 식에 비례한다.
Figure pct00022
여기서 Uβ는 동공면 광분포이고 Vk는 광 스펙트럼 분포이다. 수학식(15)에서의 가중 인자 β는 투사각(projection angle)에 기여할 수 있는 cos(α)항 및 동공면에서 폭 dα의 환형(annulus)의 직경에 대한 sin(α)으로부터 따른다.
Figure pct00023
여기서 대물 렌즈는 아베 사인 조건(abbe sine condition)에 따른다. 마지막으로, 모든 입사각에 걸친 적분 한계(integration limit)는 다음을 의미하고,
Figure pct00024
스펙트럼 적분은 걸쳐 있는 모든 파수는 0≤k≤∞ 이다.
주파수 도메인 분석(FDA)에서, 간섭 강도 신호 Iζ,h의 푸리에 변환을 먼저 계산한다. 문자 그대로의(비수치적) 분석에 있어서, 정상화되지 않은 푸리에 적분을 사용할 것이다.
Figure pct00025
여기서, K는 예를 들어 ㎛당 사이클에서의 공간 주파수이다. 이 목적을 위해, 푸리에 변환 수학식(17)의 정의와 간섭 신호 수학식(15)을 결합하여 예측 다음과 같은 PDA 스펙트럼에 대한 식으로 된다:
Figure pct00026
전술한 형식주의(formalism)는 전술한 시스템 특성화 함수의 항들에 표현된 모델 신호를 제공하기 위해 다시 계산될 수 있다. 이 모델 신호의 최적화된 형태는 이러한 함수들을 사용할 때 존재한다. 예를 들어 선형으로 편광된 조명 경우에 있어서 특성화 파라미터의 항들에서의 모델화된 신호의 복소수 스펙트럼 컴포넌트는 다음과 같이 표현될 수 있고:
Figure pct00027
여기서,
Figure pct00028
모델은 신호 트레이스에 의해 커버되는 높이 범위 내에서 물체 표면의 위치를 제어할 수 있는 사용자-정의된 높이 오프셋 파라미터 h를 포함한다.
순환적으로 편광된 경우에 있어서는, 더 단순한 형태로 된다:
Figure pct00029
여기서, 위상 함수의 가능한 방위 의존성은 수학식(11)을 사용하여 없어진다.
특성화의 값들을 획득하기 위해 전술한 기술을 사용하여 정확한 모델 신호가 발생될 수 있다. 예를 들어, 도 6a는 덮개가 없는 실리콘 표면의 표면을 특징짓기 위해 광대역 광원 및 선형으로 편광된 조명광과 함께 사용되는 높은 배율의 간섭 현미경의 경우에 수학식(20)을 사용하여 계산된 복소수 스펙트럼 q(K,h)의 크기를 도시하고 있다. 도면은 또한 토포그래피 측정 모드에서 동일한 간섭 현미경으로 포착된 실험 스펙트럼의 크기도 도시하고 있다. 모델과 실험 데이터와의 우수한 일치에 유의하라. 마찬가지로, 도 6b는 동일한 실험을 위한 이론적 위상 스펙트럼(603)과 실험적 위상 스펙트럼(604)을 비교한다. 모델과 실험 데이터와 이 우수한 일치(agreement)에 다시 유의하라. 마지막으로, 도 6c는 모델 공간 주파수 스펙트럼의 주사 도메인으로의 푸리에 변환과 주사 도메인 실험 신호 간의 비교를 도시한다. 다시 한번 일치는 우수하다.
수학식(20 및 22)은 s 편광 및 p 편광에 대한 복소수 반사율과 관련해서 표현된다. 그렇지만, 테스트 물체가 세밀하게 분해된 특징(즉, 간섭 측정 시스템의 광학적 분해능 이하 또는 근처의 특징적 크기를 가지는 특징)을 포함하는 경우, 주어진 편광 상태에서의 입사광은 테스트 물체로부터 반사된 광의 직교 편광 상태로 혼합될 수 있다. 이러한 혼합은 예를 들어 맥스웰 방정식 및 엄밀한 결합파 해석(Rigorous Coupled Wave Analysis) 기술을 사용하여 모델화될 수 있다. 이 혼합이 고려된 경우에는, 수학식(20 및 22)과 동일한 표현들이 정확한 모델 신호들로서 사용될 수 있다. 예를 들어, 혼합 효과를 위해 정정된 반사율 rp 및 rs를 사용함으로써 후술되는 기술은 세밀하게 분해된 특징을 가지는 물체에 적용될 수 있다. 모든 다른 관점에서도 형식주의는 불변인 채 남는다.
미지의 물체에 대한 모델 기반의 측정
이하에서는, 전술한 모델 신호 발생 기술이 미지의 물체를 측정하는(예를 들어, 미지의 물체의 표면 프로파일을 측정하는) 기술에 적용되는 방법에 대해 설명한다. 도 9a를 참조하면, 일부의 실시예에서, 물체(126)는 4개의 표면 영역(901, 902, 903, 904)을 포함하고, 각각의 영역은 상이한 막 속성들(예를 들어, 확산 막 구조, 물질, 표면 거칠기, 분해되지 않은 표면 등)을 가진다. 예를 들어, 도 9b를 참조하면, 영역(901)의 단면은, 그레이팅(grating)(908)을 형성하기 위해 에칭된 막 스택을 포함하는 것이 도시되어 있다. 일부의 실시예에서, 이 그레이팅(908)은 세밀하게 분해(즉, 간섭 시스템(100)의 광학 분해능 이하 또는 근처)되어 있다. 이러한 복잡한 구조는 프로파일링 모드에서 간섭 측정 시스템(100)에 의해 측정되는 간섭 신호에 영향을 미칠 것이다. 따라서, 측정된 신호로부터 발생되는 토포그래피 맵은 4개 영역을 횡단하는 오류를 보여줄 것이다.
특히, 많은 실시예에서, 이 왜곡은 측정된 영역의 특징에 따라 다르고 따라서 영역마다 변하는 오류 높이 오프셋의 형태를 취할 것이다.
예를 들어, 동작의 프로파일 모드에서는, 물질 및/또는 막 스택 속성이 간섭 측정 시스템의 측정된 표면 높이 프로파일에 영향을 줄 수 있다. 예를 들어, 구리 영역들을 가지는 테스트 물체가 반도체 웨이퍼 상의 유전체 영역에 의해 분리되어 있는 경우, 표면에 입사하는 측정광은, 광이 입사하는 영역의 물질 속성들에 따라 상이한 반사 상의 위상 변화(phase change on reflection; PCOR)를 겪게 될 것이다. PCOR은 물질 의존형이고 토포그래피 측정에 영향을 미친다. 예를 들어, 구리 영역들은 유전체 영역들과 관련해 실제로 존재하는 것보다 낮게 나타난다. 이것은 토포그래피 측정에 영향을 미친다. 유사한 의존성 및 높이 오프셋이 박막 스택을 가지고 있는 표면의 영역들에서 일어난다.
또한, 작은 표면 구조라도 프로파일 측정의 정확성을 떨어뜨릴 수 있다. 예를 들어, 도 10a는 그레이팅 구조(1005)를 가지는 표면 영역(1001)의 다른 예를 도시하고 있다. 분해되지 않은 표면 특징은 인접하는 표면 S 이상의 높이 H, 분리 l 및 폭 d를 가진다. 높이 H는 또한 여기서 패턴화된 구조에 대한 변조 깊이라고도 한다. 다시, "미세하게 분해된"의 의미에 의해, 이것은 개별의 특징들은 표면 프로파일 이미지에서 완전하게 분리되지 않는다는 것을 의미한다. 도 10b는 가시-파장 간섭기(560nm 중심 파장, 완전한 폭 절반-최대 110nm 대역폭 및 0.8의 대물 렌즈 NA)에 있어서, 표면 영역(1001) 상의 분해되지 않은 특징에 대한 예측된 표면 프로파일을 도시하고 있다. 표면 구조가 분해되지 않으면, 실제의 표면 구조를 전혀 닮지 않은 불투명한 측정 표면 프로파일이 되어 버린다. 이 계산의 경우, 높이 H=20nm, 분리 l=20nm, 폭 d=120nm이다.
도 10c는 도 10b의 분해되지 않은 측정 프로파일이 특징의 실제 높이 H의 함수에 따라 어떻게 변하는 지를 도시하고 있다. 측정된 광과 실제 광 사이의 관계가 복잡하고 40nm 이상 훨씬 부정적으로 상관되어 있다는 점은 주목할 만하다. 이 후자의 현상은 광을 좁은 서브파장 트렌치에 결합할 때의 어려움으로 설명될 수 있다.
관심의 대상이 되는 표면을 횡단하는, 물질, 막 스택, 표면 특징 등에서의 변동으로 인한 프로파일링 측정에서의 오류를 극복하는 한 가지 방법은, 측정된 프로파일링 간섭 신호를 관심의 대상이 되는 표면(및/또는 표면의 영역)의 모델들에 기초해서 발생되는 합성 신호와 비교하는 것이다. 실험 신호와 합성 신호를 매칭시킴으로써, 실제의 표면에 잘 대응하는 모델 표면을 결정한다.
예를 들어, 도 11은 이러한 방법의 실시예의 흐름도를 도시한다. 상세히 전술한 바와 같이, 간섭 측정 시스템의 특성화 파라미터(예를 들어, J(α,λu,θ), τ(α,λ), 및/또는 이로부터 유도된 파라미터)를 결정하기 위해 타원편광분석 모드에서 간섭 측정 시스템이 사용된다. 이론적 모델은 물체 상의 관심의 대상이 되는 영역에 잠재적으로 존재하는 다양한 물질, 막 스택, 세밀하게 분해된 특징들 등에 대응하는 (예를 들어, 수학식(20 또는 22)을 사용해서) 발생된다. 시스템 특성화 정보(1101, 1102) 및 이론적(1103) 모델들이 결합되어(1104), 표면의 다양한 모델에 대응하는 합성 신호의 라이브러리를 발생시킨다. 프로파일링 모드에서 간섭 신호에 의해 1105 및 1106에 수집된 실험 간섭 신호는 그런 다음 공간 또는 주파수 도메인에서 라이브러리 엔트리와 비교된다(1107). 최상의 라이브러리 매치는 관심의 대상이 되는 실제의 표면에 잘 대응하는 모델 표면을 식별한다(1108). 이 모델은 예를 들어 두께 및 굴절률과 같이, 물체 표면 상에 존재하는 물질 또는 막 스택에 관한 정보를 제공한다. 최상의 매치 신호 라이브러리는 라이브러리 신호 트레이스 내의 특정한 물체 높이에 대해 정해진다. 이 정보는 예를 들어 실험 신호 트레이스 내의 물체 표면의 공기/물질 경계면의 위치를 계산하는 데 사용된다. 이것은 유사하지 않은 물질 또는 막 스택의 존재에 의해 영향을 받지 않는 표면 토포그래피를 보고할 능력을 제공한다.
추가의 실시예에서, 도 12의 흐름도에 도시된 바와 같이, 물체 표면에 존재하는 물질 또는 막 스택을 설명하는 모델 파라미터를 반복적으로 순화시킴으로써, 토포그래피 모드에 수집된 실험 신호는 모델 신호와 매칭된다. 상세히 전술된 바와 같이, 간섭 측정 시스템의 특성화 데이터를 결정하기 위해 타원편광분석 모드에서 간섭 측정 시스템이 사용된다(1204). 관심의 대상이 되는 표면의 파라미터화된 모델이 발생된다(1205). 모델 표면의 하나 이상의 속성은 대응하는 파라미터를 변화시킴으로써 조정될 수 있다. 예를 들어, 도 9b의 영역(901)의 파라미터화된 모델은 그레이팅 피치(905), 그레이팅 폭(905), 막 스택 두께(907), 에칭 깊이(909) 및 표면 높이(101) 중 하나 이상을 조정할 수 있는 파라미터를 포함할 수도 있다. 합성 간섭 신호는 특성화 정보 및 모델의 파라미터화된 신호에 기초하여 발생된다.
프로파일링 모드에서, 간섭 측정 시스템은 실험 간섭 신호를 획득한다(1201). 실험 신호는 파라미터화된 모델로부터 발생되는 합성 신호와 비교는(1202) 반면, 신호들 간의 우수한 매칭을 결정하기 위해 파라미터는 가변된다(1203). 최상의 매칭 파라미터들을 가지는 모델은 실험적으로 측정된 표면 구조와 잘 대응한다. 따라서, 이 모델을 분석하여 관심의 대상이 되는 표면에 관한 정보를 판별할 수 있다(1207). 예를 들어, 최상의 피트 파라미터들(fit parameters)은 자신들에 대응하는 물리적 속성들(예를 들어, 막 두께, 표면 높이 등)에 대한 양호한 추정치를 제공한다.
추가의 실시예는, 도 13의 흐름도에 도시된 바와 같이, 관심의 대상이 되는 표면을 횡단하는, 물질 속성, 막 스택, 세밀하게 분해되지 않은 구조 등과 같은 유사하지 않은 특성들의 효과에 대해 정정되는 토포그래피 맵을 발생시키기 위해 간섭 측정 시스템의 타원편광분석 모드와 프로파일링 측정 모드를 결합시킨다.
프로파일링 측정 모드는 물체 표면의 토포그래피 맵(1302, 1303)을 획득하기 위해 사용된다(1301). 전술한 바와 같이, 이 맵은 표면 특성의 변동에 의해 야기되는 오류를 포함할 수 있다. 타원편광분석 모드를 사용하여, 특성들이 측정 영역(1303) 내에서 균일한 물체 표면 상의 특정한 위치들에서의 이러한 특성들을 결정한다.
이러한 영역들은 터원편광분석 모드가 사용되는 위치들을 식별하기 위해 예를 들어 물체 표면의 강도 또는 토포그래피 이미지를 분석함으로써 결정될 수 있다. 통상적인 경우, 물체 특징의 레이아웃은 연역적으로 알려져 있다. 그런 다음 패턴 매칭 기술을 사용하여 관심의 대상이 되는 영역을 찾아낼 수 있다. 대안으로, 토포그래피 간섭 데이터에 대한 다른 타입의 처리는 유사한 광학적 속성들을 가지는 물체 표면 상의 영역에 관한 정보를 생성할 수 있다. 예를 들어, 평균 간섭 신호 강도는 물체 반사율 및 표면을 횡단하는 그 변동을 추정하는 방법을 제공한다. 다른 예시적 속성은 소위 위상-갭(최대 프린지 변조의 위치에서 측정된 위상)이다. 또 다른 속성은 간섭 신호의 스펙트럼의 위상 또는 크기 비선형성이다. 이러한 양적 속성 중 임의의 것의 맵을 실제로 사용하여 타원편광분석 모드에서 특징지어지는 균일한 영역을 찾아낸다. 다양한 실시예에서, 관심의 대상이 되는 적절한 위치에서 타원편광분석 측정을 수행하기 위해 샘플 또는 간섭계를 이동시킴으로써 전동식 스테이지가 물체를 그에 따라 위치시키는 데 사용될 수 있다.
예를 들어, 도 9a의 물체(126)를 참조하면, 측정 위치(991, 992, 993, 994)는 표면 영역(901, 902, 903, 904)을 각각 특징짓는 데 적절할 것이다.
전술한 바와 같이, 측정(1304)의 출력은 복수의 파장, 입사각, 및 편광에 있어서 표면의 복소수 반사율을 제공한다. 이 정보는 물질 모델 또는 막 스택 모델, 및/또는 반사율, 두께 등과 같은 정보를 포함하는 세밀하게 분해된 특징 모델과 같은, 관심의 대상이 되는 광학적 특성을 획득하는 모델을 제공할 수 있다(1305).
도 13을 참조하면, 그런 다음 토포그래피 모드 내의 이 위치에서 측정될 신호에 대응하는 모델 신호를 발생시키기 위해, 그 측정된 반사율 정보를 1308, 1309 시스템 특성화 정보와 함께 예를 들어 수학식(12) 또는 수학식(22) 중 어느 하나에 입력할 수 있다(1306). 모델 신호에서의 높이 오프셋 h는 선택된 표면 위치에서 실험적으로 측정된 높이와 동등하게 선택된다. 그런 다음 이 모델 신호는 토포그래피 맵을 생성하는 데 사용되는 알고리즘으로 처리되어, 그 선택된 위치에 대한 모델 높이가 된다. 선택된 위치에서의 이와 같은 모델 높이는 그런 다음 그 위치에서의 물체 표면의 측정된 높이와 비교된다. 이러한 두 개의 값들의 차는 표면의 대응하는 영역 내의 물체의 광학적 속성에 의해 토포그래피 맵에서 유도된 높이 오프셋에 대응한다. 그런 다음 이 높이 오프셋은 서브-영역 내의 토포그래피 맵에서 감산된다. 이 프로세스는 물체 표면 상의 각각의 서브 영역(901, 902, 903, 904)에 대해 반복된다. 최종 결과(1310)는 물질-오프셋 또는 막-유도 오프셋이 없는 물체 표면의 토포그래피 맵이다. 전술한 바와 같이, 전술된 다양한 타원편광분석 측정이 처리되어 예를 들어 물질의 광학적 속성 및 막 스택 두께(들)의 추정치를 제공할 수 있으며, 이 추정치는, 그 정정된 토포그래피 맵과 함께 또는 그에 부가해서 출력될 수 있다.
대안으로, 각각의 위치에 있어서, 높이 오프셋 h는 임의로 선택될 수 있다. 예를 들어, 기판을 커버링하는 박막으로 이루어진 위치에 있어서, 공기/막 경계면에 대응하는 신호의 일부가 주사의 중심에 위치하도록 h가 선택될 수 있다. 그런 다음 신호는 공기/막 경계면의 위치를 결정하기 위해 프로파일링 알고리즘을 사용해서 처리될 수 있다. 알고리즘에 의한 출력된 위치와 파라미터 h의 선택에 의해 설정된 위치 사이의 차이는 그 위치에서 프로파일 맵을 정정하는 데 사용되어야 하는 높이 오프셋에 대응할 것이다.
정정된 토포그래피 프로파일은 부가적인 방식으로 획득될 수 있다. 주어진 위치의 타원편광분석 측정은 테스트 물체 및 간섭기의 속성 둘 모두에 관한 정보(예를 들어, 동공면에서의 조명에 관한 강도, 스펙트럼, 위상 정보)를 포함하는 점에 유의하라. 일부의 경우, 수학식(20 및 22)에 나타나 있는 특성화 함수를 사용하기보다는 이 정보를 직접적으로 사용하는 것이 선호된다. 예를 들어, 강도 DL(α,θ)가 전술된 바와 같이 수 개의 제르니케 다항식만을 사용하여 모델화된 경우, 일부의 강도 정보를 잃게 될 것이다. 타원편광분석 데이터로부터 더욱 정확하게 동등한 정보를 획득할 수 있다.
타원편광분석 측정으로부터 간섭 측정 특성화 데이터를 획득하는 데 있어서의 어려움은 측정된 간섭 신호가 테스트 물체의 표면 높이와 관련된 영향에 의해 복잡해진다는 점이다. 예를 들어, 도 4(아래 도면)를 참조하면, 변환된 푸리에의 위상 스펙트럼은 일반적으로 표면 높이에 의존하게 될 것이다. 그렇지만, 크기 스펙트럼은 주사의 방향을 따라 가변하는 변환이고, 이에 따라 표면 높이에 의존하지 않는다.
그러므로 주어진 위치에 있어서, 정확한 모델 신호는 이하와 같이 획득될 수 있다. 실험적으로 측정된 타원편광분석 신호가 획득되고 푸리에가 변환된다. 모델 신호를 발생시키기 위해, 각각의 검출기 소자에 대응하는 실험 신호의 주파수 스펙트럼은 그 위상 정보에 의해 밝혀지는 데, 이 위상 정보는 선형으로 편광된 광에 대한 수학식(23) 및 순환적으로 또는 비편광된 광에 대한 수학식(24)에 나타난 복소수 계수의 편각에 의해 대체된다.
Figure pct00030
Figure pct00031
변형된 신호들이 합산되고, 이에 의해 수학식(20 또는 22)의 형태의 모델 신호를 제공하며, 높이 불변 실험 정보가 하나 이상의 시스템 특성화 함수를 대신한다. 앞에서와 같이, 이와 같은 모델 신호는 임의의 표면 높이 오프셋 h를 통해서만 표면 높이에 의존하고, 이에 따라 토포그래피 맵에 대한 표면 높이 정정을 결정하도록 전술한 기술을 사용하여 분석될 수 있다.
프로파일링에 대한 부가의 실시예
릴레이 렌즈(136)를 교환하는 대신, 추가의 실시예에서, 예를 들어, 릴레이 렌즈는 단독으로 남겨질 수 있고 검출기(134)는 테스트 표면에 초점이 맞춰지는 위치로 전환될 수 있다. 이것이 도 15에 개략적으로 도시되어 있으며, 도면에는 결합 광(132)을 수신하기 위해 검출기 위치를 조정하도록 전자 프로세서(770)의 제어 하에 전동식 전환 스테이지(760)에 결합되어 있는 검출기(134)가 도시되어 있다. 전환 스테이지에 의해 시스템은 타원편광분석 모드에 대응하는 제1 위치와 프로파일링 모드에 대응하는 제2 모드 사이를 전환할 수 있으며, 제1 위치에서는 동공면이 검출기에 촬상되고 제2 위치에서는 테스트 표면이 검출기에 촬상된다.
또 다른 실시예에서, 도 17에 도시된 바와 같이, 빔 스플리터(810)는 간섭 측정 시스템(800)의 나머지로부터 수신되는 결합 광을 두 개의 대응하는 다중-소자 검출기(880 및 890)를 가지는 두 개의 채널로 분할될 수 있으며, 상기 두 개의 채널 중 한 채널은 릴레이 광학 기구(875)를 사용하여 동공면(114)을 제1 검출기(880)에 촬상하여 타원편광분석 모드 측정을 제공하며 다른 채널은 릴레이 렌즈(885)를 사용하여 테스트 표면을 제2 검출기(890)에 촬상하여 프로파일링 모드 측정을 동시에 제공한다. 양 검출기들은 전자 프로세서(879)에 결합되어 있어서, 전술한 바와 같이 검출기 이미지를 분석한다.
이러한 방식들의 다양한 조합도 가능하다. 예를 들어, 시스템은 동공면을 공통 전자 검출기의 제1 부분에 촬상하고 테스트 표면을 공통 전자 검출기의 제2 부분에 촬상하는 광학 기구를 포함할 수 있다. 이 경우, 공통 전자 검출기의 다양한 부분들이 별개의 검출기가 되도록 고려될 수 있다.
어플리케이션
전술한 기술들은 폭넓게 적용될 수 있다. 예를 들어, 시스템은 툴을 특정하게 모니터링하거나 프로세스 흐름 자체를 제어하기 위한 반도체 프로세스에서 사용될 수 있다. 프로세스 모니터링 적용에서, 대응하는 프로세스 툴에 의해 패턴화되지 않은 Si 웨이퍼(모니터 웨이퍼) 상에서 단층/복수층 막이 성장하고, 증착되고, 폴리싱되거나, 에칭되고, 이어서 여기서 설명된 간섭 측정 시스템을 이용해서(예를 들어, 타원편광분석 모드, 프로파일링 모드, 또는 양자 모두를 사용해서) 두께 및/또는 광학 속성이 측정된다. 이러한 모니터 웨이퍼의 두께(및/또는 광학적 속성)의, 웨이퍼 균일성 내에서 뿐만 아니라, 평균도 사용되어, 관련 프로세스 툴이 목적으로 하는 명세 내에서 동작하는지 또는 목적이 다시 정해져야 하는지, 조정되어야 하는지, 또는 생산성 사용(production use)을 없애야 하는지를 결정할 수 있다.
프로세스 제어 어플리케이션에서, 대응하는 프로세스 툴에 의해 후자의 단층/복수층 막이 패턴화된 Si 생산 웨이퍼 상에서 성장하고, 증착되고, 폴리싱되고, 또는 에칭되고, 이어서 두께 및/또는 광학적 속성이 (예를 들어, 타원편광분석 모드, 프로파일링 모드, 또는 양자 모두를 사용해서) 여기서 설명한 간섭 측정 시스템에 의해 측정된다. 통상적인 프로세스 제어를 위해 사용되는 생산성 측정은 작은 측정 사이트(site) 및 측정 툴을 관심의 대상이 되는 샘플 영역에 정렬시키는 능력을 포함한다. 이 사이트는 (자체가 패턴화될 수 있는) 다층막 스택으로 이루어질 수 있고, 따라서 관련 물리적 파라미터를 추출하기 위해 복잡한 수학적 모델링을 필요로 한다. 프로세스 제어 측정은 통합된 프로세스 흐름의 안정성을 판단하고 그 통합된 프로세스가 계속되어야 하는지, 목적이 다시 정해져야 하는지, 다른 장비로 방향이 바뀌어야 하는지, 또는 전체적으로 셧 다운해야 하는지를 판단한다.
구체적으로, 예를 들어, 여기에 개시된 간섭 측정 시스템은 이하의 장치를 모니터링하는 데 사용될 수 있다: 확산, 급속 열 어닐링, 화학적 증기 증착 툴들(양자는 저압 및 고압), 유전체 에칭, 화학적 기계적 폴리셔, 플라즈마 증착, 플라즈마 에칭, 리소그래피 트랙, 및 리소그래피 노출 툴. 부가적으로, 여기에 개시된 간섭 측정 시스템은 이하의 프로세스를 제어하는 데 사용될 수 있다: 트렌치 및 격리, 트랜지스터 형성뿐만 아니라 층간 유전체 형성(예를 들어 이중 다마신(dual damascene)).
광대역 공간적 코히어런트 조명
일부의 실시예에서, 테스트 물체는 공간적 코히어런트 광으로 조명된다. 전자기장의 발진이 전파의 방향을 횡단하는 방향으로 공간적으로 분리된 포인트에 대해 실질적으로 상관하고 있을 때, 공간적 코히어런트 광이라 한다. http://.rp-photonics.com/coherence.html에서 찾아볼 수 있는 "Encyclopedia of Laser Physics and Technology", 및 E. Hecht, Optics, Second Edition, pp. 516-517, Addison Wesley, 1987를 참조하라. 예를 들어, 공간적 코히어런트 광 빔에서, 빔의 단면 상의 포인트에서의 전자기장은 상관 방식(correlated way)으로 발진할 것이다. 후술되는 바와 같이, 공간적 코히어런트 광을 사용하면, 테스트 물체 상에서 영역의 회절 제한 또는 근회절(near-difraction) 제한 조명이 허용될 수 있다. 소정의 실시예에서, 이것은 테스트 표면의 작고, 잘 정해진 영역의 조명 및 측정을 허용한다. 또한, 일부의 실시예에서, 공간적 코히어런트 조명 광은 스펙트럼식으로 광대역일 수 있어서, 전술한 바와 같이, 파장 분해 측정을 허용할 수 있다.
예를 들어, 도 17을 참조하면, 간섭 측정 시스템(100)은 도 1에 도시된 바와 같이 타원편광분석 모드에서 동작하지만, 광대역 공간적 코히어런트 조명 시스템(1000)(상세히 후술됨)은 소자(102, 108, 138)를 대신한다. 본 실시예에서, 광원(1001)은 광섬유(1002)에 결합되어 공간적 코히어런트 입력광(104)(실선으로 표시되어 있는 주요 레이)을 발생시킨다. 입력광(104)은 광섬유(1002)의 공간적 코히어런트 횡단면(1003)이다. 주요 광으로 표시된 바와 같이, 입력광(104)은 콜리메이터 렌즈(1004)에 의해 시준된다. 시준된 빔은 확장기 렌즈(1005)에 의해 확장되어, 대물 렌즈의 구경 조리개(115)의 크기와 매칭하고, 렌즈(110)에 의해 시준되어, 간섭 대물 렌즈(106)의 동공면(114)으로 향하게 된다. 예를 들어, 광빔의 형상이 가우시안(또는 명목상 가우시안)인 실시예에서는, 빔 웨이스트(beam waist)가 동공면(114)에 촬상될 수 있다.
빔 스플리터(120)는 입력광(104)을, 테스트 물체(126)의 테스트 표면(124)으로 향하는 테스트 광(122) 및 기준 표면(122)으로부터 반사되는 기준광(128)으로 분리한다. 대물 렌즈(118)는 테스트 광 및 기준광을 테스트 표면 및 기준 표면에 각각 초점을 맞춘다. 기준 표면(122)을 지지하는 기준 광학 기구(130)는 그 초점이 맞춰진 기준광에 대해서만 반사되도록 코팅되어 있어서, 입력광의 대부분은 빔 스플리터(120)에 의해 분리되기 전에 기준 광학 기구를 통과하게 된다.
테스트 표면 및 기준 표면으로부터 반사된 후, 테스트 광 및 기준광은 빔 스플리터(120)에 의해 재결합되어 결합광(132)을 형성하고, 이 결합광은 빔 스플리터(112) 및 릴레이 렌즈(136)에 의해 투과되어 전자 검출기(134) 상에 광학적 간섭 패턴을 형성한다. 검출기를 횡단하는 광학적 기준 패턴의 강도 프로파일은 검출기의 여러 소자에 의해 측정된 후 분석을 위해 전자 프로세서(도시되지 않음)에 저장된다. 이 타원편광분석 구성에서, 동공면(114)이 검출기 상에 촬상된다. 본 실시예에서, 릴레이 렌즈(136)는 동공면(114) 상의 여러 포인트를 검출기(134) 상의 대응하는 포인트들에 촬상한다.
조명 시스템(A1000)은 광대역의 파장에 걸쳐 공간적 코히어런트 조명을 제공한다(예를 들어, 방출 스펙트럼은 완전한 폭, 50nm 이상의 절반-최대 또는 바람직하게는 100nm 이상을 가진다). 이러한 광대역의 공간적 코히어런트 조명은 일련의 타입의 광원에 의해 제공될 수 있다.
예를 들어, 일부의 실시예에서, 광섬유(A1002)는 소위 "모노모드(monomode)" 섬유이다. 모노모드 섬유는 섬유를 따르는 광 전파를 위해 단지 단일의 (또는, 일부의 경우, 수 개의) 공간 모드를 지지한다. 예를 들어, http://www.rp-photonics.com/single_mode_fibers.html에서 찾아볼 수 있는 "Encyclopedia of Laser Physiscs and Technology"를 참조하라. 그러므로 광원(1001)이 광섬유에 결합될 때, 출력광(104)은 그 지지된 공간 모드에서 우선적으로 광을 포함한다. 이에 의해 광 횡단 출력면(1003)은 상관관계에 잘 있게 되어, 공간적 코히어런트 출력광(104)을 생성한다. 모노모드 섬유는 파장의 범위에서 단일 공간 모드를 통상적으로 지지할 수 있다. 그러므로 광원(A1001)이 지지 가능한 파장의 범위 내에서 스펙트럼 범위에서 광을 입력하는 광대역 광원일 때(예를 들어, 광대역 또는 "백색광" LED, LED 어레이, 펄스식 또는 광대역 레이저원 등), 모노모드 섬유(1002)에 의해 출력되는 광은 광대역 및 공간적 코히어런트 모두가 될 것이다.
일부의 실시예에서, 광섬유(1002)는 포토닉 밴드갭 물질(photonic bandgap material)(예를 들어, 포토닉 결정 물질) 또는 매우 넓은 범위의 파장(예를 들어, 수백의 나노미터 이상)에 걸쳐 공간적 코히어런트 광을 지지할 수 있는 다른 비선형 물질을 포함하며, 이에 의해 광대역 공간적 코히어런트 조명이 허용될 수 있다. 예를 들어, http://www.rp-photonics.com/photonic_crystal_fibers.html에서 찾아볼 수 있는 "Encyclopedia of Laser Physiscs and Technology"를 참조하라. 이러한 시스템은 때때로 "초연속(supercontinuum)" 조명을 제공한다고 한다. 이러한 일부의 실시예에서, 섬유(A1002)는 또한 매우 넓은 범위의 파장(예를 들어, 적외선으로부터 자외선 이상까지 그리고 이하까지의 파장을 스패닝(spanning)하는 범위)에서 광을 위한 단일의 (또는 수 개의) 공간 모드를 지지하는 모노모드 섬유일 수 있다. 예를 들어, http://www.rp-photonics.com/supercontinuum_generation.html에서 찾아볼 수 있는 "Encyclopedia of Laser Physiscs and Technology"를 참조하라.
일부의 실시예에서, 섬유(A1002)는 섬유에 입력되는 광의 스펙트럼 범위가 더 넓어지도록 작용하는 비선형 물질을 포함한다. 비선형 효과(예를 들어, 라만 확산 또는 4개의 파 혼합)는 광이 섬유를 따라 전파할 때 일어나고, 입력광에 존재하는 것들과는 다른 파장의 광이 생성된다. 이러한 일부의 실시예에서, 광원(A1001)은 상대적으로 협대역 광원일 수 있으며, 광대역 출력광(104)을 생성하기 위해 스펙트럼 확장이 섬유(A1002)에 의해 제공된다.
추가의 실시예에서, 조명 시스템(A1000)은 공간적 코히어런트 출력빔을 생성할 수 있는 공진 캐비티를 포함한다. 예를 들어, 광원(1001)은 소스(예를 들어, LED, 또는 LED 어레이)에 의해 펌핑되는 공진 캐비티를 포함하여, 이 공진 캐비티의 단일의 (또는 수 개의) 공간 모드에서 공진하도록 이 공진 캐비티를 여기시킨다. 이에 의해 공진 캐비티의 출력은 공간적 코히어런트일 것이다. 이러한 일부의 실시예에서, 섬유(A1002)는 제거될 수 있고, 입력광(104)은 (예를 들어, 공진 캐비티의 출력 빔과 같이) 광원(A1001)으로부터 직접적으로 도출된다. 일부의 실시예에서, 공진 캐비티는 공진 캐비티에 의해 지지되는 공간 모드의 수를 제한하도록 작용하는 섬유를 포함한다.
코히어런트 조명은 (예를 들어, 쾰러(Koehler) 조명을 제공하기 위해 동공면(114)에 촬상되는 공간적 코히어런트 확장 소스를 사용할 때) 측정 물체가 낮은 공간 코히어런트 정보를 가지는 광에 의해 조명되는 경우와는 다르다는 점에 유의하라. 예를 들어, 통상적인 어플리케이션(예를 들어, 유용한 측정을 위해 검출기에서 약간의 최소 강도가 필요한 어플리케이션)에서, 공간적 인코히어런트 조명 광 빔은 테스트 물체(126)에서 넓은 스폿 크기(예를 들어, 간섭 대물 렌즈(106)의 회절 스폿보다 상당히 더 넓은 스폿 크기)를 생성할 것이다.
그렇지만, 본 실시예에서는, 테스트 광(122)이 공간적 코히어런트이므로, 테스트 물체(126)에서의 작은 스폿 크기에 초점이 맞춰질 수 있다. 테스트 물체(126)에서의 초점 빔은 이 경우, 그 회절 스폿에 의한 간섭 대물 렌즈(106)의 지리학적 포인트 확산 함수의 콘볼루션(convolution)이다. 공간적 코히어런트 동공면 조명에 있어서, 지리학적 포인트 확산은 모든 회절 효과가 무시될 때, 동공면(114)의 포인트 소스의 물체에서의 발광 분포(irradiance distribution)로서 정의된다. 통상적인 실시예에서, 간섭 대물 렌즈(106)의 지리학적 포인트 확산은 예를 들어 대물 렌즈에서의 광학 수차에 따라 다르고, 종래의 정정 기술을 사용해서 감소될 수 있거나, 제거될 수도 있다. 한편, 회절 스폿은 예를 들어 대물 렌즈를 따르는 구경(aperture)의 효과, 엄폐(obscurations)를 포함하는 회절 효과로 인한 물체(126)에서의 발광 분포이다.
공간적 코히어런트 광으로 조명되는 잘 정정된 대물 렌즈에 있어서, 테스트 물체(126)에서의 스폿 크기는 테스트 물체에서 회절 스폿의 폭에 접근할 수 있거나 필수적으로 폭과 동등할 수 있다. 높은 수치(예를 들어, 약 0.7 이상 또는 약 0.9 이상)의 구경 렌즈를 가지는 대물 렌즈에 있어서, 회절 스폿은 예를 들어 조명 스폿의 중심 로브(lobe)에 있어서 마이크로미터의 일부일 수 있다. 그러므로 현재 설명하고 있고 전술된 기술을 사용하는 실시예에서, 간섭 측정 시스템(100)은, 타원편광분석 모드에서, 테스트 물체(126)의 테스트 표면(124)의 작고 잘 정의된 영역에 대한 각, 파장, 및 편광 분석 정보(예를 들어, 복소수 반사율 정보)를 결정할 수 있다. 이러한 측정은 테스트 표면(124) 상의 복수의 영역에 걸쳐 반복될 수 있다. 예를 들어, 테스트 표면(124)을 횡단하는 복수의 테스트 스폿에서 취해진 복잡한 반사 측정은 예를 들어 막 두께, 물질 타입, 굴절률 등과 같은 테스트 물체(126)의 속성을 정밀하게 표시하기 위해 분석될 수 있다. 이러한 정보는 프로파일링 모드에서 동작하는 간섭 측정 시스템(100)을 사용해서 수행되는 예를 들어 표면 프로파일 측정을 향상시키는 데 사용될 수 있다.
공간적 코히어런트 조명은 다른 관점에서 낮은 정도의 공간 코히어런스를 가지는 광에 의한 조명과는 다르다는 점에 유의하라. 공간적 코히어런트 광에 있어서, 테스트 표면(124)으로부터 산만하게 반사되는 광은 검출기(134)에서 이질적으로(incoherently)(즉, 무작위 위상 관계로) 결합할 것이다. 그러므로 수 개의 주기에 걸쳐, 그 산만하게 반사된 광에 대응하는 검출기(134)에서 그 결합된 전자기장의 강도는 평균하여 제로로 될 것이다. 통상적인 검출 시간은 일반적으로 수 개의 주기보다 훨씬 길기 때문에, 그러므로 산만하게 반사된 광은 검출기(134)에 의해 측정된 간섭 신호에 기여하지 않을 것이다.
이것은 공간적 코히어런트 조명의 경우가 아니다. 대신, 산만하게 반사된 광은 검출기(134)에서 응집해서 결합될 것이다. 그러므로 산만하게 반사된 광의 강도는 평균해서 제로로 되지 않을 것이며, 따라서 검출기(134)에 의해 측정된 간섭 신호에 기여할 수 있다. 일부의 어플리케이션에서, (예를 들어, 테스트 표면이 측정 스폿을 횡단하는 상당한 측면 변동을 가지지 않을 때) 이 기여는 무시될 수 있을 것이다. 이러한 경우, 수학식(3)의 측정 모델은 우수한 근사차를 남겨, 전술한 분석 기술을 사용할 수 있게 된다. 그 측정된 간섭 신호에 대한 확산 발산으로 인한 기여가 상당한 경우에, 수학식(3)은 우수한 근사치가 되지 않는다. 그렇지만, 이러한 신호들은 예를 들어 그 측정된 신호가 예를 들어 완전한 맥스웰 방정식을 사용해서 계산된 모델 신호 및/또는 기지(旣知)의 기준 샘플에 기초한 예시적 신호와 비교되는 기술에 기초한 모델을 사용해서 여전히 분석될 수 있다. 이러한 기술의 예들은, 2006년 12월 22일에 출원되고 발명의 명칭이 "APPARATUS AND METHOD FOR MEASURING CHARACTERISTICS OF SURFACE FEATURES"인 미국가특허출원 No. 60/876,748에서 찾아볼 수 있으며, 이 문헌은 여기에 원용된다.
일부의 실시예에서, 간섭 측정 시스템(100)은 조명 광의 공간 코히어런스를 감소시키기 위해 빔 경로로 선택적으로 전환될 수 있는 광학 소자(예를 들어, 확산기)를 포함한다. 일부의 어플리케이션에서는, 테스트 물체에서 작은 측정 스폿을 제공하도록 초점이 맞춰진 공간적 코히어런트 조명과 테스트 물체의 더 큰 부분을 조명하는 공간적 인코히어런트 조명 사이를 전환하는 것이 바람직하다. 일부의 경우(예를 들어, 약간 거친 또는 패턴화된 물체를 측정할 때), 측정의 통계를 향상시키기 위해 더 큰 측정 스폿을 사용할 수 있다. 공간적 코히어런트 광원(즉, 섬유 면(1003))을 간섭 대물 렌즈(106)의 구경 조리개(115) 내에 위치하는 촬상기(도시되지 않음)에 촬상함으로써 예를 들어 쾰러 조명의 옵션을 제공할 수 있다.
본 실시예는 간섭 측정 시스템(100)을 가지는 광대역의 공간적 코히어런트 조명원을 타원편광분석 모드에서 사용하는 것에 대해 설명하고 있으나, 이러한 소스는 전술한 프로파일링 모드를 포함한 다양한 다른 모드에서도 유사하게 사용될 수 있음은 물론이다.
추가의 실시예
도 1 및 도 3에 도시된 실시예는 미라우 타입의 간섭 측정 대물 렌즈를 구현하며, 이러한 타입의 대물 렌즈에서는 간섭 대물 렌즈 내의 빔 스플리터가 테스트 광을 위한 광축을 따라 기준광을 역으로 향하게 한다. 다른 실시예에서, 간섭 시스템(100)은 예를 들어 미켈슨 대물 렌즈와 같은 다른 타입의 간섭 대물 렌즈를 대신 사용할 수 있으며, 이러한 다른 타입의 간섭 대물 렌즈에서는 빔 스플리터가 테스트 광의 광축으로부터 멀어지게 기준광을 향하게 한다(예를 들어, 빔 스플리터는 입력광에 대해 45도로 향해져서 테스트 광 및 기준광이 서로 직각으로 이동할 수 있다). 이러한 경우, 기준 표면은 테스트 광의 경로의 외측에 위치할 수 있다.
다른 실시예에서, 간섭 대물 렌즈는 리니크 타입일 수 있으며, 이 리니트 타입의 경우에서는 빔 스플리터가 (입력광과 관련해서) 테스트 표면을 위한 대물 렌즈 앞에 위치하고 테스트 광 및 기준광을 상이한 경로를 따라 향하게 한다. 별도의 대물 렌즈를 사용하여 기준광을 기준 렌즈에 초점을 맞춘다. 환언하면, 빔 스플리터는 입력광을 테스트 광 및 기준광으로 분리하고, 그런 다음 별도의 대물 렌즈들이 테스트 광 및 기준광을 각각의 테스트 표면 및 기준 표면에 초점을 맞춘다. 이상적으로는 두 개의 대물 렌즈가 서로 매칭하여 테스트 광 및 기준광이 유사한 수차 및 광학 경로를 가지는 것이다.
부가적인 간섭계 구성이 또한 가능하다. 예를 들어, 테스트 샘플을 통과하고 이어서 기준광과 결합되는 테스트 광을 수집하도록 시스템을 구성할 수도 있다. 이러한 실시예에서, 예를 들어, 시스템은 이중의 현미경 대물렌즈가 각각의 다리에 있는 마하-젠더 간섭기(Mach-Zehnder interferometer)를 구현할 수 있다.
일부의 실시예에서, 간섭계는 구형 측정 파면을 사용하는 주사 간섭계일 수 있으며, 이에 대해서는 2002년 7월 3일에 출원되고 발명의 명칭이 "MEASUREMENT OF COMPLEX SURFACE SHAPES USING A SPHERICAL WAVEFRONT"인 미국특허 No. 6,714,307에 개시되어 있으며, 이 문헌의 내용은 여기에 부분적으로 원용된다.
이 간섭기에서의 광원은, 구형 대역통과 필터를 가지거나 갖지 않는, 할로겐 전구 또는 금속 할라이드등과 같은 백열등; 광대역 레이저 다이오드; 발광 다이오드; 동일하거나 상이한 타입의 수 개의 광원의 조합; 아크 램프; 가시 스펙트럼 영역에서의 임의의 소스; 특히 거친 표면을 관찰하거나 위상 프로파일링을 적용하기 위한, IR 스펙트럼 영역에서의 임의의 소스; 및 특히 향상된 측면 해상도를 위한 UV 스펙트럼 영역에서의 임의의 소스 중 임의의 것일 수 있다. 광대역 어플리케이션에 있어서, 소스는 바람직하게 평균 파장의 5%보다 더 넓은, 또는 더 바람직하게는 평균 파장의 10%, 20%, 30%, 또는 50%보다 더 큰 순수 스펙트럼 대역폭을 가진다. 동조 가능한, 협대역 어플리케이션에 있어서, 동조 범위(tuning range)는, 넓은 범위의 파장에 걸쳐 반사율 정보를 제공하기 위해, (예를 들어, 가시광에 있어서, 50nm보다 크게, 100nm보다 크게, 또는 200nm보다 크게) 바람직하게 넓으며, 반면 임의의 특별한 설정에서의 스펙트럼 폭은 예를 들어 10nm, 2nm, 또는 1nm만큼 작게 해상도를 최적화하기 위해 바람직하게 좁다. 광원은 또한, 광원으로부터 방출되는 입력광의 공간적 범위를 증가시키기 위해 하나 이상의 확산기 소자를 포함할 수 있다.
일부의 실시예에서, 간섭기는 간섭기의 광학적 소자 중 일부 또는 전부가 반사성 소자이도록 구성될 수 있다. 예를 들어, 입력광이 UV 또는 극UV(EUV) 스펙트럼 내에 있는 어플리케이션에서는, 통상적인 물질을 사용하는 회절 광학 소자들이 상당한 광량을 흡수한다. 이러한 어플리케이션에서, 간섭기에서의 모든 회절 소자는 예를 들어 곡선 미러와 같은 회절 소자로 대체될 수 있다.
또한, 전환 스테이지(150)와 같이, 시스템에서 다양한 전환 스테이지는, 압전기 디바이스, 스테퍼 모터(stepper motor), 및 보이스 코일(voice coil) 중 임의의 것으로 구동될 수 있으며; 광학 경로 길이 변동을 도입하기 위해, 순수 전환에 의해서가 아닌 (예를 들어, 액정, 전기 광학 효과, 변형 효과(strained effects), 및 회전 파면 중 임의의 것을 사용하여) 광학-기계적으로 또는 광학-전기적으로 구현될 수 있으며; 굴곡량을 가진 드라이버 및 예를 들어 롤러 베어링 또는 에어 베어링과 같은 기계적 스테이지를 가진 임의의 드라이버 중 임의의 것일 수 있다.
전자 검출기는 예를 들어 다중-소자 CCD 또는 CMOS 검출기와 같이, 공간적 해상도를 가진 광학 간섭 패턴을 측정하기 위한 임의 타입의 검출기일 수 있다.
다양한 실시예에서, 간섭 측정 시스템(100)은 예를 들어 사용자 디스플레이, 프린터, 머신이 판독 가능한 매체 또는 저장 디바이스, 전자 제어기 등에 측정 정보를 출력한다. 일부의 실시예에서, 출력 데이터는 추가의 디바이스 또는 디바이스들(예를 들어, 집적회로 프로세싱 및/또는 도량형 툴)을 자동으로 제어할 수 있다.
소프트웨어
전술한 바와 같은 분석 단계들은 표준 프로그래밍 기술을 사용해서 컴퓨터 프로그램으로 구현될 수 있다. 이러한 프로그램은 프로그램 가능한 컴퓨터 또는 구체적으로 설계된 집적회로를 실행하도록 설계되며, 이러한 컴퓨터나 집적회로는 전자 프로세서, 데이터 저장 시스템(메모리 및/또는 저장 소자를 포함), 적어도 하나의 입력 디바이스, 및 디스플레이 또는 프린터와 같은 적어도 하나의 출력 디바이스를 포함한다. 프로그램 코드는, 여기서 설명한 기능들을 수행하고 하나의 이상의 출력 디바이스에 적용되는 출력 정보(예를 들어, 회절 인덱스 정보, 두께 측정(들), 표면 프로파일(들) 등)를 발생시키기 위해, 입력 데이터(예를 들어, 검출기로부터의 이미지)에 적용된다. 각각의 이러한 컴퓨터 프로그램은 높은 수준의 과정 또는 객체 지향 프로그래밍 언어, 또는 어셈블리어 또는 기계어로 구현될 수 있다. 또한, 언어는 컴파일링 언어 또는 해석된 언어일 수 있다. 각각의 이러한 컴퓨터 프로그램은, 컴퓨터에 의해 판독될 때 컴퓨터 내의 프로세서로 하여금 전술한 분석 기능 및 제어 기능을 수행할 수 있게 하는, 컴퓨터가 판독 가능한 저장 매체(예를 들어, CD ROM 또는 자기 디스켓) 상에 저장될 수 있다.
본 발명의 다양한 실시예에 대해 설명하였다. 그럼에도, 본 발명의 정신 및 범주를 벗어남이 없이 다양한 변형이 이루어질 수 있음은 물론이다.

Claims (54)

  1. 간섭 측정 방법에 있어서,
    조명 각(illumination angle)의 범위에 걸쳐 제1 캘리브레이션 표면으로 테스트 광을 향하게 하고, 상기 제1 테스트 캘리브레이션 표면으로부터 다시 방출되는 테스트 광과 기준 광을 결합하여 간섭 패턴을 형성하는 단계로서, 상기 제1 캘리브레이션 표면으로부터의 테스트 광 및 상기 기준 광은 공통 소스(common source)로부터 도출되는, 간섭 패턴을 형성하는 단계;
    다중-소자 검출기의 서로 다른 소자들이 테스트 광에 의한 상기 제1 캘리브레이션 표면의 서로 다른 조명 각들에 대응하도록, 상기 결합된 광의 적어도 일부를 상기 제1 캘리브레이션 표면으로부터 상기 다중-소자 검출기로 향하게 하는 단계;
    조명 각의 범위에 걸쳐 상기 제1 캘리브레이션 표면으로부터 제2 캘리브레이션 표면으로 테스트 광을 향하게 하고 상기 제2 캘리브레이션 표면으로부터 다시 방출되는 테스트 광과 기준 광을 결합하여 간섭 패턴을 형성하는 단계로서, 상기 제2 캘리브레이션 표면으로부터의 테스트 광 및 상기 기준 광은 상기 공통 소스로부터 도출되는, 간섭 패턴을 형성하는 단계;
    상기 다중-소자 검출기의 서로 다른 소자들이 상기 테스트 광에 의한 상기 제2 캘리브레이션 표면의 서로 다른 조명 각들에 대응하도록, 상기 결합된 광의 적어도 일부를 상기 제2 캘리브레이션 표면으로부터 상기 다중-소자 검출기로 향하게 하는 단계; 및
    상기 제1 및 제2 캘리브레이션 표면으로부터 방출되는 테스트 광에 대해 상기 다중-소자 검출기의 서로 다른 소자들에 의해 측정된 간섭 신호들에 기초하여, 간섭 측정 시스템에 관한 정보 및 상기 제1 및 제2 캘리브레이션 표면에 관한 다른 정보를 판별하는 단계
    를 포함하며,
    상기 간섭 측정 시스템에 관한 정보는,
    상기 공통 소스의 스펙트럼 분포, 입사면에 평행한 편광 상태와 비교해서 상기 입사면에 수직인 편광 상태의 상대적 감쇠, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 스펙트럼 분포의 변동, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 평균 강도(mean intensity)의 변동, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 위상의 변동, 및 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 스펙트럼 강도의 변동
    중 적어도 하나에 대응하는 정보를 포함하는, 간섭 측정 방법.
  2. 제1항에 있어서,
    상기 제1 및 제2 캘리브레이션 표면에 관한 다른 정보는 상기 제1 및 제2 캘리브레이션 표면의 반사율에 관한 정보인, 간섭 측정 방법.
  3. 제1항에 있어서,
    상기 제1 캘리브레이션 표면은, 벌크 실리콘, 실리콘 상의 산화층, 기판 상의 유전체 층 또는 층들, 기판 상의 불투명 금속층 또는 금속층들, 금속의 고체 표면, 유전체 물질의 고체 표면을 포함하는, 간섭 측정 방법.
  4. 제1항에 있어서,
    상기 간섭 측정 시스템에 관한 정보와 상기 간섭 측정 시스템으로부터의 표준 캘리브레이션을 비교하는 단계; 및
    상기 비교에 기초해서 상기 간섭 측정 시스템을 변형하는 단계
    를 더 포함하는 간섭 측정 방법.
  5. 제1항에 있어서,
    상기 간섭 측정 시스템에 관한 정보와 제2 간섭 측정 시스템에 관한 정보를 비교하는 단계; 및
    상기 비교에 기초해서 상기 간섭 측정 시스템 중 하나 또는 둘을 변형하는 단계
    를 더 포함하는 간섭 측정 방법.
  6. 제1항에 있어서,
    간섭기에 관한 정보 및 테스트 물체의 복수의 모델에 관한 정보에 기초해서 복수의 모델 주사 간섭 신호를 발생시키는 단계를 더 포함하며,
    상기 테스트 물체의 복수의 모델은 상기 테스트 물체의 일련의 특성에 의해 파라미터화되는, 간섭 측정 방법.
  7. 제6항에 있어서,
    테스트 물체 상의 제1 표면 위치에 있어서 상기 간섭 측정 시스템에 의해 획득된 주사 간섭 신호로부터 도출될 수 있는 정보와 상기 복수의 모델 주사 간섭 신호로부터 도출될 수 있는 정보를 비교하는 단계를 더 포함하는 간섭 측정 방법.
  8. 제7항에 있어서,
    상기 비교에 기초해서 상기 테스트 물체에 대한 정확한 특성을 결정하는 단계를 더 포함하는 간섭 측정 방법.
  9. 제8항에 있어서,
    상기 정확한 특성은 상기 제1 표면 위치에 대한 표면 높이 및/또는 상기 제1 표면 위치에 대한 막 두께인, 간섭 측정 방법.
  10. 제8항에 있어서,
    상기 정확한 특성을 결정하는 단계는, 상기 비교에 기초해서, 상기 테스트 물체의 어느 모델이 상기 테스트 물체에 대한 상기 특성의 정확한 모델에 대응하는 지를 결정하는 단계, 및 상기 테스트 물체에 관한 정보를 계산하기 위해 상기 정확한 특성에 대응하는 상기 테스트 물체의 모델을 사용하는 단계를 포함하는, 간섭 측정 방법.
  11. 제7항에 있어서,
    부가의 표면 위치들에 대한 주사 간섭 신호로부터 도출될 수 있는 정보와 상기 복수의 모델 주사 간섭 신호로부터 도출될 수 있는 정보를 비교하는 단계를 더 포함하는 간섭 측정 방법.
  12. 제7항에 있어서,
    상기 비교하는 단계는, 상기 간섭 측정 시스템에 의해 획득된 상기 주사 간섭 신호로부터 도출될 수 있는 정보와 상기 복수의 모델 주사 간섭 신호로부터 도출될 수 있는 정보를 비교하기 위해 검색 엔진을 사용하는 단계를 포함하는, 간섭 측정 방법.
  13. 제7항에 있어서,
    상기 비교하는 단계는, 주사 간섭 신호로부터 도출될 수 있는 정보와 각각의 모델에 대응하는 정보 사이의 유사성(similarity)을 나타내는 하나 이상의 가치 함수(merit function)를 계산하는 단계를 포함하는, 간섭 측정 방법.
  14. 제1항에 있어서,
    상기 간섭 측정 시스템을 사용하여 상기 테스트 표면의 토포그래피(topography)를 간섭적으로 프로파일링하는 동작의 모드에서 테스트 물체의 테스트 표면을 측정하는 단계; 및
    상기 간섭 측정 시스템에 관한 정보에 기초해서 정정된 프로파일을 제공하는 단계
    를 더 포함하는 간섭 측정 방법.
  15. 제14항에 있어서,
    상기 테스트 표면은 상기 테스트 물체의 상부 표면인, 간섭 측정 방법.
  16. 제14항에 있어서,
    상기 테스트 표면은 상기 테스트 물체의 매립된 표면(buried surface)인, 간섭 측정 방법.
  17. 제14항에 있어서,
    상기 테스트 표면 상의 하나 이상의 영역에 관한 정보를 판별하는 단계를 더 포함하며,
    상기 정정된 프로파일은 또한 상기 테스트 표면 상의 상기 하나 이상의 영역에 관한 정보에 기초하는, 간섭 측정 방법.
  18. 간섭 측정 방법에 있어서,
    간섭 측정 시스템을 사용하여, 반사율이 상이한 테스트 물체 상의 테스트 표면의 복수의 영역의 각각에 있어서, 각도 및 파장의 범위에 걸쳐 그 영역의 반사율에 관한 정보를 측정하는 제1 동작 모드에서 각각의 영역을 측정하기는 단계;
    동일한 상기 간섭 측정 시스템을 사용하여, 상기 복수의 영역의 적어도 일부를 포함하는 범위에 걸쳐 상기 테스트 표면의 토포그래피를 간섭적으로 프로파일링하는 제2 동작 모드에서 테스트 표면을 측정하는 단계; 및
    오류를 감소시키기 위해 상기 복수의 영역의 반사율에 관한 정보에 기초해서 프로파일을 정정하는 단계
    를 포함하는 간섭 측정 방법.
  19. 제18항에 있어서,
    상기 테스트 표면은 상기 테스트 물체의 상부 표면인, 간섭 측정 방법.
  20. 제18항에 있어서,
    상기 테스트 표면은 상기 테스트 물체의 매립된 표면인, 간섭 측정 방법.
  21. 제18항에 있어서,
    상기 프로파일은 두께 프로파일인, 간섭 측정 방법.
  22. 제18항에 있어서,
    상기 정정하는 단계는, 각각의 영역에 대해, 영역의 반사율에 관한 정보에 기초해서 높이 오프셋을 결정하는 단계; 및
    상기 높이 오프셋을 상기 프로파일의 대응하는 부분에 부가하는 단계를 포함하는, 간섭 측정 방법.
  23. 제18항에 있어서,
    각도 및 파장의 범위에 걸쳐 두 개 이상의 간섭 표면의 반사율에 관한 정보를 측정하기 위해 동일한 간섭 측정 시스템을 사용하는 단계; 및
    간섭 측정 시스템에 관한 정보를 판별하기 위해 상기 두 개 이상의 간섭 표면의 반사율에 관한 정보를 사용하는 단계
    를 포함하며,
    상기 프로파일을 정정하는 단계는 상기 간섭 측정 시스템에 관한 정보에 기초하는, 간섭 측정 방법.
  24. 제23항에 있어서,
    각도 및 파장의 범위에 걸쳐 영역의 반사율에 관한 정보에 기초해서 간섭 측정 시스템에 관한 부가의 정보를 판별하는 단계를 더 포함하며,
    상기 프로파일을 정정하는 단계는 또한 상기 간섭 측정 시스템에 관한 상기 결정된 정보에 기초하는, 간섭 측정 방법.
  25. 제18항에 있어서,
    상기 정정된 프로파일을 출력하는 단계를 더 포함하는 간섭 측정 방법.
  26. 제18항에 있어서,
    상기 정정된 프로파일을 출력하는 단계는, 사용자, 디스플레이, 전자 저장 장치, 전자 제어기, 및 상기 프로파일, 프린트된 매체, 및 전자 저장 매체에 관한 정보에 기초해서 하나 이상의 디바이스를 동작시키도록 구성된 전자 제어기 중 하나에 출력하는 단계를 포함하는, 간섭 측정 방법.
  27. 제18항에 있어서,
    상기 출력하는 단계는 반도체 도량형 측정에서 사용하기 위해 출력하는 단계를 포함하는, 간섭 측정 방법.
  28. 간섭 측정 시스템에 있어서,
    광원;
    다중-소자 검출기; 및
    간섭기
    를 포함하며,
    상기 간섭기는,
    조명 각(illumination angle)의 범위에 걸쳐 제1 캘리브레이션 표면으로 테스트 광을 향하게 하고, 상기 제1 테스트 캘리브레이션 표면으로부터 다시 방출되는 테스트 광과 기준 광을 결합하여 간섭 패턴을 형성하고, 상기 제1 캘리브레이션 표면으로부터의 테스트 광 및 상기 기준 광은 공통 소스로부터 도출되며;
    상기 다중-소자 검출기의 서로 다른 소자들이 테스트 광에 의한 상기 제1 캘리브레이션 표면의 서로 다른 조명 각들에 대응하도록, 상기 결합된 광의 적어도 일부를 상기 제1 캘리브레이션 표면으로부터 상기 다중-소자 검출기로 향하게 하고;
    조명 각의 범위에 걸쳐 상기 제1 캘리브레이션 표면으로부터 제2 캘리브레이션 표면으로 테스트 광을 향하게 하고 상기 제2 캘리브레이션 표면으로부터 다시 방출되는 테스트 광과 기준 광을 결합하여 간섭 패턴을 형성하고, 상기 제2 캘리브레이션 표면으로부터의 테스트 광 및 상기 기준 광은 상기 공통 소스로부터 도출되며;
    상기 다중-소자 검출기의 서로 다른 소자들이 상기 테스트 광에 의한 상기 제2 캘리브레이션 표면의 서로 다른 조명 각들에 대응하도록, 상기 결합된 광의 적어도 일부를 상기 제2 캘리브레이션 표면으로부터 상기 다중-소자 검출기로 향하게 하고;
    상기 제1 및 제2 캘리브레이션 표면으로부터 방출되는 테스트 광에 대해 상기 다중-소자 검출기의 서로 다른 소자들에 의해 측정된 간섭 신호들에 기초하여, 간섭 측정 시스템에 관한 정보 및 상기 제1 및 제2 캘리브레이션 표면에 관한 다른 정보를 판별하도록
    구성되며,
    상기 간섭 측정 시스템에 관한 정보는,
    상기 공통 소스의 스펙트럼 분포, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 스펙트럼 분포의 변동, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 평균 강도의 변동, 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 위상의 변동, 및 상기 간섭 측정 시스템의 동공면을 횡단하는 조명의 스펙트럼 강도의 변동
    중 적어도 하나에 대응하는 정보를 포함하는, 간섭 측정 시스템.
  29. 제28항에 있어서,
    상기 제1 및 제2 캘리브레이션 표면에 관한 다른 정보는 상기 제1 및 제2 캘리브레이션 표면의 반사율에 관한 정보를 포함하는, 간섭 측정 시스템.
  30. 제28항에 있어서,
    상기 제1 캘리브레이션 표면은, 벌크 실리콘, 실리콘 상의 산화층, 기판 상의 유전체 층 또는 층들, 기판 상의 불투명 금속층 또는 금속층들, 금속의 고체 표면, 유전체 물질의 고체 표면을 포함하는, 간섭 측정 시스템.
  31. 제28항에 있어서,
    상기 간섭 측정 시스템에 관한 정보와 상기 간섭 측정 시스템으로부터의 표준 캘리브레이션을 비교하는 단계; 및
    상기 비교에 기초해서 상기 간섭 측정 시스템을 변형하는 단계
    를 더 포함하는 간섭 측정 시스템.
  32. 제28항에 있어서,
    상기 간섭 측정 시스템에 관한 정보와 제2 간섭 측정 시스템에 관한 정보를 비교하는 단계; 및
    상기 비교에 기초해서 상기 간섭 측정 시스템 중 하나 또는 둘을 변형하는 단계
    를 더 포함하는 간섭 측정 시스템.
  33. 제28항에 있어서,
    상기 전자 프로세서는,
    상기 간섭기에 관한 정보 및 테스트 물체의 복수의 모델에 관한 정보에 기초해서 복수의 모델 주사 간섭 신호를 발생시키도록 구성되어 있고,
    상기 테스트 물체의 복수의 모델은 상기 테스트 물체의 일련의 특성에 의해 파라미터화되는, 간섭 측정 시스템.
  34. 제28항에 있어서,
    상기 전자 프로세서는, 테스트 물체 상의 제1 표면 위치에 있어서 상기 간섭 측정 시스템에 의해 획득된 주사 간섭 신호로부터 도출될 수 있는 정보와 상기 복수의 모델 주사 간섭 신호로부터 도출될 수 있는 정보를 비교하도록 구성되어 있는, 간섭 측정 시스템.
  35. 제34항에 있어서,
    상기 전자 프로세서는, 상기 비교에 기초해서 상기 테스트 물체에 대한 정확한 특성을 결정하도록 구성되어 있는, 간섭 측정 시스템.
  36. 제35항에 있어서,
    상기 정확한 특성은 상기 제1 표면 위치에 대한 표면 높이 및/또는 상기 제1 표면 위치에 대한 막 두께인, 간섭 측정 시스템.
  37. 제35항에 있어서,
    상기 정확한 특성을 결정하는 단계는, 상기 비교에 기초해서, 상기 테스트 물체의 어느 모델이 상기 테스트 물체에 대한 상기 특성의 정확한 모델에 대응하는 지를 결정하는 단계, 및 상기 테스트 물체에 관한 정보를 계산하기 위해 상기 정확한 특성에 대응하는 상기 테스트 물체의 모델을 사용하는 단계를 포함하는, 간섭 측정 시스템.
  38. 제34항에 있어서,
    상기 전자 프로세서는, 부가의 표면 위치들에 대한 주사 간섭 신호로부터 도출될 수 있는 정보와 상기 복수의 모델 주사 간섭 신호로부터 도출될 수 있는 정보를 비교하도록 구성되어 있는, 간섭 측정 시스템.
  39. 제34항에 있어서,
    상기 비교하는 단계는, 상기 간섭 측정 시스템에 의해 획득된 상기 주사 간섭 신호로부터 도출될 수 있는 정보와 상기 복수의 모델 주사 간섭 신호로부터 도출될 수 있는 정보를 비교하기 위해 검색 엔진을 사용하는 단계를 포함하는, 간섭 측정 시스템.
  40. 제34항에 있어서,
    상기 비교하는 단계는, 주사 간섭 신호로부터 도출될 수 있는 정보와 각각의 모델에 대응하는 정보 사이의 유사성(similarity)을 나타내는 하나 이상의 가치 함수(merit function)를 계산하는 단계를 포함하는, 간섭 측정 시스템.
  41. 제28항에 있어서,
    상기 간섭 측정 시스템은, 상기 테스트 표면의 토포그래피(topography)를 간섭적으로 프로파일링하는 동작의 모드에서 테스트 물체의 테스트 표면을 측정하도록 구성되어 있으며;
    상기 전자 프로세서는, 상기 간섭 측정 시스템에 관한 정보에 기초해서 정정된 프로파일을 제공하도록 구성되어 있는, 간섭 측정 시스템.
  42. 제41항에 있어서,
    상기 테스트 표면은 상기 테스트 물체의 상부 표면인, 간섭 측정 시스템.
  43. 제41항에 있어서,
    상기 테스트 표면은 상기 테스트 물체의 매립된 표면(buried surface)인, 간섭 측정 시스템.
  44. 제41항에 있어서,
    상기 전자 프로세서는,
    상기 테스트 표면의 하나 이상의 영역에 관한 정보를 판별하도록 구성되어 있고,
    상기 정정된 프로파일은 또한 상기 테스트 표면 상의 하나 이상의 영역에 관한 정보에 기초하는, 간섭 측정 시스템.
  45. 간섭 측정 시스템을 포함하는 장치에 있어서,
    상기 간섭 측정 시스템은,
    반사율이 상이한 테스트 물체 상의 테스트 표면의 복수의 영역의 각각에 있어서, 각도 및 파장의 범위에 걸쳐 영역의 반사율에 관한 정보를 측정하는 제1 모드에서 동작하고,
    상기 복수의 영역 각각의 적어도 일부를 포함하는 범위에 걸쳐 상기 테스트 표면의 토포그래피를 간섭적으로 프로파일링하는 제2 동작 모드에서 동작하고,
    오류를 감소시키기 위해 상기 복수의 영역의 반사율에 관한 정보에 기초해서 프로파일을 정정하도록
    구성되어 있는, 장치.
  46. 제45항에 있어서,
    상기 테스트 표면은 상기 테스트 물체의 상부 표면인, 장치.
  47. 제45항에 있어서,
    상기 테스트 표면은 상기 테스트 물체의 매립된 표면(buried surface)인, 장치.
  48. 제45항에 있어서,
    상기 프로파일은 두께 프로파일인, 장치.
  49. 제45항에 있어서,
    상기 간섭 측정 시스템은,
    각각의 영역에 대해서, 영역의 반사율에 관한 정보에 기초해서 높이 오프셋을 결정하고,
    상기 높이 오프셋을 상기 프로파일의 대응하는 부분에 부가하도록
    구성되어 있는, 장치.
  50. 제45항에 있어서,
    상기 간섭 측정 시스템은,
    각도 및 파장의 범위에 걸쳐 두 개 이상의 간섭 표면의 반사율에 관한 정보를 측정하기 위해 동일한 간섭 측정 시스템을 사용하고,
    상기 간섭 측정 시스템에 관한 정보를 판별하기 위해 상기 두 개 이상의 간섭 표면의 반사율에 관한 정보를 사용하도록
    구성되어 있으며,
    상기 프로파일을 정정하는 것은 상기 간섭 측정 시스템에 관한 정보에 기초하는, 장치.
  51. 제50항에 있어서,
    상기 간섭 측정 시스템은, 각도 및 파장의 범위에 걸쳐 영역의 반사율에 관한 정보에 기초해서 간섭 측정 시스템에 관한 부가의 정보를 판별하도록 구성되어 있으며,
    상기 프로파일을 정정하는 것은 또한 상기 간섭 측정 시스템에 관한 상기 결정된 정보에 기초하는, 장치.
  52. 제45항에 있어서,
    상기 정정된 프로파일을 출력하는 단계를 더 포함하는 장치.
  53. 제45항에 있어서,
    상기 정정된 프로파일을 출력하는 단계는, 사용자, 디스플레이, 전자 저장 장치, 전자 제어기, 및 상기 프로파일, 프린트된 매체, 및 전자 저장 매체에 관한 정보에 기초해서 하나 이상의 디바이스를 동작시키도록 구성된 전자 제어기 중 하나에 출력하는 단계를 포함하는,
  54. 제45항에 있어서,
    상기 정정된 프로파일을 출력하는 단계는 반도체 도량형 측정에서 사용하기 위해 출력하는 단계를 포함하는, 장치.
KR1020107002424A 2007-07-19 2008-07-14 간섭 측정을 위한 모델 신호 발생 KR101195101B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/780,360 2007-07-19
US11/780,360 US7619746B2 (en) 2007-07-19 2007-07-19 Generating model signals for interferometry
PCT/US2008/069945 WO2009012207A1 (en) 2007-07-19 2008-07-14 Generating model signals for interferometry

Publications (2)

Publication Number Publication Date
KR20100028665A true KR20100028665A (ko) 2010-03-12
KR101195101B1 KR101195101B1 (ko) 2012-11-05

Family

ID=40260016

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107002424A KR101195101B1 (ko) 2007-07-19 2008-07-14 간섭 측정을 위한 모델 신호 발생

Country Status (5)

Country Link
US (1) US7619746B2 (ko)
KR (1) KR101195101B1 (ko)
CN (1) CN101755187B (ko)
TW (1) TWI439661B (ko)
WO (1) WO2009012207A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140111924A (ko) * 2013-03-12 2014-09-22 가부시키가이샤 에바라 세이사꾸쇼 연마 패드의 표면 성상 측정 방법
KR20140111923A (ko) * 2013-03-12 2014-09-22 가부시키가이샤 에바라 세이사꾸쇼 연마 패드의 표면 성상 측정 장치
KR20210036146A (ko) * 2019-09-25 2021-04-02 울산과학기술원 토폴로지 정보를 이용하는 광간섭 현미경 장치

Families Citing this family (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7446882B2 (en) * 2005-01-20 2008-11-04 Zygo Corporation Interferometer for determining characteristics of an object surface
GB2476738B (en) * 2007-07-18 2012-08-15 Iatia Imaging Pty Ltd Method and apparatus for determining the surface profile of an object
US20110180781A1 (en) * 2008-06-05 2011-07-28 Soraa, Inc Highly Polarized White Light Source By Combining Blue LED on Semipolar or Nonpolar GaN with Yellow LED on Semipolar or Nonpolar GaN
US20090309127A1 (en) * 2008-06-13 2009-12-17 Soraa, Inc. Selective area epitaxy growth method and structure
US8847249B2 (en) * 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8767787B1 (en) 2008-07-14 2014-07-01 Soraa Laser Diode, Inc. Integrated laser diodes with quality facets on GaN substrates
US8805134B1 (en) 2012-02-17 2014-08-12 Soraa Laser Diode, Inc. Methods and apparatus for photonic integration in non-polar and semi-polar oriented wave-guided optical devices
US8124996B2 (en) 2008-08-04 2012-02-28 Soraa, Inc. White light devices using non-polar or semipolar gallium containing materials and phosphors
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
WO2010120819A1 (en) * 2009-04-13 2010-10-21 Kaai, Inc. Optical device structure using gan substrates for laser applications
US8837545B2 (en) 2009-04-13 2014-09-16 Soraa Laser Diode, Inc. Optical device structure using GaN substrates and growth structures for laser applications
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US10108079B2 (en) * 2009-05-29 2018-10-23 Soraa Laser Diode, Inc. Laser light source for a vehicle
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US9829780B2 (en) * 2009-05-29 2017-11-28 Soraa Laser Diode, Inc. Laser light source for a vehicle
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US8427590B2 (en) * 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
US20110056429A1 (en) * 2009-08-21 2011-03-10 Soraa, Inc. Rapid Growth Method and Structures for Gallium and Nitrogen Containing Ultra-Thin Epitaxial Structures for Devices
US8750342B1 (en) 2011-09-09 2014-06-10 Soraa Laser Diode, Inc. Laser diodes with scribe structures
US8355418B2 (en) 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
US20110182056A1 (en) * 2010-06-23 2011-07-28 Soraa, Inc. Quantum Dot Wavelength Conversion for Optical Devices Using Nonpolar or Semipolar Gallium Containing Materials
US8905588B2 (en) 2010-02-03 2014-12-09 Sorra, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US10147850B1 (en) 2010-02-03 2018-12-04 Soraa, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US8451876B1 (en) 2010-05-17 2013-05-28 Soraa, Inc. Method and system for providing bidirectional light sources with broad spectrum
EP2619711A4 (en) * 2010-09-20 2017-06-07 HID Global Corporation Machine-readable symbols
US9483677B2 (en) 2010-09-20 2016-11-01 Hid Global Corporation Machine-readable symbols
US8854628B2 (en) * 2010-09-22 2014-10-07 Zygo Corporation Interferometric methods for metrology of surfaces, films and underresolved structures
US10124410B2 (en) 2010-09-25 2018-11-13 Ipg Photonics Corporation Methods and systems for coherent imaging and feedback control for modification of materials
US8822875B2 (en) 2010-09-25 2014-09-02 Queen's University At Kingston Methods and systems for coherent imaging and feedback control for modification of materials
US8816319B1 (en) 2010-11-05 2014-08-26 Soraa Laser Diode, Inc. Method of strain engineering and related optical device using a gallium and nitrogen containing active region
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US8436997B2 (en) * 2010-12-17 2013-05-07 Xyratex Technology Limited Optical inspection system with polarization isolation of detection system reflections
US9025635B2 (en) 2011-01-24 2015-05-05 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a support member
US9595813B2 (en) 2011-01-24 2017-03-14 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a substrate member
US9093820B1 (en) 2011-01-25 2015-07-28 Soraa Laser Diode, Inc. Method and structure for laser devices using optical blocking regions
US9406411B2 (en) * 2011-02-08 2016-08-02 Accuray Incorporated Automatic calibration for device with controlled motion range
US9236530B2 (en) 2011-04-01 2016-01-12 Soraa, Inc. Miscut bulk substrates
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
US9646827B1 (en) 2011-08-23 2017-05-09 Soraa, Inc. Method for smoothing surface of a substrate containing gallium and nitrogen
US8971370B1 (en) 2011-10-13 2015-03-03 Soraa Laser Diode, Inc. Laser devices using a semipolar plane
US9020003B1 (en) 2012-03-14 2015-04-28 Soraa Laser Diode, Inc. Group III-nitride laser diode grown on a semi-polar orientation of gallium and nitrogen containing substrates
US9800016B1 (en) 2012-04-05 2017-10-24 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US10559939B1 (en) 2012-04-05 2020-02-11 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9343871B1 (en) 2012-04-05 2016-05-17 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
CN102662189B (zh) * 2012-04-13 2013-11-27 北京华泰诺安科技有限公司 一种基于计数管的辐射测试的分析方法
US20130270461A1 (en) * 2012-04-13 2013-10-17 Kla-Tencor Corporation Smart memory alloys for an extreme ultra-violet (euv) reticle inspection tool
US9128064B2 (en) * 2012-05-29 2015-09-08 Kla-Tencor Corporation Super resolution inspection system
US8661725B1 (en) * 2012-10-01 2014-03-04 Graflex, Inc. Removably coupled boresight camera assembly for aligning weapons
US9879977B2 (en) 2012-11-09 2018-01-30 Kla-Tencor Corporation Apparatus and method for optical metrology with optimized system parameters
US10126546B2 (en) * 2013-01-29 2018-11-13 The Regents Of The University Of California Drift-corrected, high-resolution optical trap and high-sensitivity angular interferometer
EP2972479B1 (en) 2013-03-13 2020-09-09 IPG Photonics (Canada) Inc. Methods and systems for characterizing laser machining properties by measuring keyhole dynamics using interferometry
KR101490457B1 (ko) 2013-04-29 2015-02-10 선문대학교 산학협력단 검사 장치
TWI499756B (zh) * 2013-05-10 2015-09-11 Ind Tech Res Inst 接合層的厚度量測系統與方法
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
JP2018525635A (ja) * 2015-08-17 2018-09-06 キューエスオー・インターフェロメーター・システムズ・アーベー 被検面のトポグラフィを導出するための方法および装置
CN105115940B (zh) * 2015-09-08 2017-10-20 福州大学 光学材料折射率曲线测量方法及装置
US9787963B2 (en) 2015-10-08 2017-10-10 Soraa Laser Diode, Inc. Laser lighting having selective resolution
US10581162B2 (en) * 2016-08-22 2020-03-03 Keysight Technologies, Inc. Systems and methods for determining a spatial radiation characteristic of a transmitted radio-frequency signal
CN106556340A (zh) * 2016-11-21 2017-04-05 中国科学院光电技术研究所 基于调制度的宽光谱干涉的零级条纹的寻找方法
US10288408B2 (en) 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
US10692227B2 (en) * 2017-01-05 2020-06-23 Kla-Tencor Corporation Determination of sampling maps for alignment measurements based on reduction of out of specification points
US10107614B1 (en) 2017-04-18 2018-10-23 Quality Vision International, Inc. Optical pen for interferometric measuring machine
DE102017115922C5 (de) * 2017-07-14 2023-03-23 Precitec Gmbh & Co. Kg Verfahren und Vorrichtung zur Messung und Einstellung eines Abstands zwischen einem Bearbeitungskopf und einem Werkstück sowie dazugehöriges Verfahren zur Regelung
US10508971B2 (en) * 2017-09-07 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Optical test system and method for determining size of gap between two substrates of optical element
US10771155B2 (en) 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
US10222474B1 (en) 2017-12-13 2019-03-05 Soraa Laser Diode, Inc. Lidar systems including a gallium and nitrogen containing laser light source
FR3077631B1 (fr) * 2018-02-05 2021-01-01 Unity Semiconductor Procede et dispositif d'inspection d'une surface d'un objet comportant des materiaux dissimilaires
US10551728B1 (en) 2018-04-10 2020-02-04 Soraa Laser Diode, Inc. Structured phosphors for dynamic lighting
US10845251B2 (en) 2018-06-28 2020-11-24 Zygo Corporation Wavemeter using pairs of interferometric optical cavities
CN109211934B (zh) * 2018-08-29 2021-01-26 南京理工大学 基于干涉显微的微球面缺陷检测装置及其检测方法
CN111122568B (zh) 2018-11-01 2022-04-22 华中科技大学苏州脑空间信息研究院 一种高通量光学层析成像方法及成像系统
FR3089286B1 (fr) 2018-11-30 2022-04-01 Unity Semiconductor Procédé et système pour mesurer une surface d’un objet comprenant des structures différentes par interférométrie à faible cohérence
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
US11681030B2 (en) 2019-03-05 2023-06-20 Waymo Llc Range calibration of light detectors
DE102019204578A1 (de) * 2019-04-01 2020-10-01 Carl Zeiss Meditec Ag Prüfvorrichtung und Verfahren zur Vermessung der Homogenität eines optischen Elements
KR20210011278A (ko) * 2019-07-22 2021-02-01 삼성전자주식회사 Ie 기반 검사 방법, 및 그 검사 방법을 이용한 반도체 소자 제조방법
US11747453B1 (en) 2019-11-04 2023-09-05 Waymo Llc Calibration system for light detection and ranging (lidar) devices
CN111356896B (zh) * 2020-02-24 2021-01-12 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统和方法
CN114279346B (zh) * 2021-12-18 2024-04-16 上海精测半导体技术有限公司 光测量装置、方法及光声膜厚测量系统
CN115016035B (zh) * 2022-05-31 2023-12-22 中国科学院光电技术研究所 一种基于波前探测的实时大气湍流分层强度测量方法

Family Cites Families (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2612074A (en) 1949-03-30 1952-09-30 Prec Mecanique Paris Soc Interferometer
US4199219A (en) 1977-04-22 1980-04-22 Canon Kabushiki Kaisha Device for scanning an object with a light beam
US4188122A (en) 1978-03-27 1980-02-12 Rockwell International Corporation Interferometer
US4340306A (en) 1980-02-04 1982-07-20 Balasubramanian N Optical system for surface topography measurement
US4355903A (en) 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
DE3145633A1 (de) 1981-11-17 1983-08-11 Byk-Mallinckrodt Chemische Produkte Gmbh, 4230 Wesel Vorrichtung zur farbmessung
US4576479A (en) 1982-05-17 1986-03-18 Downs Michael J Apparatus and method for investigation of a surface
US4523846A (en) 1982-09-10 1985-06-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Integrated optics in an electrically scanned imaging Fourier transform spectrometer
JPS60127403A (ja) 1983-12-13 1985-07-08 Anritsu Corp 厚み測定装置
US4618262A (en) 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4639139A (en) 1985-09-27 1987-01-27 Wyko Corporation Optical profiler using improved phase shifting interferometry
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4806018A (en) 1987-07-06 1989-02-21 The Boeing Company Angular reflectance sensor
US4869593A (en) 1988-04-22 1989-09-26 Zygo Corporation Interferometric surface profiler
US4923301A (en) 1988-05-26 1990-05-08 American Telephone And Telegraph Company Alignment of lithographic system
US4964726A (en) 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
US4948253A (en) 1988-10-28 1990-08-14 Zygo Corporation Interferometric surface profiler for spherical surfaces
GB8903725D0 (en) 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5042949A (en) 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5073018A (en) 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
DE3942896A1 (de) 1989-12-23 1991-06-27 Zeiss Carl Fa Interferometrischer sensor zur messung von abstandsaenderungen einer kleinen flaeche
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5135307A (en) 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5129724A (en) 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
EP0502679B1 (en) 1991-03-04 2001-03-07 AT&T Corp. Semiconductor integrated circuit fabrication utilizing latent imagery
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US5194918A (en) 1991-05-14 1993-03-16 The Board Of Trustees Of The Leland Stanford Junior University Method of providing images of surfaces with a correlation microscope by transforming interference signals
US5173746A (en) 1991-05-21 1992-12-22 Wyko Corporation Method for rapid, accurate measurement of step heights between dissimilar materials
US5204734A (en) 1991-06-12 1993-04-20 Wyko Corporation Rough surface profiler and method
US5133601A (en) 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
JPH05304627A (ja) 1991-08-19 1993-11-16 Fuji Photo Film Co Ltd ビデオカメラのサイドグリップ
US5390023A (en) 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
US5402234A (en) 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5539571A (en) * 1992-09-21 1996-07-23 Sdl, Inc. Differentially pumped optical amplifer and mopa device
US5384717A (en) 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5398113A (en) 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5777742A (en) 1993-03-11 1998-07-07 Environmental Research Institute Of Michigan System and method for holographic imaging with discernible image of an object
US5386119A (en) 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (ja) 1993-06-21 1995-01-10 Jasco Corp 半導体多層薄膜膜厚測定装置およびその測定方法
EP0767361B1 (en) 1993-07-22 2000-02-23 Applied Spectral Imaging Ltd. Method and apparatus for spectral imaging
US5856871A (en) 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US5481811A (en) 1993-11-22 1996-01-09 The Budd Company Universal inspection workpiece holder
US5483064A (en) 1994-01-21 1996-01-09 Wyko Corporation Positioning mechanism and method for providing coaxial alignment of a probe and a scanning means in scanning tunneling and scanning force microscopy
US5459564A (en) 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
US5471303A (en) 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5633714A (en) 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5555471A (en) 1995-05-24 1996-09-10 Wyko Corporation Method for measuring thin-film thickness and step height on the surface of thin-film/substrate test samples by phase-shifting interferometry
US5589938A (en) 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5748318A (en) * 1996-01-23 1998-05-05 Brown University Research Foundation Optical stress generator and detector
US5602643A (en) 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5640270A (en) 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
JP3459327B2 (ja) 1996-06-17 2003-10-20 理化学研究所 積層構造体の層厚および屈折率の測定方法およびその測定装置
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956141A (en) 1996-09-13 1999-09-21 Olympus Optical Co., Ltd. Focus adjusting method and shape measuring device and interference microscope using said focus adjusting method
US5757502A (en) 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
US5774224A (en) 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5777740A (en) 1997-02-27 1998-07-07 Phase Metrics Combined interferometer/polarimeter
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5784164A (en) 1997-03-20 1998-07-21 Zygo Corporation Method and apparatus for automatically and simultaneously determining best focus and orientation of objects to be measured by broad-band interferometric means
JP3275797B2 (ja) 1997-09-10 2002-04-22 松下電器産業株式会社 低圧水銀蒸気放電ランプ
US20020015146A1 (en) * 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
US6392749B1 (en) 1997-09-22 2002-05-21 Candela Instruments High speed optical profilometer for measuring surface height variation
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5900633A (en) 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6028670A (en) 1998-01-19 2000-02-22 Zygo Corporation Interferometric methods and systems using low coherence illumination
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6407816B1 (en) 1998-02-23 2002-06-18 Zygo Corporation Interferometer and method for measuring the refractive index and optical path length effects of air
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
DE19814057B4 (de) 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Anordnung zur optischen Kohärenztomographie und Kohärenztopographie
US6242739B1 (en) 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
US6275297B1 (en) 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
USH1972H1 (en) 1998-10-06 2001-07-03 Nikon Corporation Autofocus system using common path interferometry
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
KR100290086B1 (ko) 1999-03-23 2001-05-15 윤덕용 백색광주사간섭법을 이용한 투명한 박막층의 3차원 두께 형상 측정 및 굴절률 측정 방법 및 그 기록매체
US6449066B1 (en) 1999-04-29 2002-09-10 Kaiser Optical Systems, Inc. Polarization insensitive, high dispersion optical element
US6888638B1 (en) * 1999-05-05 2005-05-03 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
TW477897B (en) 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6507405B1 (en) 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6249351B1 (en) 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6381009B1 (en) 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
US6160621A (en) 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6259521B1 (en) 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
JP4717308B2 (ja) 1999-11-19 2011-07-06 ザイゴ コーポレーション 干渉測定システムにおける非線形性を量化するシステムおよび方法
US6545761B1 (en) 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope
JP4365979B2 (ja) 2000-03-29 2009-11-18 株式会社東京測器研究所 光ファイバ式ひずみセンサ及びその製造方法
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
JP2001280914A (ja) 2000-03-29 2001-10-10 Tokyo Sokki Kenkyusho Co Ltd 光干渉測定方法
LU90580B1 (fr) * 2000-05-08 2001-11-09 Europ Economic Community M-thode d'identification d'un objet
US6449048B1 (en) 2000-05-11 2002-09-10 Veeco Instruments, Inc. Lateral-scanning interferometer with tilted optical axis
US6597460B2 (en) 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
US6417109B1 (en) 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6633389B1 (en) 2000-11-28 2003-10-14 Nanometrics Incorporated Profiling method
US6909509B2 (en) * 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US6721094B1 (en) 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
US6624894B2 (en) 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6867866B1 (en) * 2001-08-10 2005-03-15 Therma-Wave, Inc. CD metrology analysis using green's function
US6741357B2 (en) * 2001-08-14 2004-05-25 Seagate Technology Llc Quadrature phase shift interferometer with unwrapping of phase
US6714307B2 (en) 2001-10-16 2004-03-30 Zygo Corporation Measurement of complex surface shapes using a spherical wavefront
US6630982B2 (en) * 2001-10-18 2003-10-07 Motorola, Inc. Color and intensity tunable liquid crystal device
US6856384B1 (en) * 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US6934035B2 (en) * 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
US7068376B2 (en) * 2002-04-19 2006-06-27 Zygo Corporation Interferometry method and apparatus for producing lateral metrology images
KR100984809B1 (ko) * 2002-05-02 2010-10-04 지고 코포레이션 주사 간섭계를 위한 위상 갭 분석
DE10392754T5 (de) * 2002-06-17 2005-08-25 Zygo Corp., Middlefield Interferometrisches optisches System und Verfahren, die eine optische Pfadlänge und einen Fokus bzw. Brennpunkt liefern, die gleichzeitig abgetastet werden
DE10392828T5 (de) * 2002-06-17 2005-07-21 Zygo Corp., Middlefield Interferometrieverfahren und -systeme mit gekoppelter Hohlraumgeometrie zur Verwendung mit einer erweiterten Quelle
WO2004003463A2 (en) * 2002-07-01 2004-01-08 Lightgage, Inc. Interferometer system of compact configuration
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US6985232B2 (en) * 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
US6999180B1 (en) * 2003-04-02 2006-02-14 Kla-Tencor Technologies Corporation Optical film topography and thickness measurement
DE10327019A1 (de) * 2003-06-12 2004-12-30 Carl Zeiss Sms Gmbh Verfahren zur Bestimmung der Abbildungsgüte eines optischen Abbildungssystems
US6956716B2 (en) * 2003-07-30 2005-10-18 Hitachi Global Storage Technologies Netherlands, B.V. Magnetic head having multilayer heater for thermally assisted write head and method of fabrication thereof
FI20031143A0 (fi) * 2003-08-08 2003-08-08 Wallac Oy Optinen fokusointimenetelmä ja -järjestely
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
TWI331211B (en) * 2003-09-15 2010-10-01 Zygo Corp Optical system,method of analyzing a measured object, and system for determining a spatial property of a measured object
TWI335417B (en) * 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
US7177030B2 (en) * 2004-04-22 2007-02-13 Technion Research And Development Foundation Ltd. Determination of thin film topography
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US20060066842A1 (en) * 2004-09-30 2006-03-30 Saunders Winston A Wafer inspection with a customized reflective optical channel component
US7446882B2 (en) * 2005-01-20 2008-11-04 Zygo Corporation Interferometer for determining characteristics of an object surface
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
JP2006214856A (ja) * 2005-02-03 2006-08-17 Canon Inc 測定装置及び方法
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
WO2007044786A2 (en) * 2005-10-11 2007-04-19 Zygo Corporation Interferometry method and system including spectral decomposition
US7711014B2 (en) * 2005-10-11 2010-05-04 Clear Align Llc Apparatus and method for generating short optical pulses
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US20070127036A1 (en) * 2005-12-07 2007-06-07 Chroma Ate Inc. Interference measurement system self-alignment method
US7612891B2 (en) * 2005-12-15 2009-11-03 Veeco Instruments, Inc. Measurement of thin films using fourier amplitude
WO2008011510A2 (en) * 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140111924A (ko) * 2013-03-12 2014-09-22 가부시키가이샤 에바라 세이사꾸쇼 연마 패드의 표면 성상 측정 방법
KR20140111923A (ko) * 2013-03-12 2014-09-22 가부시키가이샤 에바라 세이사꾸쇼 연마 패드의 표면 성상 측정 장치
US10401285B2 (en) 2013-03-12 2019-09-03 Ebara Corporation Apparatus for measuring surface properties of polishing pad
KR20210036146A (ko) * 2019-09-25 2021-04-02 울산과학기술원 토폴로지 정보를 이용하는 광간섭 현미경 장치

Also Published As

Publication number Publication date
KR101195101B1 (ko) 2012-11-05
CN101755187A (zh) 2010-06-23
US20090021723A1 (en) 2009-01-22
US7619746B2 (en) 2009-11-17
TWI439661B (zh) 2014-06-01
WO2009012207A1 (en) 2009-01-22
CN101755187B (zh) 2012-06-13
TW200921039A (en) 2009-05-16

Similar Documents

Publication Publication Date Title
KR101195101B1 (ko) 간섭 측정을 위한 모델 신호 발생
KR101006422B1 (ko) 객체 표면의 특성을 결정하기 위한 간섭계
US7884947B2 (en) Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US7924435B2 (en) Apparatus and method for measuring characteristics of surface features
US8248617B2 (en) Interferometer for overlay measurements
KR101274517B1 (ko) 편광 스캐닝을 이용한 간섭계
US8854628B2 (en) Interferometric methods for metrology of surfaces, films and underresolved structures
JP2013210383A (ja) 光学的に未処理の表面特徴の特性を測定する干渉計及び方法
JP2009516171A (ja) 光学的に未処理の表面特徴の特性を測定する干渉計及び方法
US20120089365A1 (en) Data interpolation methods for metrology of surfaces, films and underresolved structures
KR20100122123A (ko) 박막 구조의 특징화를 포함하여, 타원편광 측정, 반사 측정 및 산란 측정을 위한 간섭측정 방법
WO2008151266A2 (en) Interferometry for determining characteristics of an object surface, with spatially coherent illumination

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151007

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161012

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20171013

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20181011

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191010

Year of fee payment: 8