KR20080033507A - 기판을 폴리싱하기 위한 방법 및 조성물 - Google Patents

기판을 폴리싱하기 위한 방법 및 조성물 Download PDF

Info

Publication number
KR20080033507A
KR20080033507A KR1020087005391A KR20087005391A KR20080033507A KR 20080033507 A KR20080033507 A KR 20080033507A KR 1020087005391 A KR1020087005391 A KR 1020087005391A KR 20087005391 A KR20087005391 A KR 20087005391A KR 20080033507 A KR20080033507 A KR 20080033507A
Authority
KR
South Korea
Prior art keywords
substrate
polishing
mechanical polishing
conductive material
composition
Prior art date
Application number
KR1020087005391A
Other languages
English (en)
Other versions
KR100939595B1 (ko
Inventor
펭 큐. 리우
티안바오 두
알랭 두보우스트
얀 왕
용키 후
스탄 디. 트사이
리앙-유 첸
웬-치앙 투
웨이-융 수
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080033507A publication Critical patent/KR20080033507A/ko
Application granted granted Critical
Publication of KR100939595B1 publication Critical patent/KR100939595B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23HWORKING OF METAL BY THE ACTION OF A HIGH CONCENTRATION OF ELECTRIC CURRENT ON A WORKPIECE USING AN ELECTRODE WHICH TAKES THE PLACE OF A TOOL; SUCH WORKING COMBINED WITH OTHER FORMS OF WORKING OF METAL
    • B23H5/00Combined machining
    • B23H5/06Electrochemical machining combined with mechanical working, e.g. grinding or honing
    • B23H5/08Electrolytic grinding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23HWORKING OF METAL BY THE ACTION OF A HIGH CONCENTRATION OF ELECTRIC CURRENT ON A WORKPIECE USING AN ELECTRODE WHICH TAKES THE PLACE OF A TOOL; SUCH WORKING COMBINED WITH OTHER FORMS OF WORKING OF METAL
    • B23H5/00Combined machining
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/02Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • H01L21/32125Planarisation by chemical mechanical polishing [CMP] by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

본 발명은 기판 표면으로부터 전도성 물질을 제거하기 위한 폴리싱 조성물 및 방법에 관한 것이다. 일 태양에서, 전기화학 기계적 폴리싱 기술에 의해 기판에 형성된 넓은 피쳐 데피니션 위에 배치된 전도성 물질을 제거하는 것보다 더 빠른 제거 속도로 기판에 형성된 좁은 피쳐 데피니션 위에 배치된 전도성 물질을 제거거하도록 기판을 처리하고 이후 적어도 화학 기계적 폴리싱 기술에 의해 기판을 폴리싱하는 방법이 제공된다.

Description

기판을 폴리싱하기 위한 방법 및 조성물 {METHOD AND COMPOSITION FOR POLISHING A SUBSTRATE}
본 발명의 실시예는 기판으로부터 전도성 물질을 제거하기 위한 방법 및 조성물에 관한 것이다.
서브-하프 미크론 및 더 작은 피쳐를 신뢰성 있게 만드는 것은, 반도체 소자의 초고밀도직접회로(very large scale integration, VLSI) 및 울트라고밀도직접회로(ULSI)의 다음 세대를 위한 핵심 기술 중의 하나이다. 그러나, 회로 기술의 한계가 가해지기 때문에, VLSI 및 ULSI 기술에서 상호 연결의 수축하는 치수가 처리 능력 상에 추가적인 요구를 일으킨다. 상호연결의 신뢰 가능한 형성은 VLSI 및 ULSI 성공에 매우 중요하고 개별적인 기판 및 다이의 품질 및 회로 밀도를 증가시키는 연속적인 노력에 있어서도 매우 중요하다.
다중레벨 상호연결은 기판 표면 상에서 순차적인 물질 증착 및 물질 제거 기술을 이용하여 형성되고 이에 의해 거기에 피쳐를 형성한다. 물질층이 순차적으로 증착되고 제거되기 때문에, 기판 표면의 가장 윗 표면은 그 표면에 걸쳐 비평면화 될 수 있고 추가적인 처리 이전에 평탄화가 필요하다. 평탄화 또는 "폴리싱"은 일반적으로 평평하고 평탄한 표면을 형성하도록 기판 표면으로부터 물질을 제거시키는 처리이다. 평탄환느 과도한 증착 물질을 제거하고, 바람직하지 못한 표면 형상(topography)을 제거하며, 표면 거칠음, 덩어리진 물질, 결정 래티스(lattice) 손상, 스크래치 및 오염된 층 또는 물질과 같은 표면 결함을 제거하는데 이용되고, 이에 의해 이후의 포토리소그래피 및 다른 반도체 처리에 대해 평평한 표면을 제공한다.
화학 기계적 평탄화 또는 화학 기계적 폴리싱(CMP)은 기판을 평탄화시키는데 이용되는 일반적인 기술이다. 종래의 CMP 기술에서, 기판 캐리어 및 폴리싱 헤드는 CMP 장치에서 폴리싱 아티클과 접촉하도록 위치하고 캐리어 어셈블리 상에 장착된다. 캐리어 어셈블리는 폴리싱 아티클에 대해 기판을 밀어서 기판에 대해 제어 가능한 압력을 제공한다. 이 아티클은 외부 구동력에 의해 기판에 대해 상대적으로 이동한다. 따라서, CMP 장치는 기판 표면 및 폴리싱 아티클 사이에 폴리싱 또는 러빙(rubbing) 이동을 효과를 일으키고, 화학적 작용 및 기계적 작용 모두를 일으키도록 폴리싱 조성물을 분산시킨다.
그러나, 형성된 피쳐 데피니션을 채우기 위해 기판 표면 상에 증착된 물질은 변화 가능한 밀도로 피쳐 데피니션 위에 평평하지 않게 형성된 표면을 초래한다. 도 1A를 참고하면, 저밀도 피쳐 데피니션이라고도 알려진 넓은 피쳐 데피니션(30)을 채우기 위해 금속층(20)이 기판(10) 상에 증착되거나 고밀도 피쳐 데피니션이라고도 알려진 좁은 피쳐 데피니션(40)을 채우기 위해 증착된다. 초과 부담 물(overburden)이라고 불리는 과도한 물질이 좁은 피쳐 데피니션(40) 위에 큰 두께(45)로 형성될 수 있고 넓은 피쳐 데피니션(30) 위에 최소 증착(35)을 가질 수 있다. 초과 부담물을 가진 표면을 폴리싱하는 것은 좁은 피쳐 위의 부적절한 금속 제거로부터 잔여물(50)의 보유를 초래할 수 있다. 이러한 잔여물(50)을 제거하는 오버폴리싱 처리는 넓은 피쳐 데피니션(30) 위에서 과도한 금속 제거를 초래할 수 있다. 과도한 금속 제거는 도 1B에서 도시된 것처럼 넓은 피쳐 위에서 디싱(55)으로도 알려진 함몰부 또는 디프레션(depression)과 같은 형상적 결함을 형성할 수 있다.
기판 표면 상의 잔여물의 피쳐 및 보유의 디싱은 바람직하지 않은데, 왜냐하면 디싱 및 잔여물은 기판의 이후의 처리에 유해하게 영향을 미칠 수 있기 때문이다. 예를 들면, 디싱은 평탄하지 않은 표면을 초래하고, 이는 이후의 포토리소그래픽 단계 동안 고해상도 라인을 프린트하는 능력을 손상시키고 소자 형성 및 수율에 영향을 미치는 기판의 이후의 표면 형상(지형)에 나쁜 영향을 미친다. 또한, 디싱은 소자의 저항을 증가시키고 전도성을 떨어뜨림에 의해 소자의 성능에 악영향을 미치며, 이에 의해 소자 변이성 및 소자 수율 로스를 일으킨다. 잔여물은 전도성 물질 및 기판 표면 사이에 배치된 배리어층 물질(미도시)과 같이 이후의 물질의 평평하지 않은 폴리싱을 이끌 수 있다. 포스트 CMP 프로파일은 좁은 트렌치 또는 치밀한 구역 상에서보다 넓은 트렌치 상에서 높은 디싱을 일반적으로 나타낸다. 또한, 평평하지 않은 폴리싱은 소자에서 결함 생성을 증가시킬 것이고 기판 수율을 감소시킬 것이다.
따라서, 평탄화 동안 기판에 손상을 최소화하는 기판으로부터 전도성 물질을 제거하기 위한 조성물 및 방법에 대한 요구가 있다.
본 발명의 실시예는 전기화학 기계적 폴리싱 기술에 의해 전도성 물질을 제거하기 위한 방법 및 조성물을 제공한다. 일 태양에서, 좁은 피쳐 데피니션(narrow feature definition) 및 넓은 피쳐 데피니션 위에 배치된 배리어 물질층 및 이 배리어 물질층 상에 배치된 전도성 물질층을 갖는 기판을 처리하는 방법이 제공되고, 이 방법은 벌크 전도성 물질을 제거하기 위해 전기화학 기계적 폴리싱 처리에 의해 잔여 전도성 물질층을 폴리싱하는 단계, 넓은 피쳐 데피니션 위에 배치된 잔여 전도성 물질에 돌출부를 형성하는 단계, 하부 배리어 물질층을 노출시키도록 하나 이상의 화학 기계적 폴리싱 기술에 의해 이 잔여 전도성 물질을 폴리싱하는 단계, 및 적어도 하나의 화학 기계적 폴리싱 기술에 의해 이 배리어 물질층을 폴리싱하는 단계를 포함한다.
다른 태양에서, 좁은 피쳐 데피니션 및 넓은 피쳐 데피니션 위에 배치된 전도성 물질층을 갖는 기판을 처리하는 방법이 제공되고, 이 방법은 제 1 전기화학 기계적 폴리싱 기술에 의해 넓은 피쳐 데피니션 위에 배치된 전도성 물질보다 더 빠른 제거 속도로 좁은 피쳐 데피니션 위에 배치된 전도성 물질을 제거하는 단계와, 제 2 전기화학 기계적 폴리싱 기술에 의해 좁은 피쳐 데피니션 위에 배치된 전도성 물질의 제거 속도와 동일하거나 이보다 빠른 제거 속도로 넓은 피쳐 데피니션 위에 배치된 전도성 물질을 제거하는 단계를 포함한다.
다른 태양에서, 그 위에 배치된 전도성 물질층을 갖는 기판을 처리하기 위한 방법이 제공되고, 이 방법은 제 1 전극 및 제 2 전극에 연결ㄷ뢴 제 1 폴리싱 아티클을 포함하는 처리 장치에 기판을 제공하는 단계를 포함하며, 기판 표면은 좁은 피쳐 데피니션 및 넓은 피쳐 데피니션 위에 배치된 배리어 물질층과 배리어 물질층 위에 배치된 전도성 물질층을 포함하고, 기판을 제 1 폴리싱 아티클에 접촉시키는 단계, 기판 및 제 1 폴리싱 아티클 사이에 제 1 폴리싱 조성물을 공급하는 단계, 제 1 전극 및 제 2 전극 사이에 바이어스를 가하는 단계, 돌출부를 형성하도록 넓은 피쳐 데피니션 위에 배치된 전도성 물질보다 빠른 속도로 좁은 피쳐 데피니션 위에 배치된 전도성 물질을 제거하는 단계, 이후 제 2 폴리싱 아티클을 포함하는 처리 장치에 기판을 제공하는 단계, 기판을 제 2 폴리싱 아티클과 접촉시키는 단계, 기판 및 제 2 폴리싱 아티클 사이에 제 2 폴리싱 조성물을 공급하는 단계, 및 넓은 피쳐 데피니션 위에 배치된 전도성 물질보다 느린 제거 속도로 좁은 피쳐 데피니션 위에 배치된 전도성 물질을 제거하는 단계를 포함한다.
본 발명의 상기 언급된 태양이 더욱 자세히 이해될 수 있고 얻어지도록, 상기 간략히 요약된 본 발명의 실시예의 특별한 설명은 첨부된 도면에서 도시된 이의 실시예를 참고하여 얻어질 수 있다.
첨부된 도면은 본 발명의 전형적인 실시예만을 도시할 뿐이고 따라서, 그 범 위를 제한하는 것으로 해석되어서는 안되며, 본 발명은 다른 동등한 유효한 실시예들을 허용할 수 있다.
도 1A 및 1B는 종래 처리에 따라 기판 상에 수행된 폴리싱 처리를 개략적으로 도시한다.
도 2는 전기화학 기계적 평탄화 시스템의 평면도이다.
도 3은 도 2의 시스템의 제 1 전기화학 기계적 평탄화(Ecmp) 스테이션의 일 실시예의 단면도이다.
도 4A는 두 개의 접촉 어셈블리를 통한 제 1 Ecmp 스테이션의 부분 단면도이다.
도 4B-C는 접촉 어셈블리의 대안적 실시예의 단면도이다.
도 4D-E는 플러그의 단면도이다.
도 5A 및 5B는 접촉 어셈블리의 일 실시예의 측면 분해 단면도이다.
도 6은 접촉 요소의 일 실시예이다.
도 7은 Ecmp 스테이션의 다른 실시예의 수직 단면도이다.
도 8A-8E는 여기서 설명된 기판 표면을 평탄화하기 위한 일 실시예에 따라 기판 상에서 수행된 폴리싱 처리를 도시하는 개략 단면도이다.
일반적으로, 본 발명의 태양은 기판 표면으로부터 적어도 전도성 물질을 제거하기 위한 조성물 및 방법을 제공한다. 본 발명은 전기화학 기계적 폴리 싱(Ecmp) 기술 및/또는 화학 기계적 폴리싱(CMP) 기술에 의해 기판 표면으로부터 전도성 물질을 제거하기 위한 평탄화 처리에 관해 이하에서 설명한다.
여기서 이용된 용어 및 문장은 당업자에 의해 이 기술 분야에서 주어진 일반적이고 통상적인 의미이고, 그렇지 않다면 추가적으로 정의된다. 화학 기계적 폴리싱(CMP)는 넓게 해석되어야 하고, 화학적 작용 및 기계적 작용, 또는 화학적 작용 및 기계적 작용을 동시에 가하는 것을 이용하여 기판 표면을 평탄화하는 것을 포함하나 이에 제한되지는 아니한다. 전자폴리싱(EP)은 넓게 해석되어야 하고, 전기화학 작용을 가함에 의해 기판을 평탄화하는 것을 포함하나 이에 제한되지는 아니한다. 전기화학 기계적 폴리싱(Ecmp)은 넓게 해석되어야 하고, 전기화학적 작용, 기계적 작용, 화학적 작용을 가함에 의해 또는 전기화학적, 화학적, 및/또는 기계적 작용을 조합하여 동시에 가함에 의해 기판 표면으로부터 물질을 제거하고 이로써 기판을 평탄화하는 것을 포함하나 이에 제한되지는 아니한다.
애노딕 용해(anodic dissolution)는 넓게 해석되어야 하고, 기판에 직접적 또는 간접적으로 애노딕 바이어스를 가하는 것을 포함하나 이에 제한되지 아니하고, 이에 의해 기판 표면으로부터 전도성 물질을 제거하여 주위의 폴리싱 조성물을 초래한다. 폴리싱 조성물은 넓게 해석되어야 하고, 전해질 구성요소로서 알려진 물질을 일반적으로 포함하는 액체로 된 이온 전도도 및 전기 전도도를 제공하는 조성물을 포함하나 이에 제한되지는 아니한다. 폴리싱 조성물에서 각각의 전해질 구성요소의 양은 부피 퍼센트 또는 중량 퍼센트로 측정될 수 있다. 부피 퍼센트는 완전한 조성물에서 모든 액체의 총부피에 의해 나눠진 원하는 액체 구성요소의 부 피에 기초한 퍼센티지를 지칭한다. 중량 퍼센트에 기초한 퍼센티지는 완전한 조성물에서 모든 액체 구성요소의 총 중량에 의해 나눠진 원하는 구성요소의 중량이다. 연마함 및 연마는 넓게 해석되어야 하고 물질과 접촉하고 물질의 전부 또는 일부를 치환(이동)(displacing)시키거나, 디스터빙(disturbing)하거나 또는 제거하는 것을 포함하나 이에 제한되는 것은 아니다.
일 장치 실시예
도 2는 기판을 전기화학적으로 처리하기 위한 장치를 갖는 평탄화 시스템(100)의 일 실시예의 평면도이다. 예시적 시스템(100)은 팩토리 인터페이스(102), 로딩 로봇(104), 평탄화 모듈(106)을 일반적으로 포함한다. 로딩 로봇(104)은 팩토리 인터페이스(102) 및 평탄화 모듈(106)에 인접하여 위치하고, 이들 사이에서 기판(122)의 전달을 촉진한다.
제어기(108)는 시스템(100) 모듈의 일체화 및 제어를 촉진하도록 제공된다. 제어기(108)는 중앙 처리 유닛(CPU, 110), 메모리(112) 및 지지 회로(114)를 포함한다. 제어기(108)는, 예를 들어 평탄화, 세정, 및 전달 처리의 제어를 쉽게 하도록 시스템(100)의 다양한 구성요소에 연결된다.
팩토리 인터페이스(102)는 세정 모듈(116) 및 하나 이상의 웨이퍼 카세트(118)를 일반적으로 포함한다. 인터페이스 로봇(120)은 웨이퍼 카세트(118), 세정 모듈(116) 및 입력 모듈(124) 사이에서 기판(122)을 전달하도록 이용된다. 입력 모듈(124)은, 예를 들어 진공 그립퍼(gripper) 또는 기계적 클램프(미도시)와 같은 그립퍼에 의해 팩토리 인터페이스(102) 및 평탄화 모듈(106) 사이에서 기판(122)을 전달하기 쉽도록 위치한다.
평탄화 모듈(106)은 주위가 제어된 인클로저(188)에 배치된 적어도 하나의 폴리싱 스테이션(128)을 포함한다. 본 발명으로부터 이익을 얻도록 이루어질 수 있는 평탄화 모듈(106)의 예는, MIRRA® 화학 기계적 평탄화 시스템, MIRRA MESATM 화학 기계적 평탄화 시스템, REFLEXION® 화학 기계적 평탄화 시스템, REFLEXION® LK 화학 기계적 평탄화 시스템, 및 REFLEXION LK EcmpTM 화학 기계적 평탄화 시스템을 포함하고, 이는 모두 미국 캘리포니아 산타 클라라에 위치하는 어플라이드 머티어리얼스사로부터 구입 가능하다. 처리 아티클(processing article), 평탄화 웹, 또는 이의 조합을 이용하는 것들과 회전적, 선형적 또는 다른 평면적 움직임으로 평탄화 표면에 대해 기판을 이동시키는 것들을 포함하는 다른 평탄화 모듈도 본 발명으로부터 혜택을 얻도록 이루어질 수 있다.
도 2에서 도시된 실시예에서, 평탄화 모듈(106)은 3개의 폴리싱 스테이션(128, 130, 132)를 포함하고, 각각의 스테이션(128, 130, 132)은 각각 화학 기계적 폴리싱(CMP) 스테이션 또는 전기화학적 폴리싱(Ecmp) 스테이션일 수 있다. Ecmp 스테이션은 도 7에서 도시된 것처럼 완전히 전도성 아티클 Ecmp 스테이션이거나 또는 도 3에서 도시된 것처럼 전도성 요소 폴리싱 아티클 Ecmp 스테이션일 수 있다. CMP 스테이션은 본질적으로 종래 형태이기 때문에, 이의 추가적인 설명은 간략화를 위해 생략되었다. 그러나, 적절한 CMP 폴리싱 스테이션의 예는 "화학 기 계적 폴리싱을 위한 연속적인 처리 시스템"이라는 명칭으로 1998년 4월 14일 발행된 미국 특허 5,738,574호에서 더욱 자세히 설명되어 있고, 이는 그 전체가 본 발명과 모순되지 않는 범위에서 여기서 참조로 인용된다.
또한, 예시적 평탄화 모듈(106)은 기계 베이스(140)의 상부 또는 제 1 부분(138)에 배치된 카로젤(carousel, 134) 및 전달 스테이션(136)을 포함한다. 일 실시예에서, 전달 스테이션(136)은 입력 버퍼 스테이션(142), 출력 버퍼 스테이션(144), 전달 로봇(146), 및 로드 컵 어셈블리(148)를 포함한다. 입력 버퍼 스테이션(142)은 로딩 로봇(104)에 의해 팩토리 인터페이스(102)로부터 기판을 받는다. 또한, 로딩 로봇(104)은 출력 버퍼 스테이션(144)으로부터 팩토리 인터페이스(102)로 폴리싱된 기판을 귀환시키는데 이용된다. 전달 로봇(146)은 버퍼 스테이션(142, 144) 및 로드 컵 어셈블리(148) 사이에서 기판을 이동시키는데 이용된다.
일 실시예에서, 전달 로봇(146)은 두 개의 그립퍼 어셈블리(미도시)를 포함하고, 각각은 기판의 에지에서 기판을 지지하는 공압식 그립퍼 핑거(pneumatic gripper finger)를 갖는다. 전달 로봇(146)은 입력 버퍼 스테이션(142)으로부터 로드 컵 어셈블리(148)로 처리될 기판을 전달하면서, 동시에 로드컵 어셈블리(148)로부터 출력 버퍼 스테이션(144)으로 처리된 기판을 전달할 수 있다. 유리하게 이용될 수 있는 전달 스테이션의 예는 토빈 등에게 2000년 12월 5일 발행된 미국 특허 제 6,156,124호에서 설명되고, 이는 여기서 그 전체가 참조로 인용된다.
카로젤(134)은 기계 베이스(140) 상에 중심적으로 배치된다. 카로젤(134)은 다수의 아암(150)을 일반적으로 포함하고, 이들의 각각은 평탄화 헤드 어셈블리(152)를 지지한다. 도 2에서 도시된 두 개의 아암(150)은 가상으로 도시되었고, 이에 의해 제 1 폴리싱 스테이션(128)의 평탄화 표면(126) 및 전달 스테이션(136)이 도시될 수 있다. 평탄화 헤드 어셈블리(152)가 평탄화 스테이션(128, 130, 132) 및 전달 스테이션(136) 사이에서 이동될 수 있도록 카로젤(134)이 표시될 수 있다. 유리하게 이용될 수 있는 카로젤은 페를로프 등에게 1998년 9월 8일 발행된 미국 특허 제 5,804,507호에서 설명되고, 이는 여기서 그 전체가 참조로 인용된다.
컨디셔닝 기구(conditioning device, 182)가 각각의 평탄화 스테이션(128, 130, 132)에 인접하여 기계 베이스(140) 상에 배치된다. 컨디셔닝 기구(182)는 스테이션(128, 130, 132)에 배치된 평탄화 물질을 주기적으로 컨디션하고, 이에 의해 균일한 평탄화 결과를 유지시킨다.
도 3은 폴리싱 스테이션(128)의 일 실시예 위에 위치한 평탄화 헤드 어셈블리(152)의 단면도를 도시한다. 평탄화 헤드 어셈블리(152)는 평탄화 헤드(204)에 결합된 구동 시스템(202)을 일반적으로 포함한다. 구동 시스템(202)은 평탄화 헤드(204)에 적어도 회전 운동을 일반적으로 제공한다. 또한, 평탄화 헤드(204)는 폴리싱 스테이션(128)을 향해 구동될 수 있고, 이에 의해 평탄화 헤드(204)에 보유된 기판(122)이 처리 동안 폴리싱 스테이션(128)의 평탄화 표면(126)에 대해 배치될 수 있다. 구동 시스템(202)은 제어기(108)에 연결되고, 이 제어기는 평탄화 헤드(204)의 회전 속도 및 방향을 제어하기 위해 구동 시스템(202)에 신호를 제공한 다.
일 실시예에서, 평탄화 헤드는 어플라이드 머티어리얼스사에 의해 제조된 TITAN HEADTM 또는 TITAN PROFILERTM 웨이퍼 캐리어일 수 있다. 일반적으로, 평탄화 헤드(204)는 하우징(214) 및 리테이닝 링(retaining ring, 224)을 포함하고, 이 리테이닝 링은 기판(122)을 보유하도록 중앙 리세스를 형성한다. 리테이닝 링(224)은 평탄화 헤드(204) 내에 배치된 기판(122)을 둘러싸고, 이에 의해 처리 동안 평탄화 헤드(204) 아래에서 기판이 미끄러져 나가지 않도록 한다. 리테이닝 링(224)은 폴리페닐린 설파이드(PPS), 폴리에테르에테르케톤(PEEK), 및 이와 유사한 것과 같은 플라스틱 물질 또는 스테인리스 강, Cu, Au, Pd, 및 이와 유사한 것과 같은 전도성 물질 또는 이의 조합으로 만들어질 수 있다. 전도성 리테이닝 링(224)은 Ecmp 동안 전기장을 제어하도록 전기적으로 바이어스될 수 있다. 전도성 또는 바이어스된 리테이닝 링은 기판의 에지에 인접하여 폴리싱 속도를 늦추는 경향이 있다. 다른 평탄화 헤드가 이용될 수도 있다.
폴리싱 스테이션(128)은 기계 베이스(140) 상에 회전적으로 배치된 플래튼 어셈블리(platen assembly, 230)를 일반적으로 포함한다. 플래튼 어셈블리(230)는 베어링(238)에 의해 기계 베이스(140) 위에서 지지되고, 이에 의해 플래튼 어셈블리(230)는 기계 베이스(140)에 대해 회전될 수 있다. 베어링(238)에 의해 둘러싸인 기계 베이스(140)의 구역은 개방되고, 플래튼 어셈블리(230)와 소통하는 전기적, 기계적, 공압식, 제어 신호 및 연결부를 위한 도관을 제공한다.
집합적으로 로터리 커플러(276)로서 지칭되는, 종래의 베어링, 회전식 유니온 및 슬립 링이 제공되고, 이에 의해 전기적, 기계적, 유체, 공압식, 제어 신호 및 연결부가 기계 베이스(140) 및 회전하는 플래튼 어셈블리(230) 사이에서 연결될 수 있다. 플래튼 어셈블리(230)는 일반적으로 모터(232)에 연결되고, 이 모터는 플래튼 어셈블리(230)에 회전 운동을 제공한다. 이 모터(232)는 플래튼 어셈블리(230)의 회전 및 회전 속도를 제어하기 위한 신호를 제공하는 제어기(108)에 연결된다.
플래튼 어셈블리(230)의 상부면(260)은 그 위에 폴리싱 아티클 어셈블리(222)를 지지한다. 폴리싱 아티클 어셈블리는 자기적 인력, 진공, 클램프, 접착제 및 이와 유사한 것에 의해 플래튼 어셈블리(230)에 보유될 수 있다.
플레넘(206)은 플래튼 어셈블리(230)에 형성되고 이에 의해 평탄화 표면(126)에 전해질의 균일한 분포를 촉진시킨다. 이하에서 자세하게 설명되는 다수의 통로는 플래튼 어셈블리(230)에 형성되고 이에 의해 전해질 소스(248)로부터 플레넘(206)으로 제공된 전해질이 플래튼 어셈블리(230)를 통해 균일하게 유동하게 하고 처리 동안 기판(122)과 접촉하게 한다. 서로 다른 전해질 조성물이 서로 다른 처리 단계 동안 제공될 수 있다.
폴리싱 아티클 어셈블리(222)는 전극(292) 및 적어도 하나의 평탄부(290)를 포함한다. 전극(292)은 무엇보다 스테인리스 강, 구리, 알루미늄, 금, 은 및 텅스텐과 같은 전도성 물질로 일반적으로 이루어진다. 전극(292)은 전해질에는 불투과성이거나, 전해질에 투과성이거나 또는 구멍이 난, 고체일 수 있다. 적어도 하나 의 접촉 어셈블리(250)는 폴리싱 아티클 어셈블리(222) 위로 연장하고 폴리싱 아티클 어셈블리(222) 상에서 처리되는 기판을 파워 소스(224)로 전기적으로 연결시키도록 이루어진다. 또한, 전극(292)은 파워 소스(224)에 연결되고 이에 의해 전기 포텐셜이 기판 및 전극(292) 사이에서 확립될 수 있다.
미터(meter, 미도시)가 전기화학적 처리의 계량 표시(metric indicative)를 탐지하도록 제공된다. 이 미터는 파워 소스(224) 및 전극(292) 또는 접촉 어셈블리(250) 중 하나 이상 사이에 위치하거나 연결될 수 있다. 또한, 이 미터는 파워 소스(224)에 일체화될 수 있다. 일 실시예에서, 미터는 전하, 전류 및/또는 전압과 같은 처리의 계량적 표시를 제어기(108)에 제공하도록 구성된다. 이 계량은 제어기(108)에 의해 이용될 수 있고, 이에 의해 인시츄(in-situ)로 처리 파라미터를 조정하고 종료점 또는 다른 처리 스테이지 탐지를 촉진시킨다.
윈도우(246)는 폴리싱 아티클 어셈블리(222) 및/또는 플래튼 어셈블리(230)를 통해 제공되고, 폴리싱 아티클 어셈블리(222) 아래에 위치한 센서(254)가 폴리싱 성능의 계량 표시를 감지하도록 구성된다. 예를 들면, 센서(704)는 특히 와전류 센서 또는 간섭계일 수 있다. 제어기(108)로 센서(254)에 의해 제공된 계량은 정보를 제공하고, 이 정보는 전기화학적 처리에서 다른 포인트 탐지 또는 종료점 탐지, 인시츄 처리 프로파일 조정을 위해 이용될 수 있다. 일 실시예에서, 센서(254), 간섭계는 처리 동안 폴리싱되는 기판(122)의 일 측부 상에 충돌하고 이를 향하는 평행한 광 빔을 생성할 수 있다. 반사된 신호들 사이의 간섭은 처리되는 물질의 전도층의 두께를 나타낸다. 유리하게 이용될 수 있는 센서는 비랑 등에게 1999년 4월 13일 발행된 미국 특허 제 5,893,796호에서 설명되고, 이는 여기서 전체가 참조로 인용되었다.
기판(122)으로부터 전도성 물질을 제거하기에 적절한 폴리싱 아티클 어셈블리(222)의 실시예는 거의 유전층인 평탄화 표면(126)을 일반적으로 포함할 수 있다. 기판(122)으로부터 전도성 물질을 제거하기에 적절한 폴리싱 아티클 어셈블리(222)의 다른 실시예는 거의 전도성인 평탄화 표면(126)을 일반적으로 포함할 수 있다. 적어도 하나의 접촉 어셈블리(250)는 파워 소스(224)에 기판을 연결시키도록 제공되고, 이에 의해 기판은 처리 동안 전극(292)에 대해 바이어스될 수 있다. 평탄화 층(290) 및 전극(292)을 통해 형성된 구멍(210) 및 전극 아래에 배치된 어떠한 요소는 전해질이 기판(122) 및 전극(292) 사이에 전도성 경로를 확립하게 한다.
일 실시예에서, 폴리싱 아티클 어셈블리(222)의 평탄부(290)는 폴리우레탄과 같은 유전층이다. 본 발명으로부터 이익을 얻도록 이루어질 수 있는 처리 아티클 어셈블리의 예는, "전기화학 기계적 평탄화를 위한 전도성 평탄화 아티클"이라는 명칭의 2003년 6월 6일 출원된 미국 특허출원 제 10/455,941호와 "전기화학 기계적 평탄화를 위한 전도성 평탄화 아티클"이라는 명칭의 2003년 6월 6일 출원된 미국 특허출원 제 10/455,895호에서 설명되고, 이 두 출원은 여기서 전체가 참조로 인용된다.
도 4A는 두 접촉 어셈블리(250)를 통해 폴리싱 스테이션(128)에 이용될 수 있는 제 1 Ecmp의 부분 단면도이고, 도 5A-C는 도 5A에서 도시된 접촉 어셈블 리(250) 중 하나의 측면 분해 단면도이다. 플래튼 어셈블리(230)는 처리 동안 기판(122)의 표면을 바이어스 하도록 이루어진 파워 소스(224)에 결합되고 이로부터 돌출한 적어도 하나의 접촉 어셈블리(250)를 포함한다. 접촉 어셈블리(250)는 플래튼 어셈블리(230), 폴리싱 아티클 어셈블리(222)의 일부, 또는 개별적인 요소에 연결될 수 있다. 두 개의 접촉 어셈블리(250)가 도 3A에서 도시되지만, 다수의 접촉 어셈블리가 이용될 수 있고 플래튼 어셈블리(230)의 중앙선에 대해 다수의 구성으로 분포할 수 있다.
접촉 어셈블리(250)는 플래튼 어셈블리(230)를 통해 파워 소스(224)에 전기적으로 연결되는 것이 일반적이고, 폴리싱 아티클 어셈블리(222)에 형성된 개별적인 구멍(368)을 통해 적어도 부분적으로 연장하도록 이동 가능하다. 접촉 어셈블리(250)의 위치는 플래튼 어셈블리(230)를 가로질러 예정된 구성을 갖도록 선택될 수 있다. 예정된 처리를 위해, 개별적인 접촉 어셈블리(250)는 서로 다른 개구(368)에 재위치될 수 있고, 접촉 어셈블리를 함유하지 않은 구멍은 스톱퍼(392)로 플러그되거나 또는 노즐(394)로 채워질 수 있고(도 4D-E에서 도시된 것과 같음), 이 노즐은 기판으로 플레넘(206)으로부터의 전해질의 유동을 가능하게 한다. 본 발명으로부터 이익을 얻도록 이루어질 수 있는 일 접촉 어셈블리는 버터필드 등에게 2005년 5월 26일 발행된 미국 특허출원 제 6,884,153호에서 설명되고, 이는 여기서 그 전체가 참조로 인용된다.
도 3A와 관련하여 이하에서 설명된 접촉 어셈블리(250)의 실시예는 롤링 볼 접촉을 도시하고 있지만, 접촉 어셈블리(250)는 처리 동안 전기적으로 기판(122)에 바이어스를 가하기에 적절한 표면 또는 전도성 상부층을 갖는 어셈블리 또는 구조를 포함할 수 있다. 예를 들면, 도 3B에서 도시된 것처럼, 접촉 어셈블리(250)는 폴리머 매트릭스(354)와 같은 전도성 복합물(즉, 전도성 요소는 상부면을 포함하는 물질을 포함하거나 이와 일체화되도록 분산됨) 또는 전도성 물질로 만들어진 상부층(352)을 갖고, 특히 전도성 코팅된 패브릭 또는 거기에 분산된 전도성 입자(356)를 갖는다. 아티클 구조(350)는 아티클 어셈블리의 상부면으로 전해질 전달을 위해 이를 통해 형성된 하나 이상의 구멍(210)을 포함할 수 있다. 적절한 접촉 어셈블리의 일례는 휴 등에의해 2004년 11월 3일 출원된 미국 특허출원 제 10,980,888호에서 설명되고 이는 그 전체가 참조로 인용된다.
일 실시예에서, 각각의 접촉 어셈블리(250)는 오목한 하우징(302), 어댑터(304), 볼(306), 접촉 요소(314) 및 클램프 부싱(clamp bushing, 316)을 포함한다. 볼(306)은 전도성 외부면을 갖고 하우징(302)에서 이동 가능하게 배치된다. 볼(306)은 제 1 위치에서 배치될 수 있고, 평탄화 표면(126) 위로 연장하는 볼(306)의 적어도 일부를 가지며, 적어도 제 2 위치에 있을 수 있고 여기서 볼(306)은 평탄화 표면(126)과 거의 동일 높이에 있다. 또한, 볼(306)은 평탄화 표면(126) 아래로 완전히 이동할 수 있다. 볼(306)은 파워 소스(224)로 기판(122)을 전기적으로 연결시키기에 대개 적절하다. 기판을 바이어스하기 위한 다수의 볼(306)은 도 3C에서 도시된 것처럼 단일 하우징(358)에 배치될 수 있다.
파워 소스(224)는 처리 동안 볼(306)에 포지티브 전기적 바이어스를 일반적으로 제공한다. 평탄화 기판들 사이에서, 파워 소스(224)는 선택적으로 볼(306)에 네거티브 바이어스를 가할 수 있고, 이에 의해 처리 화학물질(process chemistries)에 의한 볼(306)에 미치는 영향을 최소화한다.
하우징(302)은 처리 동안 기판(122)에 전해질 소스(248)로부터의 전해질 유동을 위한 도관을 제공하도록 구성된다. 하우징(302)은 처리 화학물질과 호환성이 있는 유전체 물질로 제작된다. 하우징(302)에 형성된 시이트(326)는 볼(306)이 하우징(302)의 제 1 단부(308)로부터 빠져나오는 것을 막는다. 선택적으로 시이트(326)는 그 안에 형성된 하나 또는 그 초과의 그루브(348)를 포함할 수 있고, 이에 의해 유체 유동이 볼(306) 및 시이트(326) 사이에서 하우징(302)을 빠져나가게 한다. 볼(306)을 지나서 유체 유동을 유지시키는 것은 볼(306)에 영향을 미치는 처리 화학물질의 경향을 최소화할 수 있다.
접촉 요소(314)는 클램프 부싱(316) 및 어댑터(304) 사이에 연결된다. 접촉 요소(314)는 하우징(302) 내에 볼 위치의 범위를 통해 완전히 또는 거의 어댑터(304) 및 볼(306)을 전기적으로 연결시키도록 일반적으로 구성된다. 일 실시예에서, 접촉 요소(314)는 스프링 형태로 구성될 수 있다.
도 4A-E 및 5A-C에서 도시되고 도 6에서 상세하게 도시된 실시예에서, 접촉 요소(314)는 극성 배열로 이로부터 연장하는 다수의 굴곡부(flexure, 344)를 갖는 환형 베이스(342)를 포함한다. 굴곡부(344)는 처리 화학물질에 이용되기에 적절한 탄성 및 전도성 물질로 제작되는 것이 일반적이다. 일 실시예에서, 굴곡부(344)는 금 도금된 베릴륨 구리로 제작된다.
도 4A 및 도 5A-B로 돌아가면, 클램프 부싱(316)은 이로부터 연장하는 나사 산 형태의 포스트(threaded post, 422)를 갖는 플레어된 헤드(flared head, 424)를 포함한다. 클램프 부싱(316)은 유전성 또는 전도성 물질 또는 이의 조합물로부터 제작될 수 있고, 이는 일 실시예에서 하우징(302)과 동일한 물질로 제작된다. 플레어된 헤드(424)는 접촉 어셈블리(250)의 중아선에 대해 예각으로 굴곡부(344)를 유지시키고, 이에 의해 접촉 요소(314)의 굴곡부(344)는 볼(306)의 표면 주위로 펼쳐져서 위치하며, 이로써 볼(306)의 운동 범위를 통해 그리고 접촉 어셈블리(250)의 어셈블리 동안 굽히거나, 묶거나, 및/또는 굴곡부(344)에 손상을 입히는 것을 막는다.
볼(306)은 고체 또는 속이 비어 있을 수 있고, 일반적으로 전도성 물질로 제작된다. 예를 들면, 볼(306)은, 다른 전도성 물질보다 특히 금속, 전도성 탄소 또는 그라파이트와 같은 전도성 물질로 채워진 중합체 물질 또는 전도성 폴리머 또는 금속으로 제작될 수 있다. 대안적으로, 볼(306)은 전도성 물질로 코팅된 고체 또는 속이 빈 코어일 수 있다. 코어는 비전도성일 수 있고 전도성 커버링으로 적어도 부분적으로 코팅된다.
볼(306)은 스프링 힘, 부력 또는 유동 힘 중 적어도 하나에 의해 평탄화 표면(126)을 향해 일반적으로 구동된다. 도 5에서 도시된 실시예에서, 전해질 소스(248)로부터 플래튼 어셈블리(230) 및 클램프 부싱(316)과 어댑터(304)를 통해 형성된 통로를 통한 유동은 볼(306)이 처리 동안 기판과 접촉하게 한다.
도 7은 폴리싱 스테이션(130)일 수 있는 제 2 Ecmp 스테이션의 일 실시예의 단면도이다. 제 1 및 제 3 Ecmp 스테이션(128, 132)은 유사하게 구성될 수 있다. 제 2 Ecmp스테이션은 플래튼(602)을 포함하는 것이 일반적이고, 이 플래튼은 완전히 전도성인 처리 아티클 어셈블리(604)를 지지한다. 플래튼(602)은 상기에서 설명된 플래튼 어셈블리(230)와 유사하게 구성될 수 있고 이에 의해 처리 아티클 어셈블리(604)를 통해 전해질을 전달하며, 또는 플래튼(602)은 처리 아티클 어셈블리(604)의 평탄화 표면에 전해질을 공급하도록 구성된 이에 인접하여 배치된 유체 전달 아암(미도시)를 가질 수 있다. 플래튼(602)은 미터 또는 센서(254)(도 3에서 도시된 것처럼) 중 적어도 하나를 포함하고 이에 의해 종료점 탐지를 촉진시킨다.
일 실시예에서, 처리 아티클 어셈블리(604)는 전도성 아티클(610)(즉, 전도성 폴리싱 아티클) 및 전극(614) 사이에 샌드위치된 삽입된 아티클(612) 또는 삽입 패드를 포함한다. 전도성 아티클(610)은 그 상부 처리 표면을 가로질러 거의 전도성이고 전도성 물질 또는 전도성 합성물(즉, 전도성 요소가 평탄화/폴리싱 표면을 포함하는 물질을 포함하거나 또는 이와 일체화되도록 분산된다)로 일반적으로 만들어지는데, 이러한 물질은 특히 전도성 코팅된 패브릭(fabric) 또는 그 안에 분산된 전도성 입자를 갖는 폴리머 매트릭스와 같은 것이다. 전도성 아티클(610), 삽입된 아티클(612), 및 전극(614)은 단일의 교체 가능한 어셈블리로 제작될 수 있다. 처리 아티클 어셈블리(604)는 일반적으로 투과성이 있거나 또는 구멍이 나 있고, 이에 의해 전해질이 전도성 아티클(610)의 상부면(620) 및 전극(614) 사이에서 통과하는 것을 가능하게 한다. 도 7에서 도시된 실시예에서, 처리 아티클 어셈블리(604)는 이를 통해 전해질이 유동하는 것을 가능하게 하는 구멍(622)에 의해 구 멍이 나 있다. 일 실시예에서, 전도성 아티클(610)은, 예를 들어 짜여진 구리 코팅된 폴리머 상에 배치된 폴리머 매트릭스에서의 주석 입자, 전도성 파이버 상에 배치된 폴리머 매트릭스 상에 배치된 전도성 물질로 이루어진다. 또한, 전도성 아티클(610)은 도 3의 실시예에서 접촉 어셈블리(250)를 위해 이용될 수 있다.
전도성 호일(616)은 전도성 아티클(610) 및 삽입된 아티클(612) 사이에 추가적으로 배치될 수 있다. 호일(616)은 파워 소스(224)에 연결되고 전도성 아티클(610)에 걸쳐 소스(242)에 의해 가해진 전압의 균일한 분포를 제공한다. 전도성 호일(616)을 포함하지 않는 실시예에서, 전도성 아티클(610)은 예를 들어 전도성 아티클(610)에 일체화된 터미널을 통해 파워 소스(224)에 직접 연결될 수 있다. 또한, 아티클 어셈블리(604)는 삽입된 아티클(618)을 포함할 수 있고, 이 삽입된 아티클은 호일(616)을 따라 위에 있는 전도성 아티클(610)에 기계적 강도를 제공한다. 적절한 아티클 어셈블리의 예는 이미 인용된 미국 특허출원 제 10/455,941호 및 제 10/455,895호에서 설명된다.
여기서 이용된 화학 기계적 폴리싱 플래튼은 전도성 물질 및/또는 배리어 물질 CMP 제거에 적절한 CMP 플래튼을 포함한다. 이러한 화학 기계적 폴리싱 아티클은 고정된 연마용 또는 비고정된 연마용(종래의) 폴리싱 아티클이고, 이는 욘마제를 함유하거나 또는 연마제가 없는 폴리싱 조성물과 함께 이용될 수 있다. 또한, 폴리싱 플래튼을 위한 폴리싱 아티클은, 쇼어 D 스케일(shore D Scale) 상에서 50 또는 그 초과의 경도 또는 경도계를 갖는 단단한 폴리싱 아티클일 수 있거나, 또는 쇼어 D 스케일 상에서 일반적으로 40 또는 그 미만인, 50 미만의 경도 또는 경도계 를 갖는 연한 폴리싱 아티클일 수 있다. 또한, 이러한 아티클은 그 안에 형성된 포어를 갖는 아티클 또는 구멍난 아티클일 수 있다. 적절한 폴리싱 아티클의 예는, 예를 들어 IC-1000을 포함한 IC 시리즈의 폴리싱 아티클과 같은 단단한 폴리싱 아티클과 델라웨어 뉴아크의 로델사로부터 구입 가능한 POLITEXTM 또는 SUBA-4TM과 같은 연한 폴리싱 아티클을 포함한다. 고정된 연마용 아티클은 아리조나주 피닉스에 있는 로델사 및 미네소타주 미네아폴리스의 3M 회사로부터 구입 가능하다. 폴리싱 아티클은 다공성일 수 있다.
폴리싱 처리
잔여 물질의 최소 형성 및 피쳐 내에서의 디싱(dishing)과 같은 지형상의 결함(topographical defects)의 최소 형성을 갖는 배리어 물질 및/또는 전도성 물질을 제거하는 기판을 폴리싱하는 방법이 제공된다. 폴리싱 처리의 일 실시예에서, 제 1 폴리싱 단계는 넓은 피쳐 데피니션에 걸친 돌출부를 형성하고 벌크 전도성 물질을 제거하도록 수행되고, 제 2 폴리싱 단계는 이 돌출부를 제거하고 전도성 물질을 평탄화하도록 수행된다. 또한, 이 처리는 제 2 폴리싱 단계에서 배리어 층의 일부 또는 모두를 제거하는 단계를 포함할 수 있다. 이 방법은 전기화학 기계적 폴리싱(Ecmp) 기술 및/또는 화학 기계적 폴리싱(CMP) 기술에 의해 수행될 수 있다.
넓은 그리고 좁은 피쳐 데피니션이란 용어들은 기구 크기에 관한 것인데, 예 를 들어 넓은 피쳐 데피니션은 그 폭 또는 크기가 약 2μm를 넘는 것으로서 현재 인식되고, 좁은 피쳐 데피니션은 약 2μm와 동일하거나 또는 그 미만으로 인식된다. 본 발명은 여기서 설명된 처리가 다양한 기구 크기에 있어서 상대적으로 넓은 그리고 좁은 피쳐 데피니션에 가해지는 것을 예상한다. 또한, 본 발명은 여기서 설명된 처리가 제거 속도 프로파일을 나타내는 기구에 대한 상대적으로 넓고 그리고 좁은 데피니션을 폴리싱하는데 이용되는 것을 예상하고, 이는 예를 들어 화학 기계적 폴리싱 처리가 여기서 설명된 것과 같은 돌출부를 형성하기 위해 여기서 설명된 것과 같이 좁은 피쳐와 비교하여 넓은 피쳐에 걸쳐 더 높은 제거 속도를 갖는다.
두 단계 처리의 일 실시예에서, 전도성 물질은 폴리싱되고 이에 의해 전기화학 기계적 폴리싱 처리에서 넓은 피쳐 데피니션에 걸쳐 돌출부를 형성하고, 이후 이 돌출부는 폴리싱되고 평탄화되어 화학 기계적 폴리싱 처리 또는 제 2 전기화학적 폴리싱 처리에서 전도성 물질을 제거한다.
제 1 폴리싱 단계는 Ecmp 방법일 수 있고, 이 방법은, 기판 표면에 폴리싱 조성물을 공급함에 의해 좁은 피쳐 데피니션 및 넓은 피쳐 데피니션 위에 배치된 전도성 물질층을 갖는 기판을 처리하는 단계, 기판 및 폴리싱 아티클 사이에 압력을 가하는 단계, 기판 및 폴리싱 아티클 사이에 상대적인 운동을 제공하는 단계, 기판과 전기적 접촉을 하는 제 1 전극 및 제 2 전극 사이에 바이어스를 가하는 단계, 및 넓은 피쳐 데피니션 위에 배치된 전도성 물질 보다 더 빠른 제거 속도로 좁은 피쳐 데피니션 및 기판 필드 구역 위에 배치된 전도성 물질을 제거하는 단계를 포함한다. 넓은 피쳐 위의 더 낮은 제거 속도는 넓은 피쳐 데피니션 위의 돌출부의 형성을 가능하게 할 수 있다.
이후 이 방법은, 화학 기계적 폴리싱, 및 대안적으로 전기화학 기계적 폴리싱으로 된 제 2 폴리싱 단계를 추가로 포함할 수 있고, 이에 의해 기판을 폴리싱하여 넓은 피쳐 데피니션 및 기판 필드 구역 위에 배치된 전도성 물질보다 더 낮은 제거 속도로 좁은 피쳐 데피니션 위에 배치된 전도성 물질을 제거한다. 이러한 제 2 처리 단계를 가함에 의해, 넓은 피쳐 데피니션 위의 돌출부로서 형성된 전도성 물질이 제거될 수 있고, 전체 전도성 물질은 좁은 피쳐 데피니션 위에 최소 또는 감소된 잔여 물질 형성을 가진 채 그리고 넓은 피쳐 데피니션의 최소 또는 감소된 디싱을 가진 채 평탄화된다. 이와 같이, 돌출부는 이후의 폴리싱 단계 동안 예상되는 디싱으로 보정된다.
두 단계 처리는 평탄화 모듈(106) 상에서 수행될 수 있고, 이는 여기서 설명된 폴리싱 처리의 단계를 수행하도록 이루어질 수 있다. 예를 들면, 두 단계 전도성 물질 제거 처리는 Ecmp 스테이션, 제 1 폴리싱 스테이션(128) 상에서의 제 1 단계로 수행될 수 있고, 제 2 단계는 제 2 폴리싱 스테이션(130)에 위치한 CMP 스테이션 상에서 수행되는 CMP 처리일 수 있다. 두 단계 전도성 물질 Ecmp 폴리싱 처리의 추가적인 예에서, 폴리싱 스테이션(128)은 제 1 Ecmp 스테이션일 수 있고, 제 2 폴리싱 스테이션(130)은 제 2 Ecmp 스테이션일 수 있으며, 제 3 폴리싱 스테이션(132)은 배리어 물질의 제거를 위한 CMP 폴리싱 스테이션 또는 제 3 Ecmp 폴리싱 스테이션일 수 있다. 대안적으로, 제 1 및 제 2 Ecmp 스테이션은 동일한 스테이션 상에서 Ecmp 전도성 제거 단계 모두를 수행하도록 이루어질 수 있다. 또한, 제 2 폴리싱 스테이션(130) 또는 제 1 폴리싱 스테이션(128) 조차도 제 2 전도성 물질 폴리싱 처리와 함께 배리어층 물질의 전부 또는 일부를 제거하도록 이루어질 수 있다.
평탄화 모듈(106) 상에서 두 단계 폴리싱 처리를 수행하는 일례에서, 기판으로부터 벌크 전도성 물질의 제거는 폴리싱 스테이션(128)을 위한 벌크 Ecmp 스테이션에서 전기화학적 용해 처리를 통해 수행된다. 예를 들어 제 1 폴리싱 스테이션(128)인 벌크 Ecmp 스테이션에서 벌크 물질 제거 이후, 제 2 전기화학 기계적 처리를 통해 제 2 폴리싱 스테이션(130)인 잔여 Ecmp 스테이션에서 기판으로부터 잔여 전도성 물질이 제거된다. 하나 이상의 잔여 Ecmp 스테이션(130)이 평탄화 모듈(106)에서 이용될 수 있다. 배리어층 물질은 제 2 폴리싱 스테이션(130)과 같은 잔여 Ecmp 스테이션에서 처리 이후 폴리싱 스테이션(132)에서 제거될 수 있거나, 또는 배리어 물질의 전부 또는 일부는 Ecmp 폴리싱 스테이션에서 제거된다. 대안적으로, 제 1 및 제 2 Ecmp 스테이션(128, 130)의 각각은 단일 스테이션 상에서 여기서 설명된 두 단계 전도성 물질 제거 모두를 수행하도록 이루어질 수 있다.
두 단계 처리의 일 실시예는 도 8A-8E와 관련하여 설명될 것이고, 이는 여기서 설명된 처리에 따라 형성되는 기판의 개략 단면도이다.
도 8A를 참고하면, 기판은 기판(800) 상에 형성된 유전층(810)을 일반적으로 포함한다. 비아(vias), 트렌치(trenches), 접촉(contacts), 또는 홀과 같은 다수의 구멍이 구역 A에서 유전층(810)으로 패턴화되고 에칭되며, 이에 의해 좁은 피쳐 데피니션(820)의 치밀한 배열을 형성하고, 구역 B는 넓은 피쳐 데피니션(830)의 낮은 밀도를 갖는다. 구멍은 종래의 포토리소그래픽 및 에칭 기술에 의해 유전층(810)에 형성될 수 있다.
넓은 그리고 좁은 피쳐 데피니션이란 용어는 기판 표면 상에 형성된 구조에 따라 변경될 수 있으나, 넓은 피쳐 데피니션 위의 최소 또는 낮은 물질 증착(최소 또는 낮은 초과 부담물(overburden)) 그리고 좁은 피쳐 데피니션 위에 형성된 과도한 물질 증착(또는 높은 초과 부담물)이라는 각각의 증착 프로파일에 의해 일반적으로 특징지어질 수 있다. 예를 들면, 좁은 피쳐 데피니션은 그 크기가 1μm 미만일 수 있고, 그 크기가 약 3μm 또는 그 초과이고 최소 또는 불충분한 초과 부담물을 가질 수 있는 넓은 피쳐 데피니션과 비교할 때, 높은 초과 부담물을 가질 수 있다.
유전층(810)은 반도체 소자의 제조에 전통적으로 이용되는 하나 또는 그 초과의 유전 물질을 포함할 수 있다. 예를 들면, 유전 물질은 실리콘 디옥사이드, 인-도핑된 실리콘 글라스(PSG), 붕소-인-도핑된 실리콘 글라스(BPSG), 및 플라즈마 향상 화학 기상 증착(PECVD)에 의해 실란 또는 테트라에틸 올소실리케이트(tetraethyl orthosilicate, TEOS)로부터 유도된 실리콘 디옥사이드와 같은 물질을 포함할 수 있다. 유전층은 낮은 유전 상수 물질을 포함할 수 있고, 이는 플루오르-실리콘 글라스(FSG), 폴리아미드와 같은 폴리머, Black DiamondTM 유전체 물질과 같은 탄소 함유 실리콘 옥사이드, BLOkTM 유전체 물질을 포함하는 질소 및/또는 산소 도핑될 수 있는 실리콘 카바이드 물질을 포함하고, 이는 미국 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사로부터 구입 가능하다.
배리어층(840)은 기판(800)의 필드(850) 상에서 그리고 피쳐 데피니션(820, 830)에서 등각으로 배치된다. 배리어층(840)은 탄탈륨, 탄탈륨 질화물 또는 이의 조합물을 포함할 수 있다. 이 명세서를 통해 이용되는 것처럼, "탄탈륨"이란 용어 및 "Ta"라는 기호는 탄탈륨, 탄탈륨 질화물, 및 탄탈륨 실리콘 질화물과 같은 합금, 또는 이의 조합물을 포함하는 것이다. 다른 형태의 배리어층은, 티나늄, 티타늄 질화물, 내화 금속 질화물 및 이의 조합물, 또는 기판 및/또는 유전체 물질 그리고 이후의 증착된 전도성 물질 사이에서 물질의 확산을 제한할 수 있는 다른 물질을 포함할 수 있다.
전도성 물질층(860)은 두께(D)로 배리어층(840) 상에 배치된다. 여기서 사용되는 "전도성 물질층:이란 용어는 구리, 텅스텐, 또는 알루미늄과 같은 어떠한 전도성 물질로서 정의되고, 이는 라인(lines), 접촉, 또는 비아를 형성하는 피쳐를 채우는데 이용된다. 도시되지는 않았지만, 전도성 물질로 된 씨드층이 전도성 물질층(860)의 즈착 이전에 배리어층 상에 증착될 수 있고, 이에 의해 이후의 증착 처리를 향상시키고 중간층 접착을 향상시킨다. 씨드층은 증착되는 이후의 전도성 물질층(860)과 동일한 전도성 물질일 수 있다.
전도성 물질층(860)의 일 형태는 구리 함유 물질을 포함한다. 구리 함유 물질은, 구리, 구리 합금(예를 들어 적어도 약 80 중량 퍼센트 구리를 함유한 구리계 합금), 또는 도핑된 구리를 포함한다. 이 명세서를 통해 이용되는 것과 같이, "구 리 함유 물질"이란 용어, "구리"란 용어, 및 "Cu"라는 기호는 구리, 구리 합금, 도핑된 구리, 및 이의 조합물을 포괄하는 것이다. 또한, 전도성 물질은 반도체 제조 처리에서 이용되는 어떠한 전도성 물질을 포함할 수 있다.
증착된 전도성 물질층(860)은, 넓은 피쳐 데피니션(830) 위의 최소 초과 부담물(880), 및 좁은 피쳐 데피니션(820) 위에 형성된 높은 초과 부담물(870) 또는 과도한 물질 증착의 증착 프로파일을 갖는다.
이후, 기판은 도 2에서 도시되고 여기서 설명된 장치와 같은 폴리싱 장치에 위치할 수 있고, 폴리싱 조성물에 노출될 수 있으며, 이 조성물은 전도성 물질층 상에 패시베이션층(passivation layer)을 형성할 수 있다.
물질을 제거하고 기판 표면을 평탄화하기 위해 화학 작용, 기계 작용, 및 전기 작용의 조합을 이용하는 전기화학 기계적 폴리싱 기술은 이하에서와 같이 수행될 수 있다. 전기화학 기계적 폴리싱 기술의 일 실시예에서, 기판은 도 2에서 도시된 것처럼 캐리어 헤드 시스템에 배치되고 제 1 및 제 2 전극을 함유한 폴리싱 어셈블리에 연결된 폴리싱 아티클과 물리적으로 접촉한다. 패시베이션층을 감소하고 또는 제거하기 위해 기판 표면 및 전도성 아티클(610) 사이에서 상대적인 운동이 제공된다. 파워 소스(224)로부터의 바이어스는 두 전극 및 기판 사이에 가해지고, 폴리싱 아티클은 이후 제 1 전극에 연결된 폴리싱 아티클을 통해 기판과 전기적으로 연결된다. 이 바이어스는 적어도 애노딕 용해를 제공하는 전기 펄스 조정 기술에 의해 가해질 수 있다. 이 바이어스는 폴리싱 아티클 어셈블리(222)의 전도성 아티클(610)로부터 기판(208)으로 전달될 수 있다. 폴리싱 아티클 및 기판의 물리적 및 전기적 결합 연결 동안, 폴리싱 조성물이 그 사이에 제공되고 이에 의해 기판 표면 상에 패시베이션층을 형성한다. 패시베이션층은 기판 표면 상에 배치된 화학적 및/또는 물리적으로 물질을 절연시킬 수 있다.
전기화학적 폴리싱 처리는 도 8A-8D에서 도시된 것처럼 돌출부(900)를 형성하도록 기판 표면으로부터 벌크 전도성 물질을 제거하는 제 1 폴리싱 단계, 이후 도 8D-8E에서 도시된 것처럼 기판을 평탄화하도록 잔여 구리 함유 물질 및/또는 배리어 물질을 제거하기 위한 제 2 전기화학적 폴리싱 처리를 가질 수 있다. 벌크 물질은 기판 표면 상에 형성된 피쳐를 거의 채우기에 충분한 것 이상의 양으로 기판 상에 증착된 어떠한 물질로서 여기서 넓게 정의된다. 잔여 물질은 하나 이상의 폴리싱 처리 단계 이후 남아 있는 어떠한 벌크 구리 함유 물질로서 넓게 정의된다.
벌크 제거 전기화학 기계적 폴리싱 처리는 제 1 폴리싱 플래튼 상에서 수행될 수 있고, 제 1 플래튼과 동일하거나 또는 다른 폴리싱 장치의 제 2 폴리싱 플래튼 상에서 잔여 제거 처리가 수행될 수 있다. 기판층을 통해 전도성 물질의 확산을 막는데 이용되는 어떠한 배리어 물질은 잔여 물질을 제거하는 플래튼 상에서 또는 여기서 설명된 장치에서의 제 3 플래튼과 같은 개별적인 플래튼 상에서 제거될 수 있다. 예를 들면, 여기서 설명된 처리에 따른 상기에서 설명된 장치는, 벌크 물질을 제거하기 위해 3개의 플래튼을 포함할 수 있거나 또는 벌크 물질을 제거하기 위한 하나의 플래튼, 잔여 제거를 위한 제 2 플래튼, 및 배리어 제거를 위한 제 3 플래튼을 포함할 수 있다.
도 8A를 참고하면, 좁은 피쳐 데피니션(820) 및 넓은 피쳐 데피니션(830)으로 패턴화된 유전층(810)을 갖는 기판(800)은 예를 들어 탄탈륨과 같은 배리어층(840)과 예를 들어 구리와 같은 전도성 물질(860)의 초과량으로 채워진다. 과도한 물질로 된 증착 프로파일은 좁은 피쳐 데피니션(820) 위에 형성된 힐 또는 피크로서 지칭될 수도 있는 높은 초과 부담물(870)과 넓은 피쳐 데피니션(830) 위의 밸리로서 지칭될 수도 있는 최소 초과 부담물(880)을 포함한다.
기판은, 전도성 물질층(860) 상에 패시베이션층(890)을 형성하는 여기서 설명된 Ecmp 폴리싱 조성물(895)에 노출된다. 패시베이션층(890)은 기판 표면 상의 노출된 전도성 물질(860) 상에 형성되고, 이 전도성 물질은 증착된 전도성 물질(860)에 형성된 최소 초과 부담물(880), 밸리 및 높은 초과 부담물(870), 피크를 포함한다. 패시베이션층(890)은 화학적 및/또는 전기적 반응으로부터 기판 표면을 화학적으로 및/또는 전기적으로 절연시킨다. 패시베이션층은 기판 표면을 부식 방지제 및/또는 예를 들어 킬레이팅제(chelating agent)와 같은 보호 필름 또는 절연 필름을 형성할 수 있는 다른 물질에 노출함에 의해 형성된다. 패시베이션층의 두께 및 밀도는 애노딕 용해의 양 및/또는 화학적 작용의 정도를 명령할 수 있다. 예를 들면, 더 두껍거나 또는 더 치밀한 패시베이션층이 더 얇고 덜 치밀한 패시베이션층과 비교하여 더 낮은 애노딕 용해를 초래하도록 관찰되었다. 따라서, 패시베이팅제(passivating agent), 부식 방지제 및/또는 킬레이팅제의 조성 및 농도의 제어는 기판 표면으로부터 제거된 물질의 양 및 커스텀화된 제거 속도를 가능하게 한다.
전도성 아티클(610)과 같은 폴리싱 아티클 및 기판 표면은 상대적인 오비티탈 운동과 같이 서로에 대해 상대적인 운동으로서 이동하고 서로 접촉하며, 이에 의해 도 8B에서 도시된 것처럼 노출된 전도성 물질(860) 상에 형성된 패시베이션층(890)의 일부가 제거되고, 이는 또한 밑에 있는 전도성 물질(860)의 일부를 제거할 수 있다. 제 1 전도성 물질 폴리싱 단계, 전기화학 기계적 폴리싱 단계는 돌출부(900)를 형성하도록 이하에서와 같이 도 8A-8D에서처럼 수행된다.
전기화학 기계적 폴리싱 처리는 약 2psi 미만의 압력에서 기판 표면 및 폴리싱 아티클을 접촉시키는 단계를 포함한다. 패시베이션층(890) 및 전도성 물질(860)의 제거는 약 2파운드 퍼 스퀘어 인치(lb/in2 or psi)(13.8kPa) 미만의 접촉 압력을 갖는 처리로 수행될 수 있다. 접촉 압력은 약 1psi(6.9kPa) 또는 그 미만, 예를 들어 약 0.01psi(69Pa) 내지 약 1psi(6.9kPa), 약 0.1(0.7kPa) psi 내지 약 0.8psi(5.5kPa), 또는 약 0.1(0.7kPa)psi 내지 약 0.5psi(3.4kPa) 미만과 같은 압력을 포함할 수 있다. 이 처리의 일 태양에서, 약 0.3psi(2.1kPa) 또는 약 0.2psi(1.4kPa)의 압력이 처리 단계 동안 이용될 수 있다.
여기서 이용되는 폴리싱 압력은 낮은 k 유전체 물질을 함유하는 기판에 대한 전단력 및 마찰력 손상을 감소하거나 또는 최소화한다. 감소되거나 최소화된 힘은 감소되거나 또는 최소 변형의 결과를 나타낼 수 있고 폴리싱으로부터 피쳐의 형성을 탐지한다. 또한, 낮은 전단력 및 마찰력은 폴리싱 동안 디싱, 및 스크래치와 같은 형상적 결함의 형성 및 디라미네이션(delamination)을 감소시키거나 또는 최 소화하도록 관찰되었다. 또한, 기판 및 전도성 아티클 사이의 접촉은 기판과 접촉할 때 파워 소스를 폴리싱 아티클에 연결시킴에 의해 기판 및 파워 소스 사이에서의 전기적 접촉을 가능하게 한다.
패시베이션층(890)을 감소하거나 또는 제거하기 위해, 폴리싱 아티클 및 기판 표면 사이에 상대적 운동이 제공된다. 상대적 운동은 처리 동안 폴리싱 아티클 및 기판을 회전시킴에 의해 제공된다. 회전 처리의 일 익스펠(expel)에서, 플래튼 상에 배치된 폴리싱 아티클은 약 7rpm 내지 약 80rpm, 예를 들어 약 28rpm의 플래튼 회전 속도에서 회전되고, 캐리어 헤드에 배치된 기판은 약 7rpm 내지 약 80rpm, 예를 들어 약 37rpm의 캐리어 gopem 회전 속도에서 회전된다. 플래튼 및 캐리어 헤드의 개별적인 회전 속도는, 폴리싱 아티클 및 기판과 접촉할 때 감소된 전단력 및 마찰력을 제공한다. 캐리어 헤드 회전 속도 및 플래튼 회전 속도는 모두 약 7rpm 내지 40rpm 미만일 수 있다.
회전 처리의 일 태양에서, 캐리어 헤드 회전 속도는 플래튼 회전 속도보다 클 수 있는데, 플래튼 회전 속도에 대한 캐리어 헤드 회전 속도의 비는 약 1:1보다 크고, 이는 예를 들어 약 1.2:1 내지 3:1과 같이 약 1.2:1 내지 약 7:1의 플래튼 회전 속도에 대한 캐리어 헤드 회전 속도의 비를 가지고, 이에 의해 기판 표면으로부터 물질을 제거한다.
기계적 연마는 폴리싱 아티클 및 기판 사이에서 접촉 및 상대적 운동의 조합을 제공하고, 이는 비-패시베이티드된 전도성 물질의 영역이 제거되거나 및/또는 애노딕 용해에 의해 제거를 위한 바이어스에 노출되도록 한다.
바이어스는 기판 표면으로부터 전도성 물질(860)의 애노딕 용해를 위한 전도성 아티클(610) 및 기판 표면 사이에서의 접촉 동안 기판에 가해진다. 바이어스는 약 0.001 밀리암페어/센티미터(mA/cm2) 내지 약 100mA/cm2의 전류 밀도로 기판 표면으로부터 전도성 물질의 애노딕 용해를 만들도록 일반적으로 제공되고, 이는 약 300mm 내지 이에 이르는 지름을 가진 기판을 처리하도록 약 40amps의 인가 전류와 상호 관계를 가진다. 예를 들면, 200mm 지름 기판은 약 0.01mA/cm2 내지 약 50mA/cm2의 전류 밀도를 가질 수 있다.
또한, 본 발명은 바이어스가 볼트, 암페어 및 와트 단위로 모니터되고 가해질 수 있는 것을 예상한다. 예를 들면, 일 실시예에서, 파워 공급장치는 약 0.01 와트 내지 100와트의 전력, 약 0.01V 내지 약 10V의 전압, 약 0.01amps 내지 약 20amps의 전류를 가할 수 있다. 추가적인 예에서, 3볼트와 같은, 약 2.6볼트 내지 약 3.5 볼트의 바이어스가 전기화학적 처리 단계에서 인가 바이어스로서 이용될 수 있다.
바이어스의 인가 하에서 애노딕 용해 동안, 전도성 물질층(860)을 포함하는 기판 표면은 기판 표면 상의 예를 들어 금속 물질과 같은 전도성 물질이 "산화되도록" 문턱 포텐셜(threshold potential) 위에서 애노딕하게 바이어스될 수 있다. 금속 물질이 산화할 때, 금속 원자는 하나 이상의 전자를 파워 소스(224)에 내놓고 금속 이온 또는 양이온을 형성한다. 이후, 금속 이온은 기판 표면을 떠나고 전해질 용액으로 용해될 수 있다. 구리가 제거되는 원하는 물질인 경우에, 양이온은 Cu1 + 또는 Cu2 +의 산화 상태를 가질 수 있다.
또한, 금속 이온은 패시베이션층(890)의 두께 및/또는 밀도의 형성에 기여할 수 있다. 예를 들면, 폴리싱 조성물에서 발견되는 방지제 및/또는 킬레이팅제는 금속 이온과 복합체를 형성할 수 있고, 금속 이온은 패시베이션층(890)에 혼합된다. 따라서, 폴리싱 조성물에서 발견된 방지제 및/또는 킬레이팅제의 존재는 전해질로의 금속 이온의 전기화학적 용해 처리를 제한하거나 또는 감소시키고, 추가적으로 패시베이션층(890)으로 이러한 금속 이온이 혼합시킨다.
언디스터브된(undisturbed) 패시베이션층의 두께 및/또는 밀도는 기판 표면 상에서 전도성 물질의 애노딕 용해를 위해 인가된 바이어스의 주기 이후 증가할 수 있다는 것이 관찰되었다. 언디스터브된 패시베이션층의 밀도 및/또는 두께에서의 증가는 총 인가 전력과 관련이 있고 시간 및/또는 전력 레벨으로 작용한다. 또한, 언디스터브된 패시베이션층은 금속 이온을 혼합시키고, 금속 이온은 패시베이션층의 두께 및/또는 밀도에 기여할 수 있다는 것이 관찰되었다.
바이어스는 기판 표면으로부터 물질을 제거하는데 있어서 사용자 요구에 따라 전력 및 가함이 변경될 수 있다. 예를 들면, 전력 가함을 증가시키는 것은 애노딕 용해를 증가시키는 결과를 초래한다는 것이 관찰되었다. 또한, 바이어스는 전기적 펄스 조정 기술에 의해 가해질 수 있다. 펄스 조정 기술은 변경될 수 있으나, 제 1 시간 주기 동안 일정한 전류 밀도 또는 전압을 가하고, 이후 제 2 시간 주기 동안 일정한 반대의 전류 밀도 또는 전압을 가하거나 전류 밀도 또는 전압을 가하지 않는 사이클을 일반적으로 포함한다. 이후, 이 처리는 하나 이상의 사이클 동안 반복될 수 있고, 이는 변하는 전력 레벨 및 지속시간을 가질 수 있다. 전력 레벨, 전력의 지속 시간, "온" 사이클, 및 전력이 없는 "오프" 사이클 가함, 및 사이클의 주파수는 제거 속도, 제거되는 물질, 및 폴리싱 처리의 정도에 기초하여 변경될 수 있다. 예를 들면 증가된 전력 레벨 및 인가된 전력의 증가된 지속 시간이 애노딕 용해를 증가시키도록 관찰되었다.
전기화학 기계적 폴리싱에 대한 펄스 조정 처리에서, 펄스 조정 처리는 파워 가함의 주기 "온" 그리고 이후 파워 가함이 없는 주기 "오프"로 온/오프 기술을 포함한다. 온/오프 사이클은 폴리싱 처리 동안 하나 이상의 주기로 반복될 수 있다. 온/오프 사이클은 폴리싱 처리 동안 한번 이상 반복될 수 있다. "온" 주기는 기판 표면으로부터 노출된 전도성 물질을 제거를 가능하게 하고, "오프" 주기는 금속 이온과 같은 "온"주기의 부산물 및 조성물 구성요소를 폴리싱하는 것을 가능하게 하고, 이에 의해 기판으로 확산하고 전도성 물질과 복합체를 형성한다. 펄스 조정 기술 처리 동안, 비기계적으로 디스터브된 구역에서 패시베이션층을 부착시킴에 의해 금속 이온은 이동하고 부식 방지제 및/또는 킬레이팅제와 상호작용한다. 따라서, 이 처리는 "온" 가함 동안 패시베이션층에 의해 덮이지 않은 전기화학적으로 활성 영역에서의 에칭을 가능하게 하고 이후 다른 영역에서 펄스 조정 기술의 "오프" 부분 동안 과도한 물질의 제거 및 일정 영역에서 패시베이션층의 재형성을 가능하게 한다. 따라서, 펄스 조정 기술의 제어는 기판 표면으로부터 제거된 물질의 양 및 제거 속도를 제어할 수 있다.
시간의 "온/오프" 주기는 각각 약 0.1초 내지 약 60초, 예를 들어 약 2 내지 약 25초일 수 있고, 본 발명은 여기서 설명된 시간 주기보다 더 짧거나 더 긴 "온" 및 "오프" 주기를 갖는 펄스 기술의 이용을 예상한다. 펄스 조정 기술의 일례에서, 파워는 각각의 사이클의 약 40% 내지 약 98%로 가해진다.
여기서 설명된 물질의 전기화학 기계적 폴리싱을 위한 온/오프 사이클을 구비한 펄스 조정 기술의 제한없는 예는 이하를 포함한다: 약 5 내지 약 10초 동안 파워 "온"을 가하고 이후 약 2 내지 약 25초 동안 파워 "오프"를 가하는 것; 약 5초 동안 파워를 가하지 않고 약 10초 동안 파워를 가하는 것, 또는 약 10초 동안 파워를 가하고 약 2초 동안 파워를 가하지 않는 것, 또는 약 5초 동안 파워를 가하고 약 25초 동안 파워를 가하지 않는 것이고 이에 의해 원하는 폴리싱 결과를 제공한다. 이 사이클은 각각의 선택된 처리에 대해 원하는 만큼 자주 반복될 수 있다. 펄스 조정 처리의 일례는 2002년 4월 22일 발행된 "전기화학 기계적 평탄화를 위한 방법 및 장치"라는 명칭으로 미국 특허 제 6,379,223호에서 설명되고, 이는 여기서 청구된 태양 및 명세서와 일치하는 범위에서 참조로 인용된다. 펄스 조정 처리의 추가적인 예는, 2003년 6월 30일에 출원된 "전기화학적으로 어시스트된 화학 기계적 폴리싱에서 표면 피니쉬를 향상시키기 위한 효과적인 방법"이라는 명칭의 출원중인 미국 특허출원 제 10/611,805호에서 설명되고, 이는 여기서 청구된 태양 및 명세서와 일치하는 범위에서 참조로 인용된다.
약 15,000Å/min에 이르는 전도성 물질의 제거 속도는 여기서 설명된 처리에 의해 얻어질 수 있다. 높은 제거 속도가 일반적으로 바람직하지만, 처리 균일성을 최대화하고 다른 처리 변수(예를 들어 애노드 및 캐소오드에서 반응 동역학)의 목적 때문에, 용해 속도는 약 100Å/min 내지 약 15,000Å/min으로 제어되는 것이 일반적이다. 제거되는 구리 물질이 5,000Å/min 미만의 두께인 본 발명의 일 실시예에서, 전압(또는 전류)은 약 100Å/min 내지 약 5,000Å/min의 제거 속도를 제공하도록 가해질 수 있다. 기판은 위에 배치된 원하는 물질의 적어도 일부 또는 전부를 제거하기에 충분한 시간 주기 동안 전력 인가 및 폴리싱 조성물에 일반적으로 노출된다.
도 8C를 참고하면, 전도성 아티클(610)에 의한 기계적 연마는 애노딕 용해를 위한 전류를 억제하거나 절연시키는 패시베이션층을 제거하고, 이에 의해 높은 초과 부담물(870)의 구역 및 기판 필드(850)가 최소 초과 부담물(880)의 구역 위에서 제거되는 것이 바람직하고, 패시베이션층은 전도성 아티클(610)과 접촉하지 않거나 최소 구역에서 유지된다. 패시베이션층에 의해 덮인 전도성 물질(860)의 제거 속도는 패시베이션층이 없는 전도성 물질의 제거 속도보다 작다. 이와 같이, 기판 필드(850) 및 좁은 피쳐 데피니션(820) 위에 배치된 과도한 물질은 패시베이션층(890)에 의해 여전히 덮인 넓은 피쳐 데피니션(830) 위에서 보다 더 빠른 속도로 제거된다.
도 8D를 참고하면, 여기서 설명된 처리가 넓은 피쳐 위에 형성된 전도성 물질층(860)에서 돌출부(900)의 형성을 가능하게 할 수 있다. 돌출부(900)는 전도성 물질층(860)의 다른 일부보다 더 긴 시간 동안 또는 더 두껍거나 또는 치밀한 패시베이션층의 혀성에 의해 감소된 제거 속도로 폴리싱되거나 폴리싱되지 않은 물질로 형성된다. 예를 들면, 돌출부는 증착된 전도성 물질 두께의 약 10% 내지 약 40%와 같이 증착된 전도성 물질 두께의 약 5% 내지 약 60%에 있을 수 있다. 여기서 설명된 처리는 증착된 물질 두께의 약 20% 내지 약 30%의 돌출부를 만드는 것으로 관찰되었다. 증착된 전도성 물질 두께의 돌출부의 원하는 레벨은 오버폴리싱의 지속시간 및 추가저인 폴리싱 단계의 개별적인 제거 속도와 같은 처리 인자에 기초하여 변할 수 있다.
돌출부(900)의 크기 또는 양은 제 1 폴리싱 처리 단계의 제거 속도 프로파일을 변경시키거나 변화시킴에 의해 제어될 수 있다. 예를 들면, 이 처리에서 이용된 폴리싱 조성물의 화학 성질을 변경시킴에 의해, 전력 레벨과 같은 전력 인가, 펄스 조정 기술의 처리 파라미터 또는 이의 조합은 돌출부(900)의 크기에 영향을 미치는데 모두 이용될 수 있다. 폴리싱 조성물과 관련하여, 돌출부의 크기는 부식 방지제의 양, 킬레이팅제, pH 레벨, 또는 이의 조합에 의해 제어될 수 있다. 본 발명은, 여기서 설명된 조성물 및 여기서 설명된 전력 인가가 여기서 설명된 예시적 예를 넘어 변경될 수 있음을 예상하고, 이에 의해 돌출부의 형성 및/또는 넓고 좁은 피쳐 데피니션 위에서 상대적인 제거 속도를 얻는다.
예를 들면, 부식 방지제의 증가된 양이 부식 방지제의 감소된 양과 비교하여 넓은 피쳐 위의 돌출부 양을 증가시키는 것이 관찰되었다. 약 6,000Å의 단계 높이를 가진 약 11,500Å 두께의 폴리싱 층에 대한 동일한 폴리싱 조건 하에서의 일 비교예에서, 부식 방지제로서 0.3wt.%의 벤조트리아졸(benzotriazole, BTA)의 조성물을 구비한 폴리싱 처리는 증착된 전도성 물질의 돌출부의 약 4,200Å, 약 36.5% 의 돌출부 높이를 나타내었고, 부식 방지제로서 0.2wt.% BTA의 조성물을 구비한 폴리싱 처리는 증착된 전도성 물질의 약 800Å, 약 7% 돌출부의 돌출부 높이를 나타내었다. 다른 비교예에서, 제 1 비교예와 서로 다르지만 동일한 폴리싱 조건 하에서, 부식 방지제로서 0.3wt.% BTA의 조성물의 폴리싱 처리는 증착된 전도성 물질의 약 2,500Å, 약 22% 돌출부를 나타내었고, 부식 방지제로서 0.2wt.% BTA의 조성물의 폴리싱 처리는 약 0Å의 돌출부를 나타내거나 또는 관찰가능한 돌출부가 없었다.
또한, 조성물의 pH도 돌출부의 양에 영향을 미치는 것으로 관찰되었다. 낮은 산성 pH 레벨, 즉 더욱 염기성 pH 레벨을 갖는 조성물은 더욱 산성인 pH 레벨을 갖는 조성물보다 더 큰 돌출부 높이를 갖는 것으로 관찰되었다. 예를 들면, 돌출부는 4.5보다 큰 pH 레벨에서 형성되는 것으로 관찰되었고, 예를 들면 약 5.5 내지 약 7의 pH 레벨이다. 동일한 폴리싱 조건 하에서의 비교예에서, 6.5의 pH를 갖는 폴리싱 처리는 약 3,000Å의 돌출부 높이, 증착된 전도성 물질의 약 26% 돌출부를 나타내고, 약 5.8의 pH를 갖는 폴리싱 처리는 약 200Å의 돌출부 높이, 증착된 전도성 물질의 약 1.7% 돌출부를 나타내며, 약 4.5의 pH를 갖는 폴리싱 처리는 약 0Å의 돌출부 높이 또는 돌출부 높이를 관찰할 수 없다. 또한, pH 및 부식 방지제 농도의 균형은 돌출부의 선택적인 양을 제공하는데 이용될 수 있다. 예를 들면, 낮은 부식 방지제 농도에서 더욱 염기성 pH 레벨은 큰 부식 방지제 농도를 가지고 더욱 산성인 pH 레벨과 동일한 돌출부의 양을 만들 수 있다.
또한, 전력 인가도 처리에서 돌출부의 양을 제어하는데 이용될 수 있다. 예 를 들면, 동일하거나 또는 유사한 처리 조성물에서, 펄스 조정 기술의 "온" 부분에서 전력 인가의 큰 양을 갖는 전력 인가는 펄스 조정 기술의 "온" 부분에서 인가된 전력의 더 적은 양을 갖는 전력 인가보다 더 높은 돌출부 높이 형성을 나타낸다. 또한, 제 2 전기화학 기계적 폴리싱 처리 단계에서의 돌출부 제거에 대해, "오프" 부분과 비교하여 펄스 조정 기술의 "온" 부분에서 전력 인가의 더 적은 양을 갖는 전력 인가는 평탄화 및 돌출부 제거를 나타낸다.
처리 동안 인가된 전력은 전압, 전류, 전류 밀도, 와트 또는 애노딕 용해 처리를 제어하고 모니터하기 위한 다른 적절한 수단일 수 있다. 전력 인가의 더 큰 양은, 예를 들어 전력 레벨에서의 증가, 즉 전압, 전류, 전류 밀도 또는 와트의 증가, 전력 주기 또는 펄스의 지속 시간에서의 증가, 또는 이의 조합에 의해 얻어질 수 있다. 전력 또는 펄스 조정 기술은 예를 들어 서로 다른 전해질 조성물과 같은 처리 파라미터에서의 변화에 기초하여 변할 수 있고, 서로 다른 전도도를 가질 수 있으며, 서로 다른 전력 레벨 및 펄스 조정을 요구할 수 있다.
전력이 인가되는 "온" 위치에 있을 때, 패시베이션층은 금속 이온이 패시베이션층의 구성요소와 복합체를 형성하기 때문에 패시베이션층의 형성은 두께 및/또는 밀도에서 증가할 수 있고, "오프" 위치에 있을 때, 패시베이션층은 더욱 연마 및 제거되기 쉬운데, 왜냐하면 제거되는 전도성 물질의 표면 근처의 전해질에서 이온 부족 때문이다. 패시베이션층의 두께 또는 밀도에서의 증가는 연마에 의한 제거에 대한 증가된 저항 및 더 나은 화학적 및/또는 전기적 절연 성질을 초래한다.
따라서, 인가된 전력의 지속 시간 또는 크기에서의 증가와 같은 기판에 가해진 총 전력의 증가는, 증가된 돌출부 높이는 초래하는 것으로 관찰되었다. 유사한 처리 조건 하에서 약 5.5 내지 약 7의 pH 및 0.3 wt.% BTA를 갖는 조성물을 이용하는 일 비교예에서, 약 11,500Å 또는 그 미만의 금속층에 대해 약 4,000Å의 돌출부 높이, 증착된 전도성 물질의 약 35% 돌출부가 "온"으로만의 전력 인가 하에서 형성되는 것이 관찰되었고, 5초 "온" 및 5초 "오프의 펄스 조정 기술은 400Å 미만의 돌출부 높이를 초래하는 것으로 관찰되었다.
여기서 설명된 두 단계 전도성 물질 폴리싱 처리는 제 2 단계가, 특히 제 2 단계 상에서 감소되거나 또는 최소 디싱, 최소 전도성 물질 잔여물, 증가된 기판 처리량, 감소된 조성물 비용, 기판 표면의 최소 또는 감소된 오버폴리싱을 포함하는, 최소 또는 감소된 형상적 결함을 구비한 채 돌출부(900)를 평탄화하는 것을 가능하게 한다.
도 8E를 참고하면, 이후 잔존 전도성 물질 및 배리어층 물질은 하나 이상의 추가적인 폴리싱 단계에 의해 폴리싱될 수 있고 이에 의해 평탄화된 기판 표면을 제공한다. 예를 들면, 제 2 폴리싱 단계는 배리어층 물질의 전부 또는 일부 그리고 잔여 전도성 물질을 제거하는데 이용될 수 있다. 대안적으로, 제 2 폴리싱 단계는 배리어층의 일부 또는 오직 전도성 물질만을 제거할 수 있고, 제 3 폴리싱 단계는 모든 배리어층 물질의 잔여부를 제거하는데 이용될 수 있다. 추가적인 대안적 폴리싱 실시예에서, 전도성 물질은 제 1 폴리싱 단계에 의해 제거되고, 배리어층은 제 2 폴리싱 단계에서 제거되며, 폴리싱 저항 캡핑층과 같은 어떠한 버 핑(buffing) 또는 유전체 물질은 화학 기계적 폴리싱 처리에 의해 제 3 폴리싱 단계에서 제거될 수 있다.
배리어 폴리싱 단계를 추가로 갖는 두 단계 전도성 물질 폴리싱 처리의 대안적인 실시예에서, 제 2 폴리싱 단계는 돌출부의 일부를 제거하고, 돌출부의 잔존부분은 배리어 폴리싱 단계에서 제거된다. 전도성 물질의 잔존 돌출부는 CMP 및 Ecmp 배리어 폴리싱 단계 동안 피쳐에서 구리와 같은 전도성 물질의 디싱을 최소화하거나 또는 감소시킬 수 있다. 제 2 전도성 물질 폴리싱 단계는 표면을 폴리싱 함에 의해 수행될 수 있는데, 이 경우 평탄화 효율은 약 10% 내지 약 90%, 약 20% 내지 약 80% 이고, 예를 들어 약 40% 내지 약 60%이다. 이러한 평탄화 효율은 제 1 폴리싱 단계를 뒤따라서 돌출부(900)의 약 10% 내지 약 90%, 20% 내지 80%, 예를 들어 약 40% 내지 약 60%의 제 2 돌출부(미도시)를 제공한다. 평탄화 효율은 증착된 물질의 스텝 높이(step height)의 감소로서 정의되고, 이는 도 8D를 참고할 때 어떠한 잔존하는 잔여 물질 및/또는 배리어층과 비교할 때 돌출부(900)의 감소를 포함한다.
잔존 전도성 물질 및/또는 배리어층 물질은 화학 기계적 폴리싱 처리에 의해 제거될 수 있다. 화학 기계적 폴리싱 처리는 좁은 피쳐 데피니션 위에 배치된 물질과 비교하여 더 빠른 제거 속도로 넓은 피쳐 데피니션 위에 배치된 물질을 제거하는 것으로 관찰되었고, 전도성 물질의 돌출부(900)는 좁은 피쳐 데피니션 위에 잔여물 형성이 없거나 또는 최소이고 넓은 피쳐 데피니션에서 최소 또는 감소된 디싱을 가진 채 잔여 전도성 물질의 평탄화를 가능하게 한다. 또한, 제 2 폴리싱 단 계에 이용될 수 있는 연마제가 없는 CMP 또는 Ecmp 처리는, 스크래치와 같은 연마제 관련 결함의 더 적은 형성과 함께 전도성 물질을 폴리싱하기 위해 종래에 이용된 연마제 함유 종성물보다 더 낮은 비용 슬러리를 이용하는 처리라는 결과를 낳는다.
또한, 제 2 폴리싱 단계가 화학 기계적 폴리싱 단계일 때, 화학 기계적 폴리싱 처리를 위한 조성물은 전기화학 기계적 폴리싱 단계에서 설명된 것과 같은 돌출부 형성보다 기판을 평탄화하고 돌출부를 제거하기 위한 원하는 프로파일을 제공하도록 이루어질 수 있다. 예를 들면, 화학 기계적 폴리싱 처리는 제 1 단계에서 다른 조성물 미만의 부식 방지제의 양을 갖는 조성물을 가질 수 있다. 다른 예에서, 화학 기계적 폴리싱 처리는 제 1 단계에서 다른 조성물보다 산성인 pH 레벨을 갖는 조성물을 가질 수 있다. 추가적인 예에서, 화학 기계적 폴리싱 처리는, 제 1 폴리싱 단계에서 다른 조성물과 비교할 때 연마제가 없는 것을 포함하여 연마제 농도의 감소된 양을 갖는 조성물을 가질 수 있다. 또한, 부식 방지제 농도, pH 레벨, 및 연마 물질의 조합은 원하는 폴리싱 프로파일을 제공하는데 이용될 수 있다. 또한, 제 2 조성물은 제 1 조성물과 동일한 조성물일 수 있고, 다른 부식 방지제, pH 레벨, 연마제 농도, 또는 이의 조합을 가지며, 여기서 설명된 것처럼 제 1 및 제 2 폴리싱 단계를 위해 이용될 수 있다.
대안적으로, 제 2 폴리싱 단계는 상업적인 CMP 포뮬레이션(formulation)을 이용할 수 있고, 이는 예를 들어 일리노이의 투스콜라(Tuscola)의 카봇사(Carbot Corporation)으로부터의 EP-C7091 조성물, iCue® 5306E 조성물, 또는 iCue® 5001E 조성물, 애리조나 템프(Tempe)의 듀퐁 에어 프로덕트 나노머티어리얼스사로부터의 CU3900-10k 조성물, 일본 아이치의 푸지미로부터의 7105, 일본 Tpkyo이 JSR로부터의 CMS 7401 조성물 및 CMS 7452 조성물, 그리고 일본 일바라키의 히타치 화학사로부터의 HS-T815-5A를 포함한다. 여기서 설명된 CMP 처리 단계는 여기서 설명된 Ecmp 처리와 같이 도 2-7에서 도시된 것과 동일한 플래튼 상에서 이용될 수 있고, 스테이션으로의 전력의 인가는 없다. 약 3,000Å/minute 미만과 같은 좁은 피쳐 데피니션 위의 낮은 제거 속도 및 넓은 피쳐 데피니션 위의 3,000Å/minute 또는 그 초과와 같은 제거 속도를 갖는 적절한 CMP 조성물이 제 2 폴리싱 조성물로서 이용될 수 있다. 또한, CMP 처리는 여기서 설명된 것과 같은 연마제 없는 폴리싱 조성물 또는 연마제를 가진 여기서 설명된 하드 아티클 또는 소프트 아티클 종래 CMP 폴리싱 아티클을 구비한 폴리싱 처리를 포함할 수 있다.
대안적으로, 제 2 폴리싱 단계도 여기서 설명된 것과 같은 전기화학 기계적 폴리싱 단계일 수 있다. 제 2 전기화학 기계적 폴리싱 단계는 전도성 물질의 평탄화를 제공하기 위해 좁은 피쳐 데피니션 위에 배치된 물질의 제거 속도와 비교하여 또는 제 1 폴리싱 단계와 비교하여 넓은 피쳐 데피니션 위에서 더 빠른 제거 속도로 제거된 기판 표면 상에 배치된 물질을 가지도록 이루어질 수 있다. 제 2 전기화학 기계적 폴리싱의 상대적인 제거 속도는 여기서 설명된 것처럼 화학 기계적 폴리싱 처리에서 관찰되는 제거 속도 프로파일에 상당하도록 이루어질 수 있다. 제 3 단계가 배리어 물질층을 제거하는데 이용된다면, 제 3 Ecmp 처리 단계는 CMP 처리 단계에 대한 대안으로서 이용될 수 있다. 추가적인 대안적 폴리싱 처리에서, 전도성 물질은 제 1 처리 단계에서 돌출부 형성과 함께 선택적으로 제거되고, 전도성 물질은 그 안에 형성된 돌출부를 가지며, 배리어 물질은 제 2 처리 단계에서 제거된다.
돌출부 제거 및 감소된 또는 최소 디싱을 가진 채 기판을 폴리싱하는 제 2 폴리싱 처리의 Ecmp 제거 속도 프로파일은 다수의 접근에 의해 얻어질 수 있다. 일례에서, 제 2 Ecmp 폴리싱 단계는 동일한 처리 조건 하에서 제 1 폴리싱 조성물의 변경된 버젼으로 수행될 수 있다. 제 2 Ecmp 처리 단계를 위한 변경된 조성물은 제 1 Ecmp 폴리싱 단계보다 더 적은 양의 부식 방지제, 더 적은 연마제 농도, 또는 더욱 산성인 pH 레벨을 포함할 수 있고, 이로써 제 1 폴리싱 단계에서 돌출부 형성을 가능하게 하고 제 2 폴리싱 단계에서 돌출부 형성이 없게 한다.
또한, 제 2 Ecmp 폴리싱 조성물과 같은 여기서 설명된 분리된 폴리싱 조성물이 원하는 폴리싱 프로파일을 이루기 위해 제 2 폴리싱 처리에 이용될 수 있다. 효과적인 폴리싱 결과를 제공하도록 관찰된 제 2 Ecmp 조성물은, 여기서 추가적으로 예로서 제공되고 설명된 글리신계 조성물, EDA계 조성물, 구연산염계 조성물을 포함하는 최소 연마제 또는 연마제가 없는 폴리싱 조성물을 포함한다.
다른 예에서, 제거 속도 프로파일은 제 2 폴리싱 단계를 위한 펄스 조정 기술을 포함하는 전기 기반 처리에 의해 얻어질 수 있고, 이는 돌출부 형성 및 상대적인 제거 속도를 제어하기 위해 제 1 폴리싱 단계에서 펄스 조정 기술과 비교하여 넓은 피쳐 위에서 제거 속도를 증가시키는데 이용될 수 있다. 부식 저항제 농도, pH 레벨, 및 펄스 조정 기술의 조합은 제 1 및 제 2 폴리싱 단계에서 모두 이용될 수 있고, 이에 의해 원하는 제거 속도 프로파일을 제공하고 원하는 대로 돌출부를 만들거나 제거한다.
배리어층의 일부 또는 전체 배리어층은 여기서 설명된 것과 같은 제 2 처리 단계에 의해 또는 개별적인 처리에 의해 제거될 수 있다. 배리어층은 전도성 물질과 비교하여 선택적으로 또는 비선택적으로 제거될 수 있다. 배리어층 제거를 위한 조성물은, 돌출부 높이에 따라 변할 수 있는 약 1:1 내지 약 5:1보다 큰 전도성 물질에 대한 배리어 물질의 제거 속도 비에서 배리어 물질 선택성을 제공할 수 있다. 대안적으로, 이 조성물은 약 1:1:1의 전도성 물질 대 배리어 물질 대 유전체 물질의 제거 속도비를 가질 수 있다.
이 배리어층은 예를 들면 2005년 5월 16일자의 미국 특허출원 제 11/130,032호에서 설명된 것처럼 CMP 또는 Ecmp 처리에 의해 제거될 수 있고, 이는 여기서 청구된 태양 및 명세서와 일치하는 범위에서 참조로 인용된다. 대안적으로, 배리어 물질은 미국 일리노이 오로라의 카보트사로부터의 6605 및 6618 조성물과 같은 상업적 조성물을 갖는 CMP 처리로 제거될 수 있다. 배리어 제거 처리는 여기서 설명된 것처럼 연마제 또는 연마제가 없는 폴리싱 조성물을 구비한 하드 아티클 또는 소프트 아티클 종래 CMP 폴리싱 아티클로의 화학 기계적 폴리싱 처리를 포함한다.
구리 CMP 폴리싱 처리의 예는, "금속 및 유전체 기판을 폴리싱하기 위한 방법 및 장치"라는 명칭의 2004년 9월 14일 발행된 미국 특허 제 6,790,768호에서 개시되고, 배리어 CMP 폴리싱 처리의 예는 "화학 기계적 폴리싱에서 배리어 제거를 위한 이중 환원제"라는 명칭으로 2002년 7월 11일 출원된 미국 특허출원 제 10/193,810호에서 개시되며, 이 모두는 여기서 청구된 태양 및 명세서와 일치하는 범위에서 참조로 인용된다.
선택적으로, 미립자 물질을 제거하는 각각의 폴리싱 처리 이후 기판에 세정 용액이 가해질 수 있고, 이는 폴리싱 처리로부터 시약을 소비할 뿐만 아니라 기판 표면 상에 형성된 결함 및 폴리싱 아티클 상의 금속 잔여 증착을 최소화하는 것을 돕는다. 적절한 세정 용액의 예는 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사로부터 구입 가능한 ElectraCleanTM이다.
전도성 물질 및 배리어 물질 제거 처리 단계 이후, 기판은 표면 결함을 최소화하기 위해 버프될(buffed) 수 있다. 버핑은 설명된 것처럼 쇼어(Shore) D 경도 스케일 상에서 약 40 또는 그 미만의 경도를 갖는 소프트 폴리싱 아티클로 수행되고, 약 2psi 또는 그 미만과 같은 감소된 폴리싱 압력에서 펜실베이나 필라델피아에 헤드쿼터가 있는 American Society for Testing and Materials(ASTM)에 의해 측정된다. 적절한 버핑 처리 및 조성물의 예는 2000년 5월 11일 출원되어 출원 계속 중인 미국 특허출원 제 09/569,968호에서 개시되고, 이는 본 발명과 일치하는 범위에서 참조로 인용된다.
마지막으로, 기판은 폴리싱 또는 기판 핸들링 동안 형성되는 결함을 줄이기 위해 포스트 폴리싱 세정 처리에 노출될 수 있다. 이러한 처리는 기판 표면 상에 형성된 구리 피쳐에서 바람지하지 않은 산화 또는 다른 결함을 최소화할 수 있다. 이러한 포스트 폴리싱 세정의 예는 미국 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사로부터 구입 가능한 Electra CleanTM의 이용이다.
여기서 설명된 처리에 의해 평탄화된 기판은 디싱, 감소된 잔여물, 향상된 평탄화, 및 향상된 기판 피니쉬와 같은 감소된 형상적 결함을 나타낸다. 여기서 설명된 처리는 또한 이하의 예에 의해 개시될 수 있다.
폴리싱 조성물
전기화학 기계적 폴리싱(Ecmp) 조성물은 예를 들어 전도성 물질 Ecmp 폴리싱 조성물 또는 제 1 단계에서 돌출부 형성 및 제 2 단계에서 돌출부 제거 및 평탄화를 포함하는 두 단계 전도성 물질 Ecmp 폴리싱을 위한 두 조성물을 포함하는 전기화학 기계적 폴리싱 처리에 제공된다.
구리와 같은 금속을 평탄화시키기 위한 여기서 설명된 처리에 이용될 수 있는 적절한 전기화학 기계적 폴리싱 조성물은, 제 1 또는 벌크, 연마제 없는 폴리싱 조성물을 포함할 수 있고, 이는 산성계 전해질, 킬레이팅제, 산화제, 부식 방지제, 무기 또는 유기산 염, pH 조절제, 약 3 내지 약 10의 pH, 및 용매를 포함한다. 대안적으로, 벌크 폴리싱 조성물도 연마제 미립자를 포함할 수 있다. 벌크 폴리싱 조성물은 여기서 설명된 것처럼 돌출부를 제공하는데 이용될 수 있다.
연마제가 없는 제 2 또는 잔여, 폴리싱 조성물은 벌크 폴리싱 조성물에 대해 여기서 설명된 것과 같이 산성계 전해질, 킬레이팅제, 부식 방지제, pH 조절제, 약 3 내지 약 10의 pH, 및 용매를 포함할 수 있다. 이 조성물은 산화제가 없을 수 있고, 또는 대안적으로 여기서 설명된 것처럼 산화제를 포함할 수 있다. 잔여 폴리싱 조성물의 일 실시예에서, 킬레이팅제는 여기서 설명된 것처럼 아민계 킬레이팅제를 포함할 수 있고, 다른 실시예에서, 킬레이팅제는 여기서 설명된 것처럼 카르복시산 그룹을 갖는 화합물을 포함할 수 있다. 추가적인 실시예에서, 무기 또는 유기산염이 여기서 설명된 것과 같은 카르복시산 그룹을 갖는 화합물 또는 아민계 킬레이팅제 대신 이용될 수 있다. 대안적으로, 잔여 폴리싱 조성물은 또한 연마제 미립자를 포함할 수 있다. 잔여 폴리싱 조성물의 성분은 다르게 특정되지 않는다면, 여기서 설명된 것처럼 벌크 폴리싱 조성물에 대한 것과 같다.
전기화학 기계적 폴리싱 조성물이 전도성 물질, 구리를 제거하기 위해 특히 이용되지만, 폴리싱 조성물은 알루미늄, 플래티늄, 텅스텐, 코발트, 금, 은, 루테늄, 및 이의 조합물과 같은 다른 전도성 물질과 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 및 이의 조합물과 같은 배리어 물질을 제거하는데 이용될 수 있고, 이는 또한 전도성 물질일 수도 있다.
벌크 폴리싱 조성물, 제 1 전기화학 기계적 폴리싱 단계 조성물은 산성계 전해질, 킬레이팅제, 산화제, 부식 방지제, 무기 또는 유기산염, 연마제 입자, pH 조절제, 약 3 내지 약 10의 pH, 및 용매를 포함할 수 있다.
산성계 전해질 시스템은 Ecmp 처리에서 조성물의 전기적 전도도를 제공한다. 적절한 산성계 전해질 시스템은, 예를 들어 인산계 전해질, 황산계 전해질, 질산계 전해질, 과염산계 전해질, 아세트산계 전해질, 구연산계 전해질, 붕산계 전해질 및 이의 조합물을 포함한다. 적절한 산성계 전해질은 암모늄, 포타슘, 소듐, 칼슘, 및 구리염, 및 이의 파생물을 포함하는 전해질 염 파생물을 포함한다. 산성계 전해질 시스템은 또한 기판을 처리하기 위해 바람직한 pH 레벨을 유지하도록 조성물을 버퍼할 수 있다.
적절한 산성계 전해질의 예는, 인산과 같은 인산염 그룹(PO4 3 -), 구리 인산염, 포타슘 디히드로젠 인산염(KH2PO4), 디포타슘 히드로젠 인산염(K2HPO4)과 같은 포타슘 인산염(KxH(3-X))PO4)(x=1,2, 또는 3), 암모늄 디히드로젠 인산염((NH4)H2PO4), 디암모늄 히드로젠 인산염((NH4)2HPO4)과 같은 암모늄 인산염((NH4)xH(3-x)PO4)(x=1, 2, 또는 3)을 갖는 화합물, 질산 또는 구리 질산염과 같은 아질산 그룹(NO3 1 -)을 갖는 화합물, 오르소붕산(H3BO3)와 같은 붕소 함유 그룹(BO3 3 -)을 갖는 화합물 및 황산(H2SO4), 암모늄 히드로젠 황산염((NH4)HSO4), 암모늄 황산염, 포타슘 황산염, 구리 황산염과 같은 황산염 그룹(SO4 2 -)을 갖는 화합물, 이의 파생물 및 이의 조합물을 포함한다. 또한, 본 발명은 알려지거나 알려지지 않은 종래의 전해질이 여기서 설명된 처리를 이용하여 여기서 설명된 조성물을 형성하는데 이용될 수 있음을 예상한다.
산성계 전해질 시스템은 용액의 총 조성물의 중량(wt%) 또는 부피(vol%) 단 위로 약 1 내지 약 30 퍼센트를 차지할 수 있는 산성 구성요소를 함유할 수 있다. 산성 구성요소의 예는 디히드로젠 인산염 및/또는 디암모늄 히드로젠 인산염을 포함하고, 약 15wt% 내지 약 25wt%의 양으로 벌크 폴리싱 조성물에 존재할 수 있다. 대안적으로, 인산염은 예를 들어 약 0.5wt% 내지 약 6wt%의 농도이고, 30wt%에 이르는 농도로 존재할 수 있다. 또한, 산성계 전해질은 용액에 첨가될 수 있고, 예를 들면 인산의 6wt.%는 약 5.1wt.%의 실제 인산 조성물에 대한 85% 수성 인산 용액으로부터일 수 있다.
본 발명의 일 태양 또는 구성요소는 기판 표면과 복합체를 형성하도록 하나 이상의 킬레이팅제를 이용하는 것이고 이에 의해 전기화학적 용해 처리를 향상시킨다. 여기서 설명된 실시예에서, 킬레이팅제는 구리 이온과 같은 전도성 물질에 결합할 수 있고, 기판 표면에 걸쳐 용해 균일도를 향상시키고 및/또는 금속 물질의 제거 속도를 향상시킨다. 구리와 같은 제거를 위한 금속 물질은 작용기와 리게이팅(ligating) 동안 또는 그 이후 또는 그 이전에 0, 1, 또는 2와 같은 어떠한 산화 상태에 있을 수 있다. 작용기는 처리 동안 기판 표면 상에 생성된 금속 물질을 바인드할 수 있고 기판 표면으로부터 금속 물질을 제거할 수 있다. 또한, 킬레이팅제는 기판을 처리하기 위해 원하는 pH 레벨을 유지하도록 벌크 폴리싱 조성물을 버퍼하는데 이용될 수 있다. 또한, 킬레이팅제는 기판 표면 상에 제 2 패시베이션층을 형성하거나 또는 형성을 촉진할 수 있다.
하나 이상의 킬레이팅제는 아민 그룹, 아미드 그룹, 및 이의 조합으로된 그룹으로부터 선택된 하나 이상의 작용기를 갖는 화합물을 포함할 수 있다. 하나 이 상의 킬레이팅제는 카르복실레이트 그룹, 히드록실 그룹, 히드록실 및 카르복실레이트 그룹의 혼합물, 이의 조합물로 이루어진 그룹으로부터 선택된 하나 이상의 그룹을 갖는 화합물을 포함할 수 있다. 카르복실레이트는 디카르복실레이트 그룹 및 트리카르복실레이트 그룹을 포함한다. 대안적으로, 킬레이팅제는 아미노산과 같이, 아민 그룹, 아미드 그룹, 카르복실레이트 그룹, 디카르복실레이트 그룹, 트리카르복실레이트 그룹, 히드록실그룹, 히드록실 및 카르복실레이트 그룹의 혼합물, 및 이의 조합물로 이루어진 그룹으로부터 선택된 하나 이상의 작용기를 갖는 화합물을 포함할 수 있다. Ecmp 폴리싱 조성물은 부피 또는 중량으로 약 0.1% 내지 약 15%의 농도로 하나 이상의 킬레이팅제를 포함할 수 있으나, 부피 또는 중량으로 약 0.1% 내지 약 4%로 이용되는 것이 바람직하다. 예를 들면, 에틸렌디아민의 부피로 약 2%가 킬레이팅제로서 이용될 수 있다.
적절한 킬레이팅제의 예는 하나 이상의 아민을 갖는 화합물을 포함하고, 아미드 작용기는 에틸렌디아민(EDA), 디에틸렌트리아민, 디에틸렌트리아민 파생물, 헥사디아민, 메틸포름아미드, 이의 파생물, 이의 염, 및 이의 조합물을 포함한다. 하나 이상의 카르복실레이트 그룹을 갖는 적절한 킬레이팅제의 예는 구연산, 타르타르산, 숙신산, 옥살산, 초산, 아디프산, 부티르산, 카프르산, 카프로산, 카프릴산, 글루타르산, 글리콜산, 포르마산(formaic acid), 푸마르산, 락트산, 라우르산, 말산, 말레산, 말론산, 미리스트산, 플라미트산, 프탈산, 프로피온산, 피루브산, 스테아르산, 발레르산, 이의 파생물 및 이의 조합물을 포함한다. 아민 및 카르복실레이트 작용기를 모두 갖는 화합물은 글리신과 같은 아미노산, 에틸렌디아민테트 라아세트산(EDTA)과 같은 화합물을 포함한다.
무기 또는 유기 염이 예를 들면 부피 또는 중량으로 약 0.1% 내지 약 8% Ecmp 조성물의 부피 또는 중량으로 약 0.1% 내지 약 15%의 농도로 제공된다. 예를 들면, 암모늄 구연산염의 중량으로 약 2%가 폴리싱 조성물에서 이용될 수 있다. 무기염 또는 유기염도 킬레이팅제로서 작용할 수 있다. 무기염 또는 유기염도 용액에 또는 거의 순수 형태로 첨가될 수 있는데, 예를 들면 암모늄 구연산염이 98% 순수 형태로 첨가될 수 있다.
무기 또는 유기산염의 예는 암모늄 및 포타슘 염 또는 암모늄 옥살산염, 암모늄 구연산염, 암모늄 숙신산염, 모노베이직 포타슘 구연산염, 디베이직 포타슘 구연산염, 트리베이직 포타슘 구연산염, 포타슘 타르타르산염, 암모늄 타르타르산염, 포타슘 숙신산염, 포타슘 옥살산염, 및 이의 조합물과 같은 유기산을 포함한다. 또한, 카르복실레이트 산의 포타슘염 및 암모늄도 이용될 수 있다. 예를 들면, 킬레이팅제는 암모늄 구연산염, 포타슘 구연산염, 암모늄 숙식산염, 포타슘 숙식산염, 암모늄 옥살산염, 포타슘 옥살산염, 포타슘 타르타르산염, 및 이의 조합물을 포함할 수 있다. 염은 다중-염기 상태를 가질 수 있고, 예를 들어 구연산염은 모노-, 디- 및 트리-염기 상태를 가진다. 또한, 염은 여기서 설명된 킬레이팅제의 파생물을 포함할 수 있고, 예를 들면 EDTA는 염을 가지고, 이 염은 소듐, 포타슘 및 칼슘(예를 들어 Na2EDTA, Na4EDTA, K4EDTA 또는 Ca2EDTA)와 같은 다양한 염 조성물에서 이용될 수 있다.
여기서 설명된 실시예에서, 부식 방지제는 주위 전해질 및 기판 표면 사이에서 화학 상호작용을 최소화하는 제 2 패시베이션층(890)의 형성을 향상시킴에 의해 금속 표면의 부식 또는 산화를 감소시키도록 첨가될 수 있다. 따라서, 부식 방지제에 의해 형성된 물질층은 기판 표면으로부터 전기화학 전류를 막거나 또는 최소화하는 경향이 있고, 이에 의해 전기화학적 증착 및/또는 용해를 제한한다. 벌크 폴리싱 조성물은, 예를 들어 중량으로 약 0.01% 내지 약 1%의 하나 이상의 아졸(azole) 그룹으로부터 유기 화합물의 중량으로 약 0.001% 내지 약 5.0%를 포함할 수 있다. 또한, 부식 방지제는 용액으로 또는 거의 순수 형태로 첨가될 수 있고, 예를 들면 벤조트리아졸이 99% 순수 형태로 첨가될 수 있다.
적절한 부식 방지제가 아졸 그룹을 갖는 유기 화합물과 같은 질소 원자(N)를 갖는 화합물을 포함한다. 적절한 화합물의 예는, 벤조트리아졸(BTA), 메르캡토벤조트리아졸(mercaptobenzotriazole), 5-메틸-1-벤조트리아졸(TTA), 및 이의 조합물을 포함한다. 다른 적절한 부식 방지제는 필름 형성제를 포함하고, 이는 사이클릭 화합물, 예를 들어 이미드아졸, 벤지지미드아졸, 트리아졸, 및 이의 조합물이다. 히드록시, 아미노, 이미노, 카르복시, 메르캡토, 니트로, 및 알킬 치환 그룹을 갖는 벤조트리아졸, 이미드아졸, 벤지미드아졸, 트리아졸의 파생물은 부식 방지제로서 이용될 수 있다. 다른 부식 방지제는 특히 요소 및 티오요소를 포함한다.
대안적으로 폴리머릭 방지제, 제한없는 예를 들면, 폴리알킬아릴 에테르 인산염, 암모늄 노닐페놀 에폭실레이트 황산염, 또는 폴리에틸렌아민이 아졸 함유 부식 방지제와 함께 또는 대신하여 이용될 수 있고, 이는 이 조성물의 부피 또는 중 량으로 약 0.002% 내지 약 1.0%의 양이다. 폴리머릭 방지제의 다른 예는 (-CH2-CH2-N-) 모노머 유닛을 포함한 약 400 내지 약 1,000,000 사이의 분자량을 갖는 폴리에틸렌이민(polyethylenimine, PEI)과 같은 에틸렌이민계 폴리머릭 물질, (-H(OCH2CH2)NOH-)를 포함한 약 200 내지 약 100,000의 분자량을 갖는 폴리에틸렌글리콜(PEG)과 같은 에틸렌글리콜계 폴리머릭 물질을 포함한다. 폴리아민 및 폴리이미드 폴리머릭 물질도 조성물에서 폴리머릭 방지제로서 이용될 수 있다. 다른 적절한 폴리머릭 방지제는, 분자량이 약 200 내지 약 100,000인, 폴리프로필렌 산화물 및 에틸렌 산화물 프로필렌 산화물 폴리머(EOPO)와 같은 산화물 폴리머를 포함한다. 적절한 폴리머릭 방지제의 예는 CT 뉴헤븐의 Enthone-OMI사로부터 구입 가능한 화합물 S-900과 MA, 말보로의 Rohm and Hass Electronic Materials사로부터 구입 가능한 폴리아민 폴리머를 함유한 XP-1296를 포함한다.
산화제는 예를 들어 부피 또는 중량으로 약 0.1% 내지 약 20%와 같이 부피 또는 중량으로 약 0.01% 내지 약 100%의 범위에 있는 양으로 폴리싱 조성물에 존재할 수 있다. 벌크 폴리싱 조성물의 실시예에서, 히드로젠 페록사이드의 부피 또는 중량으로 약 0.1% 내지 약 15%가 벌크 폴리싱 조성물에 존재한다. 일 실시예에서, 전기화학 기계적 폴리싱 처리를 시작하기 바로 이전에, 산화제가 벌크 폴리싱 조성물의 나머지에 첨가된다. 산화제는 용액의 조성물에 첨가될 수 있는데, 이는 예를 들어 30% 수성 히드로젠 페록사이드 용액 또는 40% 수성 히드로젠 페록사이드 용액이다.
적절한 산화제의 예는 페록시 화합물을 포함하고, 예를 들어 히드로젠 페록사이드와 같은 히드록시 래디컬을 통해 분해될 수 있는 화합물을 포함하고, 그 부가 생성물은 요소 히드로젠 페록사이드, 퍼카르보네이트, 및 유기 페록사이드를 포함하며, 이 유기 페록사이드는 예를 들면 알킬 페록사이드, 시클리컬 또는 아릴 페록사이드, 벤조일 페록사이드, 퍼아세트산 및 디테르트부틸 페록사이드를 포함한다. 황산염 및 모노퍼황산염, 및 디퍼황산염과 같은 황산염 파생물은 암모늄 퍼옥시디황산염, 포타슘 퍼옥시디황산염, 암모늄 퍼황산염, 및 포타슘 퍼황산염을 포함하여 이용될 수 있다. 소듐 퍼카르보네이트 및 소듐 퍼옥사이드와 같은 퍼옥시 화합물의 염이 이용될 수도 있다.
또한, 산화제는 높은 산화 상태로 요소를 함유한 화합물 또는 무기 화합물일 수 있다. 높은 산화 상태의 요소를 함유한 화합물 또는 무기 화합물의 예는 페리오드산, 페리오데이트 염, 페르브롬산, 페르브로메이트 염, 페르클로산, 페르클로릭 염, 페르본산, 니트레이트염(세륨 니트레이트, 철 니트레이트, 암모늄 니트레이드와 같은), 페레이트, 페르보레이트 염, 및 페르망가네이트를 포함하나 이에 제한되는 것은 아니다. 다른 산화제는 브로메이트, 클로레이트, 크로메이트, 이오데이트, 요오드산, 및 암모늄 세륨 니트레이트와 같은 세륨(IV) 화합물을 포함한다.
하나 이상의 pH 조절제가 벌크 폴리싱 조성물에 첨가되는 것이 바람직하고, 이에 의해 약 2 내지 약 10의 pH, 그리고 바람직하게 약 3 내지 약 7미만의 pH, 예를 들어 약 4 내지 6의 pH 레벨을 얻는다. pH 조절제의 양은 다른 구성요소의 농도가 서로 다른 포뮬레이션에서 변함에 따라 변경될 수 있고, 일반적으로 총 용액 은 하나 이상의 pH 조절에의 약 70wt% 및 이를 넘어서 포함할 수 있으나, 바람직하게는 부피로 약 0.2% 내지 약 25%이다. 서로 다른 화합물은 주어진 농도에 대해 서로 다른 pH 레벨을 제공할 수 있고, 예를 들어 조성물은 포타슘 히드록사이드, 암모늄 히드록사이드, 소듐 히드록사이드 또는 이의 조합물과 같은 베이스의 부피로 약 0.1% 내지 약 10%를 포함할 수 있으며, 이에 의해 원하는 pH 레벨을 제공한다. pH 조절제는 용액에 또는 거의 순수 형태로 첨가될 수 있고, 예를 들면 포타슘 히드록사이드가 45% 수성 포타슘 히드록사이드 용액에 첨가될 수 있다.
하나 이상의 pH 조절제가 예를 들어 카르복실산, 아세트산, 구연산, 옥살산, 인산을 함유한 인산염 함유 구성요소, 암모늄 인산염, 포타슘 인산염, 및 이의 화합물 또는 이의 조합물로 된 그룹으로부터 선택될 수 있다. 인산, 황산, 히드로클로산, 니트르산, 이의 파생물 및 이의 조합물을 포함하는 무기산은 벌크 폴리싱 조성물에서 pH 조절제로서 이용될 수 있다.
여기서 설명된 벌크 폴리싱 조성물의 밸런스 또는 잔존물은, 물, 바람직하게는 탈이온화된 물을 포함하는 극성 용매와 같은 용매이다. 다른 용매가 유기 용매와 같이 물과 함께 또는 독립적으로 이용될 수 있다. 유기 용매는 이소프로필 알콜 또는 글리콜, 디에틸 에테르와 같은 에테르, 테트라히드로퓨란과 같은 퓨란, 펜탄 또는 헵탄과 같은 히드로카본, 벤젠 또는 톨루엔과 같은 아로마틱 히드로카본, 메틸렌 클로라이드 또는 탄소 테트라클로라이드와 같은 할로게네이트된 용매, 이의 파생물 및 이의 조합물을 포함한다.
일 실시예에서, 벌크 폴리싱 조성물은 연마제 입자를 추가로 포함할 수 있 고, 잔여 화합물ㄹ에 대해 여기서 설명된 것과 같은 하나 이상의 산화제, 연마제 입자 및 이의 조합물을 추가로 포함할 수 있다. 또한, 연마제의 추가는 기판 표면 및 전도성 아티클(610) 사이의 접촉 구역을 증가시키는 연마제 입자 능력 때문에 복합체의 금속 이온의 제거 속도를 추가로 향상시킬 수 있다. 벌크 폴리싱 조성물에 대한 연마제의 첨가는 최종 폴리싱된 표면이 낮은 아티클 압력에서도 종래의 CMP 처리와 견줄만한 표면 거칠음을 얻는 것을 가능하게 한다. 표면 피니쉬 또는 표면 거칠음은 표면 결함을 포스트 폴리싱하고 소자 수율에 영향을 미치는 것으로 도시되었다.
연마제 입자는 처리 하에서 벌크 폴리싱 조합물의 약 30wt% 및 이를 넘는 양을 포함할 수 있다. 연마제 입자의 약 0.001wt% 내지 약 5wt%의 농도가 벌크 폴리싱 조성물에 이용될 수 있다. 적절한 연마제 입자는 무기 연마제, 폴리머릭 연마제, 및 이의 조합물을 포함한다. 전해질에서 이용될 수 있는 무기 연마제 입자는 실리카, 알루미나, 지르코늄 산화물, 티타늄 산화물, 세륨 산화물, 게르마니아, 또는 공지되거나 공지되지 않은 금속 산화물의 다른 연마제를 포함하나 이에 제한되는 것은 아니다. 예를 들면, 콜로이달 실리카는 포지티브하게 활성화될 수 있고, 이는 알루미나 변경 또는 실리카/알루미나 복합체에서도 그러하다.
또한, 연마제는 폴리머릭 연마제를 포함할 수 있다. 폴리머린 연마제 물질의 예는 폴리메틸메타아크릴레이트, 폴리메틸 아크릴레이트, 폴리스티렌, 폴리메타아크릴로니트릴레, 및 이의 조합물을 포함한다. 폴리머릭 연마제는 약 60 내지 약 80의 경도 쇼어 D를 가질 수 있으나 더 크거나 또는 더 적은 경도값을 가지도록 변 경될 수 있다. 연한 폴리머릭 연마제 입자는 폴리싱 입자 및 기판 사이의 마찰을 감소시키는 것을 도울 수 있고, 무기 입자와 비교할 때 다른 표면 결함 및 스크래치의 숫자 및 정도(severity)에서의 감소를 초래할 수 있다. 연한 물질과 비교할 때 단단한 폴리머릭 연마제 입자는 향상된 폴리싱 성능, 제거 속도 및 표면 피니쉬를 제공할 수 있다. 폴리머 연마제의 경도는 연마제에서 폴리머릭 가교 결합의 정도를 제어함에 의해 변경될 수 있는데, 예를 들면 가교 결합의 높은 정도는 폴리머의 큰 경도를 만들고 따라서 연마제에도 그러하다.
폴리머릭 연마제는 하나 이상의 작용기를 가지도록 변경될 수 있는데, 이 작용기는 전도성 물질 또는 전도성 물질 이온에 바인드할 수 있고, 이에 의해 기판 표면으로부터 물질의 전기화학 기계적 폴리싱 제거를 촉진시킨다. 예를 들면, 구리가 폴리싱 처리에서 제거된다면, 유기 폴리머 입자는 아민 그룹, 카르복실레이트 그룹, 피리딘 그룹, 히드록사이드 그룹, 구리에 대해 높은 친화돌르 가진 리간드, 이의 조합을 갖도록 변경될 수 있고, 이에 의해 킬레이팅제 또는 부식방지제와 같이 벌크 폴리싱 조성물에서 화학적으로 활성제에 부가하여 또는 치환하여 제거된 구리를 바인드한다. 구리와 같은 기판 표면 물질은 작용기와 리게이팅하기 이전에, 동안, 또는 그 이후 0, 1+ 또는 2+와 같은 산화 상태에 있을 수 있다. 작용기는 기판 표면 상에서 금속 물질에 바인드할 수 있고, 이에 의해 기판 표면의 표면 피니쉬 및 균일성을 향상시키는 것을 돕는다.
또한, 폴리머릭 연마제는 원하는 화학 성질을 가지는데, 예를 들면 폴리머 연마제는 넓은 pH 범위에 걸쳐 안정적이고 서로에 대해 뭉치기 쉽지 않으며, 폴리 머릭 연마제가 조성물에서 분사제 없이 또는 계면 활성제 없이 또는 이들의 감소된 양과 함께 이용되는 것을 가능하게 한다.
대안적으로, 여기서 설명된 폴리머릭 물질로 코팅된 무기 입자는 벌크 폴리싱 조성물로 이용될 수 있다. 벌크 폴리싱 조성물이 폴리머릭 연마제, 무기 연마제, 폴리머릭 코팅된 무기 연마제, 및 이의 조합을 함유하는 것은 본 발명의 범위 내에 있고, 이는 원하는 폴리싱 성능 및 결과에 따른다.
선택적으로, 구리 이온과 같은 적어도 하나의 전이 금속의 이온이 여기서 섦여된 조합물에 첨가될 수 있다. 적어도 하나의 전이 금속의 이온이 구리 염과 같은 금속 염으로부터 유도될 수 있고, 조성물에 첨가될 수 있으며, 이에 의해 하나 이상의 킬레이팅제와 복합체를 형성한다. 결과적인 복합체는 감소된 디싱을 가진 채 기판 표면으로부터 전도성 물질을 제거하는 것을 향상시킨다. 적절한 구리 염의 예는 금속 황산염, 메타 플루오보레이트, 금속 글루코네이트, 금속 설파메이트, 금속 설포네이트, 금속 피로포스페이트, 금속 클로라이드, 금속 시아나이드, 금속 니트레이트, 및 이의 조합물을 특히 포함한다. 예를 들면, 적절한 구리 염은 구리 설페이트, 구리 플루오보레이트, 구리 글루코네이트, 구리 설파메이트, 구리 설포네이트, 구리 피로포스페이트, 구리 클로라이드, 구리 시아나이드, 니트레이트 및 이의 조합물을 포함한다. 예를 들면, 금속 염은 조성물의 약 0.005웨이트퍼센트(wt.%) 내지 약 1.0wt.%의 농도를 포함할 수 있고, 또는 대안적으로 금속염은 CMP 조성물의 약 0.05wt.% 내지 약 0.2wt.%의 농도에서 조성물에 존재할 수 있다.
벌크 폴리싱 조성물은 하나 이상의 첨가 화합물을 포함할 수 있다. 첨가 화합물은 전해질 첨가제를 포함하고, 이는 억제제, 인핸서(enhancer), 레벨러(leveler), 브라이트너(brightner), 안정제 및 스트립핑제를 포함하나 이에 제한되지 아니하고, 이에 의해 기판 표면의 폴리싱에서 폴리싱 조성물의 효과를 향상시킨다. 계면활성제와 같은 다른 첨가제도 여기서 설명된 폴리싱 조성물과 함께 이용될 수 있다. 예를 들면 일정한 첨가제는 금속 원자의 이온화 속도를 감소시킬 수 있고, 이에 의해 용해 처리를 저지하며, 한편 다른 첨가제는 피니쉬되고 반짝이는 기판 표면을 제공할 수 있다. 첨가제는 중량 또는 부피로 약 15% 또는 이를 넘는 농도로 벌크 폴리싱 조성물에 존재할 수 있고, 폴리싱 이후 원하는 결과에 기초하여 변할 수 있다.
벌크 폴리싱 조성물에 대한 첨가제의 추가적인 예는 2005년 3월 8일 발행된 미국 특허 제 6,863,797호에서 완전히 설명되어 있고, 이는 여기서 청구된 태양 및 명세서와 일치하는 범위에서 참조로 인용된다.
예:
이하의 제한없는 예는 본 발명의 실시예를 추가적으로 도시하도록 제공된다. 그러나, 예는 여기서 설명된 본 발명의 범위를 제한하는 의도는 아니고 모든 것을 포함하는 의도가 아니다.
예 #1:
캘리포니아 산타 클라라의 어플라이드 머티어리얼스사로부터 구입 가능한 REFLEXION® 시스템 상에서 변경된 셀 내에서 이하의 폴리싱 조성물을 이용하여 구리 도금된 기판이 폴리싱되고 평탄화되었다. 약 6,000Å의 스텝 높이를 가지며 기판 표면 상의 약 11,500Å의 구리층을 갖는 기판은, 이하의 폴리싱 조성물에 노출되었다:
약 6% 부피(% by volume)의 인산;
약 2% 부피의 에틸렌디아민;
약 2% 중량(% by weight)의 암모늄 구연산염;
약 0.3% 중량의 벤조트리아졸;
약 5의 pH를 제공하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드; 및
탈이온화된 물, 그리고
처리 동안 폴리싱 아티클은 약 3와트/볼트의 바이어스에서 약 0.2psi로 기판과 접촉하였다. 기판은 폴리싱되고 관찰되었다. 약 4,000Å의 돌출부 높이가 넓은 피쳐 데피니션 위에서 관찰되었다.
예 #2:
캘리포니아 산타 클라라의 어플라이드 머티어리얼스사로부터 구입 가능한 REFLEXION® 시스템 상에서 변경된 셀 내에서 이하의 폴리싱 조성물을 이용하여 구리 도금된 기판이 폴리싱되고 평탄화되었다. 약 6,000Å의 스텝 높이를 가지며 기 판 표면 상에 약 11,500Å의 구리층을 갖는 기판이 이하의 폴리싱 조성물에 노출되었다:
약 6% 부피의 인산;
약 2% 부피의 에틸렌디아민;
약 2% 중량의 암모늄 구연산염;
약 0.3% 중량의 벤조트리아졸;
약 5의 pH를 제공하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드; 및
탈이온화된 물, 그리고
폴리싱 아티클은 기판과 접촉하였고 8 사이클 동안 10초 온 및 2초 오프의 펄스 기술에 의해 약 0.2psi에서 약 3와트/볼트의 바이어스로 인가되었다. 기판은 폴리싱되었고 관찰되었다. 약 1,500Å의 돌출부 높이가 넓은 피쳐 데피니션 위에서 관찰되었다.
두 단계 처리의 제 1 폴리싱 단계에 이용되는 예시적인 구리 Ecmp 폴리싱 조성물은 이하를 포함한다:
예 #3:
약 6% 부피의 인산,
약 1% 내지 약 4% 중량의 암모늄 구연산염,
약 0.1% 내지 약 0.4% 중량의 벤조트리아졸,
탈이온화된 물, 및
약 4 내지 약 7미만의 pH를 형성하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드.
예 #4:
약 6% 부피의 인산,
약 2% 부피의 에틸렌디아민,
약 1% 내지 약 4% 중량의 암모늄 구연산염,
약 0.1% 내지 약 0.4% 중량의 벤조트리아졸,
약 0.1% 내지 약 3% 부피 또는 중량, 예를 들어 약 0.45%의 히드로젠 퍼옥사이드, 및/또는 약 0.01% 내지 약 1% 중량, 예를 들어 0.15% 중량의 연마제 입자,
탈이온화된 물, 및
약 4 내지 약 7 미만의 pH를 형성하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드.
두 단계 처리의 제 2 전도성 물질 폴리싱 단계에 이용되는 예시적 구리 Ecmp 폴리싱 조성물은 이하를 포함한다:
예 #5:
약 4% 내지 약 6% 부피의 인산,
약 2% 부피의 에틸렌디아민,
약 1% 내지 약 4% 중량의 암모늄 구연산염,
약 0.05% 내지 약 0.3% 중량의 벤조트리아졸,
약 0.1% 내지 약 3% 부피 또는 중량, 예를 들어 약 0.45% 히드로젠 퍼옥사이드 및/또는 약 0.01% 내지 약 1% 중량, 예를 들어 약 0.15% 중량의 연마제 입자,
탈이온화된 물, 및
약 4 내지 약 6의 pH를 형성하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드.
예 #6:
약 3%부피의 질산;
약 2% 부피의 에틸렌디아민;
약 2% 중량의 암모늄 구연산염;
약 0.1% 내지 약 0.3% 중량의 벤조트리아졸;
약 4 내지 약 6의 pH를 제공하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드 및/또는 암모늄 히드록사이드; 및
탈이온화된 물.
예 #7:
약 4% 부피의 인산;
약 2% 부피의 에틸렌디아민;
약 2% 중량의 암모늄 구연산염;
약 0.1% 내지 약 0.3% 중량의 벤조트리아졸;
약 4 내지 약 6의 pH를 제공하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드 및/또는 암모늄 히드록사이드; 및
탈이온화된 물.
예 #8:
약 4% 부피의 인산;
약 2% 부피의 에틸렌디아민;
약 2% 부피의 글리신;
약 0.1% 내지 약 0.3% 중량의 벤조트리아졸;
약 4 내지 약 6의 pH를 제공하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드 및/또는 암모늄 히드록사이드; 및
탈이온화된 물.
예 #9:
약 3% 부피의 인산,
약 2% 구연산,
약 0.05% 중량의 벤조트리아졸,
탈이온화된 물, 약 4 내지 약 6의 pH를 형성하기에 충분한 포타슘 히드록사 이드, 및
선택적으로, 히드로젠 퍼옥사이드 및/또는 연마제 입자.
예 #10:
약 1% 부피의 인산,
약 2% 부피의 에틸렌디아민,
약 0.02% 중량의 벤조트리아졸,
약 0.1vol% 내지 약 5vol%의 히드로젠 퍼옥사이드,
탈이온화된 물,
및 약 5 내지 약 7의 pH를 형성하기에 충분한 포타슘 히드록사이드.
예 #11:
약 1% 부피의 인산,
약 2% 부피의 구연산,
약 0.03% 중량의 벤조트리아졸,
약 0.1vol% 내지 약 5vol%의 히드로젠 퍼옥사이드,
탈이온화된 물, 및
약 4 내지 약 7의 pH를 형성하기에 충분한 포타슘 히드록사이드.
예 #12:
약 4% 부피의 질산;
약 2% 부피의 에틸렌디아민;
약 2% 중량의 암모늄 구연산염;
약 4 내지 약 6의 pH를 형성하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드 및/또는 암모늄 히드록사이드; 및
탈이온화된 물.
예 #13:
약 4% 부피의 인산;
약 2% 부피의 에틸렌디아민;
약 2% 중량의 글리신;
약 0.05% 내지 약 0.2% 중량의 벤조트리아졸;
약 4 내지 약 6의 pH를 제공하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드 및/또는 암모늄 히드록사이드; 및
탈이온화된 물.
예 #14:
약 4% 부피의 인산;
약 2% 부피의 에틸렌디아민;
약 2% 중량의 구연산;
약 0.05% 내지 약 0.2% 중량의 벤조트리아졸;
약 4 내지 약 6의 pH를 제공하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드 및/또는 암모늄 히드록사이드; 및
탈이온화된 물.
예 #15:
약 2% 부피의 인산;
약 2% 부피의 에틸렌디아민;
약 2% 중량의 글리신;
약 0.05% 내지 약 0.2% 중량의 벤조트리아졸;
약 4 내지 약 6의 pH를 제공하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드 및/또는 암모늄 히드록사이드; 및
탈이온화된 물.
예 #16:
약 2% 부피의 인산;
약 2% 중량의 글리신;
약 0.05% 내지 약 0.2% 중량의 벤조트리아졸;
약 4 내지 약 6의 pH를 제공하도록 약 2% 내지 약 6% 중량의 포타슘 히드록사이드 및/또는 암모늄 히드록사이드; 및
탈이온화된 물.
예 #17:
약 2% 부피의 인산;
약 2% 중량의 구연산;
약 0.05% 내지 약 0.2% 중량의 벤조트리아졸;
약 4 내지 약 6의 pH를 제공하도록 약 2% 내지 약 6% 중량의 포타슘 히드록사이드 및/또는 암모늄 히드록사이드; 및
탈이온화된 물.
예 #18:
약 2% 부피의 질산;
약 2% 중량의 구연산;
약 0.05% 내지 약 0.2% 중량의 벤조트리아졸;
약 4 내지 약 6의 pH를 제공하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드 및/또는 암모늄 히드록사이드; 및
탈이온화된 물.
예 #19:
약 1% 부피의 인산;
약 1% 중량 구연산;
약 0.05% 내지 약 0.2% 중량 벤조트리아졸;
약 4 내지 약 6의 pH를 제공하도록 약 2% 내지 약 6% 부피의 포타슘 히드록사이드 및/또는 암모늄 히드록사이드;
약 1%의 히드로젠 퍼옥사이드; 및
탈이온화된 물.
이전의 내용은 본 발명의 실시예에 관한 것이고, 본 발명의 다른 그리고 추가적인 실시예는 이하의 청구항에 의해 결정되는 범위 및 그 기본 범위로부터 벗어나지 아니한 채 고안될 수 있다.

Claims (20)

  1. 좁은 피쳐 데피니션(narrow feature definition) 및 넓은 피쳐 데피니션 위에 배치된 배리어 물질층 및 이 배리어 물질층 상에 배치된 전도성 물질층을 갖는 기판을 처리하는 방법으로서,
    벌크 전도성 물질을 제거하기 위해 제 1 전기화학 기계적 폴리싱 처리에 의해 넓은 피쳐 데피니션 상에 배치된 잔여 전도성 물질에 돌출부를 형성하는 단계; 및
    하부 배리어 물질층을 노출시키도록 적어도 화학 기계적 폴리싱 기술에 의해 상기 잔여 전도성 물질의 상기 돌출부를 제거하는 단계를 포함하는,
    기판을 처리하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 전기화학 기계적 폴리싱 처리가 제 1 플래튼(platen) 상에서 수행되고 상기 화학 기계적 폴리싱 기술이 제 2 플래튼 상에서 수행되는,
    기판을 처리하는 방법.
  3. 제 1 항에 있어서,
    적어도 화학 기계적 폴리싱 처리에 의해 상기 기판을 폴리싱하는 것이 제 2 전기화학 기계적 폴리싱 처리를 포함하는,
    기판을 처리하는 방법.
  4. 제 1 항에 있어서,
    적어도 화학 기계적 폴리싱 기술에 의해 상기 배리어 물질층의 일부 또는 전부를 제거하는 단계를 추가로 포함하는,
    기판을 처리하는 방법.
  5. 제 4 항에 있어서,
    상기 잔여 전도성 물질에서 상기 돌출부를 제거하는 단계 및 상기 배리어 물질층의 일부 또는 전부를 제거하는 단계가 적어도 상기 화학 기계적 폴리싱 기술과 동일한 기술로 수행되는,
    기판을 처리하는 방법.
  6. 제 1 항에 있어서,
    상기 전기화학 기계적 폴리싱 처리가 제 1 부식 방지제(corrosion inhibitor) 농도를 갖는 제 1 조성물을 포함하고, 상기 적어도 화학 기계적 폴리싱 기술이 상기 제 1 부식 방지제 농도 미만의 제 2 부식 방지제 농도를 갖는 제 2 조성물을 포함하는,
    기판을 처리하는 방법.
  7. 제 1 항에 있어서,
    상기 제 1 전기화학 기계적 폴리싱 처리가 제 1 pH 레벨을 갖는 제 1 조성물을 포함하고, 상기 적어도 화학 기계적 폴리싱 기술이 이 제 1 pH 레벨보다 산성인 제 2 pH 레벨을 포함하는,
    기판을 처리하는 방법.
  8. 제 1 항에 있어서,
    상기 제 1 전기화학 기계적 폴리싱 처리가 연마제를 함유하는 조성물을 포함하고, 상기 적어도 화학 기계적 폴리싱 기술이 연마제를 함유하지 않는(abrasive free) 조성물을 포함하는,
    기판을 처리하는 방법.
  9. 제 3 항에 있어서,
    상기 제 1 전기화학 기계적 폴리싱 처리가 상기 돌출부를 형성하도록 펄스 조정 제 1 바이어스를 가하는 단계를 포함하고, 상기 제 2 전기화학 기계적 폴리싱 처리가 돌출부를 형성하기 위해 제 2 바이어스를 가하는 단계를 포함하는,
    기판을 처리하는 방법.
  10. 제 3 항에 있어서,
    상기 제 2 전기화학 기계적 폴리싱 처리가,
    산성계(acid based) 전해질; 킬레이팅제(chelating agent), 무기 또는 유기산 염, 또는 이의 혼합물의 그룹으로부터 선택된 폴리싱 향상 물질; 부식 방지제; 용매; 및 약 3 내지 약 10의 pH를 제공하는 pH 조절제(adjusting agent)를 포함하는 조성물을 갖는,
    기판을 처리하는 방법.
  11. 좁은 피쳐 데피니션 및 넓은 피쳐 데피니션 위에 배치된 전도성 물질층을 갖는 기판을 처리하는 방법으로서,
    제 1 전기화학 기계적 폴리싱 기술에 의해 넓은 피쳐 데피니션 위에 배치된 전도성 물질보다 빠른 제거 속도로 좁은 피쳐 데피니션 상에 배치된 전도성 물질을 제거하는 단계; 및
    제 2 전기화학 기계적 폴리싱 기술에 의해 좁은 피쳐 데피니션 위에 배치된 전도성 물질의 제거 속도와 동일하거나 이보다 큰 제거 속도로 넓은 피쳐 데피니션 위에 배치된 전도성 물질을 제거하는 단계를 포함하는,
    기판을 처리하는 방법.
  12. 제 11 항에 있어서,
    상기 제 1 전기화학 기계적 폴리싱 기술은 제 1 농도의 부식 방지제를 갖는 제 1 조성물을 포함하고, 상기 제 2 전기화학 기계적 폴리싱 기술은 상기 부식 방지제의 제 1 농도보다 작은 제 2 농도의 부식 방지제를 갖는 제 2 조성물을 포함하는,
    기판을 처리하는 방법.
  13. 제 12 항에 있어서,
    상기 제 1 농도의 부식 방지제가 약 0.3wt.% 또는 그 초과의 부식 방지제를 포함하고, 상기 제 2 농도의 부식 방지제가 약 0.3wt.% 미만의 부식 방지제를 포함하는,
    기판을 처리하는 방법.
  14. 제 11 항에 있어서,
    상기 제 1 전기화학 기계적 폴리싱 기술이 제 1 pH를 갖는 제 1 조성물을 포함하고, 상기 제 2 전기화학 기계적 폴리싱 기술이 상기 제 1 pH보다 산성인 제 2 pH를 갖는 제 2 조성물을 포함하는,
    기판을 처리하는 방법.
  15. 제 14 항에 있어서,
    상기 제 1 전기화학 기계적 폴리싱 기술이 제 1 펄스 조정 방법을 포함하고, 상기 제 2 전기화학 기계적 폴리싱 기술이 제 2 펄스 조정 방법에 의해 바이어스를 가하는 것을 포함하는,
    기판을 처리하는 방법.
  16. 제 15 항에 있어서,
    상기 제 1 펄스 조정 기술이 넓은 피쳐 데피니션 위에 배치된 전도성 물질보다 빠른 제거 속도로 좁은 피쳐 데피니션 위에 배치된 전도성 물질을 제거하고, 상기 제 2 펄스 조정 기술이 넓은 피쳐 데피니션 위에 배치된 전도성 물질보다 느린 제거 속도로 좁은 피쳐 데피니션 위에 배치된 전도성 물질을 제거하는,
    기판을 처리하는 방법.
  17. 제 14 항에 있어서,
    상기 펄스 조정 기술이 상기 넓은 피쳐 데피니션 위의 상기 전도성 물질의 두께의 10% 내지 약 60%의 돌출부를 형성하는,
    기판을 처리하는 방법.
  18. 제 11 항에 있어서,
    상기 제 1 전기화학 기계적 폴리싱 기술이 연마제를 함유하는 조성물을 포함하고, 상기 적어도 화학 기계적 폴리싱 기술이 연마제를 함유하지 않는 조성물을 포함하는,
    기판을 처리하는 방법.
  19. 제 10 항에 있어서,
    적어도 화학 기계적 폴리싱 기술에 의해 상기 배리어 물질층을 제거하는 단계를 추가로 포함하는,
    기판을 처리하는 방법.
  20. 제 19 항에 있어서,
    상기 제 2 전기화학 기계적 폴리싱 기술 및 상기 배리어 물질층을 제거하는 단계가 동일한 플래튼 상에서 수행되는,
    기판을 처리하는 방법.
KR1020087005391A 2005-08-04 2006-08-03 기판을 폴리싱하기 위한 방법 및 조성물 KR100939595B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/196,876 US7323416B2 (en) 2001-03-14 2005-08-04 Method and composition for polishing a substrate
US11/196,876 2005-08-04

Publications (2)

Publication Number Publication Date
KR20080033507A true KR20080033507A (ko) 2008-04-16
KR100939595B1 KR100939595B1 (ko) 2010-02-01

Family

ID=37727912

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087005391A KR100939595B1 (ko) 2005-08-04 2006-08-03 기판을 폴리싱하기 위한 방법 및 조성물

Country Status (5)

Country Link
US (1) US7323416B2 (ko)
JP (1) JP2009503908A (ko)
KR (1) KR100939595B1 (ko)
TW (1) TW200709293A (ko)
WO (1) WO2007019279A2 (ko)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US8158057B2 (en) 2005-06-15 2012-04-17 Ati Properties, Inc. Interconnects for solid oxide fuel cells and ferritic stainless steels adapted for use with solid oxide fuel cells
US7981561B2 (en) * 2005-06-15 2011-07-19 Ati Properties, Inc. Interconnects for solid oxide fuel cells and ferritic stainless steels adapted for use with solid oxide fuel cells
US7842434B2 (en) * 2005-06-15 2010-11-30 Ati Properties, Inc. Interconnects for solid oxide fuel cells and ferritic stainless steels adapted for use with solid oxide fuel cells
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
JP4836441B2 (ja) * 2004-11-30 2011-12-14 花王株式会社 研磨液組成物
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
TWI361218B (en) * 2005-04-14 2012-04-01 Showa Denko Kk Polishing composition
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
TWI385226B (zh) * 2005-09-08 2013-02-11 羅門哈斯電子材料Cmp控股公司 用於移除聚合物阻障之研磨漿液
TW200720494A (en) * 2005-11-01 2007-06-01 Applied Materials Inc Ball contact cover for copper loss reduction and spike reduction
US7879255B2 (en) 2005-11-04 2011-02-01 Applied Materials, Inc. Method and composition for electrochemically polishing a conductive material on a substrate
US20070144915A1 (en) * 2005-12-22 2007-06-28 Applied Materials, Inc. Process and composition for passivating a substrate during electrochemical mechanical polishing
US7576007B2 (en) * 2006-01-09 2009-08-18 Applied Materials, Inc. Method for electrochemically mechanically polishing a conductive material on a substrate
US20090209103A1 (en) * 2006-02-03 2009-08-20 Freescale Semiconductor, Inc. Barrier slurry compositions and barrier cmp methods
US20070221495A1 (en) * 2006-03-23 2007-09-27 Applied Materials, Inc. Electropolish assisted electrochemical mechanical polishing apparatus
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US20080210571A1 (en) * 2006-08-24 2008-09-04 Extrude Hone Corporation Machine And Method For Electrochemically Polishing Indentations Within An Aluminum Wheel
US20080067077A1 (en) * 2006-09-04 2008-03-20 Akira Kodera Electrolytic liquid for electrolytic polishing and electrolytic polishing method
US7998866B2 (en) * 2006-09-05 2011-08-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
US7678700B2 (en) * 2006-09-05 2010-03-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
TWI387643B (zh) * 2006-12-29 2013-03-01 Lg Chemical Ltd 形成金屬線用之cmp漿料組成物
US20090078583A1 (en) * 2007-01-22 2009-03-26 Itsuki Kobata Electrochemical mechanical polishing method and electrochemical mechanical polishing apparatus
ITRM20070677A1 (it) * 2007-12-27 2009-06-28 Garbo S R L Procedimento per la separazione e il recupero dei sospendenti contenuti nelle sospensioni esauste provenienti dalle lavorazioni meccaniche del silicio.
KR20090073376A (ko) * 2007-12-31 2009-07-03 삼성전자주식회사 위상 반전 마스크 세정용 조성물, 위상 반전 마스크의 세정방법 및 위상 반전 마스크의 제조 방법
US20100038584A1 (en) * 2008-08-13 2010-02-18 Fujimi Incorporated Polishing Composition and Polishing Method Using the Same
US20100062693A1 (en) * 2008-09-05 2010-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Two step method and apparatus for polishing metal and other films in semiconductor manufacturing
US20100096360A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Compositions and methods for barrier layer polishing
US8149534B2 (en) * 2008-11-13 2012-04-03 Seagate Technology Llc Protective coatings for data storage devices
TWI371481B (en) * 2009-04-02 2012-09-01 Uwiz Technology Co Ltd Slurry composition and method of fabricating damascene structure using the same
KR20120037373A (ko) * 2009-04-13 2012-04-19 신메트, 잉크 틸팅된 표면 피쳐를 형성하기 위한 화학적 기계적 제조방법
WO2010125827A1 (ja) * 2009-04-30 2010-11-04 ライオン株式会社 半導体用基板の洗浄方法および酸性溶液
US8211325B2 (en) * 2009-05-07 2012-07-03 Applied Materials, Inc. Process sequence to achieve global planarity using a combination of fixed abrasive and high selectivity slurry for pre-metal dielectric CMP applications
US20110073464A1 (en) * 2009-09-28 2011-03-31 General Electric Company Systems and apparatus relating to electrochemical machining
JPWO2011093195A1 (ja) * 2010-01-27 2013-06-06 Jsr株式会社 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法、ならびに化学機械研磨用水系分散体調製用キット
CN102234833B (zh) * 2010-04-20 2013-05-29 深圳富泰宏精密工业有限公司 电解退除碳化铬膜层的退镀液及方法
CN102234834A (zh) * 2010-04-20 2011-11-09 深圳富泰宏精密工业有限公司 电解退镀液及使用该电解退镀液退除含钛膜层的方法
CN102623327B (zh) * 2011-01-31 2015-04-29 中芯国际集成电路制造(上海)有限公司 一种化学机械研磨方法
JP6251043B2 (ja) * 2014-01-08 2017-12-20 株式会社荏原製作所 エッチング液、エッチング方法、およびはんだバンプの製造方法
CN108139306B (zh) * 2016-02-18 2021-03-26 日本制铁株式会社 金属化合物粒子的提取方法、分析方法及其使用的电解液
TWI642935B (zh) * 2016-02-18 2018-12-01 日商新日鐵住金股份有限公司 電解蝕刻用裝置及金屬化合物粒子的萃取方法
US9685406B1 (en) * 2016-04-18 2017-06-20 International Business Machines Corporation Selective and non-selective barrier layer wet removal
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
US10636673B2 (en) 2017-09-28 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
JP7156625B2 (ja) * 2017-12-28 2022-10-19 株式会社日本科学エンジニアリング ステンレス鋼の溶接スケール除去用電解研磨液
WO2021041694A1 (en) 2019-08-30 2021-03-04 Saint-Gobain Ceramics & Plastics, Inc. Composition and method for conducting a material removing operation
KR20220054355A (ko) 2019-08-30 2022-05-02 세인트-고바인 세라믹스 앤드 플라스틱스, 인크. 재료 제거 작업을 수행하기 위한 유체 조성물 및 방법
US20220367554A1 (en) * 2021-05-17 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure with high via density

Family Cites Families (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2582020A (en) 1947-07-15 1952-01-08 Gen Motors Corp Electrolytic polishing
GB962932A (en) 1961-06-09 1964-07-08 Stephen Louis Marosi Method and apparatus for electrolytic production of printed circuits
US3873512A (en) 1973-04-30 1975-03-25 Martin Marietta Corp Machining method
US4263113A (en) 1980-06-02 1981-04-21 Sprague Electric Company Electrochemical removal of surface copper from aluminum foil
US4369099A (en) 1981-01-08 1983-01-18 Bell Telephone Laboratories, Incorporated Photoelectrochemical etching of semiconductors
US4663005A (en) 1985-11-15 1987-05-05 Edson Gwynne I Electropolishing process
US4666683A (en) 1985-11-21 1987-05-19 Eco-Tec Limited Process for removal of copper from solutions of chelating agent and copper
US4793895A (en) 1988-01-25 1988-12-27 Ibm Corporation In situ conductivity monitoring technique for chemical/mechanical planarization endpoint detection
US4934102A (en) 1988-10-04 1990-06-19 International Business Machines Corporation System for mechanical planarization
US5256565A (en) 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
US5002645A (en) 1989-07-27 1991-03-26 Saginaw Valley State University Process of separating and recovering metal values from a waste stream
US4992135A (en) 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore
US5114548A (en) 1990-08-09 1992-05-19 Extrude Hone Corporation Orbital electrochemical machining
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5129981A (en) 1991-03-14 1992-07-14 General Motors Corporation Method of selectively etching silicon
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5209816A (en) 1992-06-04 1993-05-11 Micron Technology, Inc. Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing
US5225034A (en) 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
JPH07111962B2 (ja) 1992-11-27 1995-11-29 日本電気株式会社 選択平坦化ポリッシング方法
US5391258A (en) 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5407526A (en) 1993-06-30 1995-04-18 Intel Corporation Chemical mechanical polishing slurry delivery and mixing system
ES2136107T3 (es) 1993-10-27 1999-11-16 Schneider Europ Gmbh Cateter para intervenciones.
US5340370A (en) 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
JP3397501B2 (ja) 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5534106A (en) 1994-07-26 1996-07-09 Kabushiki Kaisha Toshiba Apparatus for processing semiconductor wafers
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
JP3053537B2 (ja) 1994-11-08 2000-06-19 株式会社ヤクルト本社 脳機能改善剤
US5486282A (en) 1994-11-30 1996-01-23 Ibm Corporation Electroetching process for seed layer removal in electrochemical fabrication of wafers
US5792335A (en) 1995-03-13 1998-08-11 Magnesium Technology Limited Anodization of magnesium and magnesium based alloys
JP3458023B2 (ja) 1995-08-01 2003-10-20 メック株式会社 銅および銅合金のマイクロエッチング剤
US5804507A (en) 1995-10-27 1998-09-08 Applied Materials, Inc. Radially oscillating carousel processing system for chemical mechanical polishing
US5575706A (en) 1996-01-11 1996-11-19 Taiwan Semiconductor Manufacturing Company Ltd. Chemical/mechanical planarization (CMP) apparatus and polish method
US5866031A (en) 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
KR100302671B1 (ko) 1996-07-25 2001-09-22 피. 제리 코더 화학기계적연마용조성물및화학기계적연마방법
JP3507628B2 (ja) 1996-08-06 2004-03-15 昭和電工株式会社 化学的機械研磨用研磨組成物
US5783489A (en) 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6132637A (en) 1996-09-27 2000-10-17 Rodel Holdings, Inc. Composition and method for polishing a composite of silica and silicon nitride
US5846882A (en) 1996-10-03 1998-12-08 Applied Materials, Inc. Endpoint detector for a chemical mechanical polishing system
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6126853A (en) 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5807165A (en) 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
US5911619A (en) 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US6194317B1 (en) 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
JPH1110540A (ja) 1997-06-23 1999-01-19 Speedfam Co Ltd Cmp装置のスラリリサイクルシステム及びその方法
US6099604A (en) 1997-08-21 2000-08-08 Micron Technology, Inc. Slurry with chelating agent for chemical-mechanical polishing of a semiconductor wafer and methods related thereto
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6068879A (en) 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
US5951480A (en) * 1997-09-29 1999-09-14 Boston Scientific Corporation Ultrasound imaging guidewire with static central core and tip
US5897375A (en) 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6001730A (en) 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6303551B1 (en) 1997-10-21 2001-10-16 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of cooper film
JP3371775B2 (ja) 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6096652A (en) 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
US6190237B1 (en) 1997-11-06 2001-02-20 International Business Machines Corporation pH-buffered slurry and use thereof for polishing
US6103096A (en) 1997-11-12 2000-08-15 International Business Machines Corporation Apparatus and method for the electrochemical etching of a wafer
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6153043A (en) 1998-02-06 2000-11-28 International Business Machines Corporation Elimination of photo-induced electrochemical dissolution in chemical mechanical polishing
AU2233399A (en) 1998-02-12 1999-08-30 Acm Research, Inc. Plating apparatus and method
US6004880A (en) 1998-02-20 1999-12-21 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
US6177026B1 (en) 1998-05-26 2001-01-23 Cabot Microelectronics Corporation CMP slurry containing a solid catalyst
WO1999064527A1 (en) * 1998-06-10 1999-12-16 Rodel Holdings, Inc. Composition and method for polishing in metal cmp
US6121152A (en) 1998-06-11 2000-09-19 Integrated Process Equipment Corporation Method and apparatus for planarization of metallized semiconductor wafers using a bipolar electrode assembly
US6143155A (en) 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6217416B1 (en) 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6063306A (en) 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
TW455626B (en) 1998-07-23 2001-09-21 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
US6248222B1 (en) 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6056864A (en) 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6143656A (en) 1998-10-22 2000-11-07 Advanced Micro Devices, Inc. Slurry for chemical mechanical polishing of copper
US6315883B1 (en) 1998-10-26 2001-11-13 Novellus Systems, Inc. Electroplanarization of large and small damascene features using diffusion barriers and electropolishing
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6083840A (en) 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
JP4053165B2 (ja) 1998-12-01 2008-02-27 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
JP2000160139A (ja) 1998-12-01 2000-06-13 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
JP2000212754A (ja) * 1999-01-22 2000-08-02 Sony Corp めっき方法及びその装置、並びにめっき構造
US6066030A (en) 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6238592B1 (en) 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6258711B1 (en) 1999-04-19 2001-07-10 Speedfam-Ipec Corporation Sacrificial deposit to improve damascene pattern planarization in semiconductor wafers
US6375693B1 (en) 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
US6296400B1 (en) 1999-05-19 2001-10-02 Trw Inc. Integrated fiber optic bulkhead receptacle
US6361422B1 (en) 1999-06-15 2002-03-26 Applied Materials, Inc. Method and apparatus for transferring semiconductor substrates using an input module
TW486514B (en) * 1999-06-16 2002-05-11 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
US6419554B2 (en) 1999-06-24 2002-07-16 Micron Technology, Inc. Fixed abrasive chemical-mechanical planarization of titanium nitride
US20010054706A1 (en) * 1999-07-19 2001-12-27 Joseph A. Levert Compositions and processes for spin etch planarization
US6234870B1 (en) 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6429133B1 (en) 1999-08-31 2002-08-06 Micron Technology, Inc. Composition compatible with aluminum planarization and methods therefore
TW499471B (en) 1999-09-01 2002-08-21 Eternal Chemical Co Ltd Chemical mechanical/abrasive composition for semiconductor processing
JP4513145B2 (ja) * 1999-09-07 2010-07-28 ソニー株式会社 半導体装置の製造方法および研磨方法
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
JP4264781B2 (ja) 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
US6348076B1 (en) 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6379223B1 (en) 1999-11-29 2002-04-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
US6299741B1 (en) * 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6258721B1 (en) 1999-12-27 2001-07-10 General Electric Company Diamond slurry for chemical-mechanical planarization of semiconductor wafers
TW572980B (en) * 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6354916B1 (en) 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6355075B1 (en) 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
US6797623B2 (en) * 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US7375066B2 (en) * 2000-03-21 2008-05-20 Wako Pure Chemical Industries, Ltd. Semiconductor wafer cleaning agent and cleaning method
US6582579B1 (en) * 2000-03-24 2003-06-24 Nutool, Inc. Methods for repairing defects on a semiconductor substrate
US6416685B1 (en) 2000-04-11 2002-07-09 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
JP2001338926A (ja) * 2000-05-29 2001-12-07 Sony Corp 半導体装置の製造方法
US6310019B1 (en) * 2000-07-05 2001-10-30 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
SG89407A1 (en) * 2000-07-13 2002-06-18 Sumitomo Chemical Co Low temperature heat-sealable polypropylene-based film
JP2002050595A (ja) * 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
JP2002075927A (ja) 2000-08-24 2002-03-15 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6541384B1 (en) * 2000-09-08 2003-04-01 Applied Materials, Inc. Method of initiating cooper CMP process
JP2002093761A (ja) * 2000-09-19 2002-03-29 Sony Corp 研磨方法、研磨装置、メッキ方法およびメッキ装置
JP2002110592A (ja) * 2000-09-27 2002-04-12 Sony Corp 研磨方法および研磨装置
JP4644926B2 (ja) * 2000-10-13 2011-03-09 ソニー株式会社 半導体製造装置および半導体装置の製造方法
US6569349B1 (en) * 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6946066B2 (en) * 2001-07-20 2005-09-20 Asm Nutool, Inc. Multi step electrodeposition process for reducing defects and minimizing film thickness
JP2002231666A (ja) * 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US6736952B2 (en) * 2001-02-12 2004-05-18 Speedfam-Ipec Corporation Method and apparatus for electrochemical planarization of a workpiece
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US6568997B2 (en) * 2001-04-05 2003-05-27 Rodel Holdings, Inc. CMP polishing composition for semiconductor devices containing organic polymer particles
TW584658B (en) * 2001-04-12 2004-04-21 Rodel Inc Polishing composition having a surfactant
US6852630B2 (en) * 2001-04-23 2005-02-08 Asm Nutool, Inc. Electroetching process and system
US6783432B2 (en) * 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
WO2003007121A2 (en) * 2001-07-12 2003-01-23 Atrua Technologies, Inc. Method and system for determining confidence in a digital transaction
US6592742B2 (en) * 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
TW584899B (en) * 2001-07-20 2004-04-21 Nutool Inc Planar metal electroprocessing
US6881318B2 (en) * 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
US7029373B2 (en) * 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6692546B2 (en) * 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
JP3899456B2 (ja) * 2001-10-19 2007-03-28 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
JP3807295B2 (ja) * 2001-11-30 2006-08-09 ソニー株式会社 研磨方法
US6837983B2 (en) * 2002-01-22 2005-01-04 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
US6893476B2 (en) * 2002-12-09 2005-05-17 Dupont Air Products Nanomaterials Llc Composition and associated methods for chemical mechanical planarization having high selectivity for metal removal
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US20050076579A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Bicine/tricine containing composition and method for chemical-mechanical planarization
US7022255B2 (en) * 2003-10-10 2006-04-04 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
US7153335B2 (en) * 2003-10-10 2006-12-26 Dupont Air Products Nanomaterials Llc Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole

Also Published As

Publication number Publication date
TW200709293A (en) 2007-03-01
US7323416B2 (en) 2008-01-29
JP2009503908A (ja) 2009-01-29
WO2007019279A2 (en) 2007-02-15
WO2007019279A3 (en) 2007-05-10
KR100939595B1 (ko) 2010-02-01
US20060006074A1 (en) 2006-01-12

Similar Documents

Publication Publication Date Title
KR100939595B1 (ko) 기판을 폴리싱하기 위한 방법 및 조성물
US7582564B2 (en) Process and composition for conductive material removal by electrochemical mechanical polishing
US7160432B2 (en) Method and composition for polishing a substrate
US7390744B2 (en) Method and composition for polishing a substrate
US7128825B2 (en) Method and composition for polishing a substrate
US7232514B2 (en) Method and composition for polishing a substrate
US20060169597A1 (en) Method and composition for polishing a substrate
US20060175298A1 (en) Method and composition for polishing a substrate
US20050092620A1 (en) Methods and apparatus for polishing a substrate
WO2003072672A1 (en) Method and composition for polishing a substrate
US20060219663A1 (en) Metal CMP process on one or more polishing stations using slurries with oxidizers
US20060021974A1 (en) Method and composition for polishing a substrate
US20070187258A1 (en) Method for electrochemically polishing a conductive material on a substrate
US20060169674A1 (en) Method and composition for polishing a substrate
KR20070104479A (ko) 전도성 물질을 폴리싱하기 위한 폴리싱 조성물 및 방법
US20070254485A1 (en) Abrasive composition for electrochemical mechanical polishing
US20060249395A1 (en) Process and composition for electrochemical mechanical polishing
US20070295611A1 (en) Method and composition for polishing a substrate
US20060249394A1 (en) Process and composition for electrochemical mechanical polishing
WO2006081589A2 (en) Tungsten electroprocessing
US7879255B2 (en) Method and composition for electrochemically polishing a conductive material on a substrate
WO2007047454A2 (en) Process and composition for electrochemical mechanical polishing
US20070151866A1 (en) Substrate polishing with surface pretreatment

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee